US20230236747A1 - Accessing stored metadata to identify memory devices in which data is stored - Google Patents

Accessing stored metadata to identify memory devices in which data is stored Download PDF

Info

Publication number
US20230236747A1
US20230236747A1 US18/190,669 US202318190669A US2023236747A1 US 20230236747 A1 US20230236747 A1 US 20230236747A1 US 202318190669 A US202318190669 A US 202318190669A US 2023236747 A1 US2023236747 A1 US 2023236747A1
Authority
US
United States
Prior art keywords
memory
data
metadata
address
stored
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US18/190,669
Inventor
Kenneth Marion Curewitz
Shivasankar Gunasekaran
Ameen D. Akel
Hongyu Wang
Justin M. Eno
Shivam Swami
Samuel E. Bradshaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US18/190,669 priority Critical patent/US20230236747A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BRADSHAW, Samuel E., AKEL, AMEEN D., CUREWITZ, Kenneth Marion, ENO, Justin M., GUNASEKARAN, Shivasankar, SWAMI, Shivam, WANG, HONGYU
Publication of US20230236747A1 publication Critical patent/US20230236747A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0629Configuration or reconfiguration of storage systems
    • G06F3/0631Configuration or reconfiguration of storage systems by allocating resources to storage systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/061Improving I/O performance
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0223User address space allocation, e.g. contiguous or non contiguous base addressing
    • G06F12/0284Multiple user address space allocation, e.g. using different base addresses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0866Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches for peripheral storage systems, e.g. disk cache
    • G06F12/0873Mapping of cache memory to specific storage devices or parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1027Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1027Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
    • G06F12/1036Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB] for multiple virtual address spaces, e.g. segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/0604Improving or facilitating administration, e.g. storage management
    • G06F3/0607Improving or facilitating administration, e.g. storage management by facilitating the process of upgrading existing storage systems, e.g. for improving compatibility between host and storage device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1016Performance improvement
    • G06F2212/1024Latency reduction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/15Use in a specific computing environment
    • G06F2212/152Virtualized environment, e.g. logically partitioned system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/21Employing a record carrier using a specific recording technology
    • G06F2212/214Solid state disk
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/21Employing a record carrier using a specific recording technology
    • G06F2212/217Hybrid disk, e.g. using both magnetic and solid state storage devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/46Caching storage objects of specific type in disk cache
    • G06F2212/466Metadata, control data
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/65Details of virtual memory and virtual address translation
    • G06F2212/657Virtual address space management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/72Details relating to flash memory management
    • G06F2212/7201Logical to physical mapping or translation of blocks or pages

Definitions

  • At least some embodiments disclosed herein relate to memory systems in general and more particularly, but not limited to accessing stored metadata to identify memory devices of a memory system in which data is stored.
  • DRAM dynamic random access memory
  • non-volatile memory device can include a NAND flash memory device or a non-volatile random access memory (NVRAM) device.
  • memory management is responsible for managing the main memory of the computer system.
  • the memory management tracks the status of memory locations in the main memory (e.g., a memory status of either allocated or free).
  • Memory management further determines the allocation of memory among various processes running on the operating system. When memory is allocated to a process, the operating system determines the memory locations that will be assigned to the process.
  • an operating system uses paged allocation to divide the main memory into fixed-sized units called page frames.
  • a virtual address space of a software program is divided into pages having the same size.
  • a hardware memory management unit maps pages to frames in physical memory.
  • each process typically runs in its own address space.
  • a memory management unit is called a paged memory management unit (PMMU).
  • PMMU paged memory management unit
  • the MMU manages all memory references used by the operating system and performs the translation of virtual memory addresses to physical addresses.
  • the MMU typically divides a virtual address space, which is the range of addresses used by the processor, into pages.
  • the MMU uses a page table containing page table entries to map virtual page numbers to physical page numbers in the main memory.
  • a cache of page table entries called a translation lookaside buffer (TLB) is used to avoid the need to access a page table stored in the main memory when a virtual address is mapped.
  • TLB translation lookaside buffer
  • a computer system can have one or more memory sub-systems.
  • a memory sub-system can be a memory module, such as a dual in-line memory module (DIMM), a small outline DIMM (SO-DIMM), or a non-volatile dual in-line memory module (NVDIMM).
  • a memory sub-system can be a storage system, such as a solid-state drive (SSD), or a hard disk drive (HDD).
  • a memory sub-system can include one or more memory components that store data.
  • the memory components can be, for example, non-volatile memory components and volatile memory components. Examples of memory components include memory integrated circuits. Some memory integrated circuits are volatile and require power to maintain stored data.
  • Some memory integrated circuits are non-volatile and can retain stored data even when not powered.
  • Examples of non-volatile memory include flash memory, Read-Only Memory (ROM), Programmable Read-Only Memory (PROM), Erasable Programmable Read-Only Memory (EPROM) and Electronically Erasable Programmable Read-Only Memory (EEPROM) memory, etc.
  • Examples of volatile memory include Dynamic Random-Access Memory (DRAM) and Static Random-Access Memory (SRAM).
  • DRAM Dynamic Random-Access Memory
  • SRAM Static Random-Access Memory
  • a computer system can utilize a memory sub-system to store data at the memory components and to retrieve data from the memory components.
  • a computer system can include one or more memory sub-systems attached to the computer system.
  • the computer system can have a central processing unit (CPU) in communication with the one or more memory sub-systems to store and/or retrieve data and instructions.
  • Instructions for a computer can include operating systems, device drivers, and application programs.
  • An operating system manages resources in the computer and provides common services for application programs, such as memory allocation and time sharing of the resources.
  • a device driver operates or controls a particular type of device in the computer; and the operating system uses the device driver to offer resources and/or services provided by the type of device.
  • a central processing unit (CPU) of a computer system can run an operating system and device drivers to provide the services and/or resources to application programs.
  • the central processing unit (CPU) can run an application program that uses the services and/or resources.
  • an application program implementing a type of application can instruct the central processing unit (CPU) to store data in the memory components of a memory sub-system and retrieve data from the memory components.
  • An operating system of a computer system can allow an application program to use virtual addresses of memory to store data in, or retrieve data from, memory components of one or more memory sub-systems of the computer system.
  • the operating system maps the virtual addresses to physical addresses of one or more memory sub-systems connected to the central processing unit (CPU) of the computer system.
  • the operating system translates the memory accesses specified at virtual addresses to the physical addresses of the memory sub-systems.
  • a virtual address space can be divided into pages.
  • a page of virtual memory can be mapped to a page of physical memory in the memory sub-systems.
  • the operating system can use a paging technique to access a page of memory in a storage device via a page of memory in a memory module.
  • the same virtual page of memory in a memory module can be used as a proxy to access different physical pages of memory in the storage device or another storage device in the computer system.
  • a computer system can include a hypervisor (or virtual machine monitor) to create or provision virtual machines.
  • a virtual machine is a computing device that is virtually implemented using the resources and services available in the computer system.
  • the hypervisor presents the virtual machine to an operating system as if the components of virtual machine were dedicated physical components.
  • a guest operating system runs in the virtual machine to manage resources and services available in the virtual machine, in a way similar to the host operating system running in the computer system.
  • the hypervisor allows multiple virtual machines to share the resources of the computer system and allows the virtual machines to operate on the computer substantially independently from each other.
  • FIG. 1 illustrates an example computer system having a memory sub-system, in accordance with some embodiments.
  • FIG. 2 shows a mobile device that accesses different types of memory in a memory module using a memory bus, in accordance with some embodiments.
  • FIG. 3 illustrates an example computer system that stores metadata used to access memory devices in a memory sub-system, in accordance with some embodiments.
  • FIG. 4 shows a memory module configured for memory bus access by a host computer system to volatile and non-volatile memory of the memory module, in accordance with some embodiments.
  • FIG. 5 shows a host operating system accessing a memory module using memory bus access, in accordance with at least some embodiments.
  • FIG. 6 shows a method for managing memory for processes in an address space of a computer system based on stored metadata that associates virtual address ranges for the processes in the address space with physical addresses for memory devices in the computer system, in accordance with some embodiments.
  • FIG. 7 is a block diagram of an example computer system in which embodiments of the present disclosure can operate.
  • At least some embodiments herein relate to accessing stored metadata to identify memory devices of a memory system in which data is stored.
  • the metadata can be stored and accessed by various types of computer systems.
  • the computer system is a system-on-chip (SoC) device that stores metadata for managing memory usage by one or more processes running on the SoC device.
  • SoC system-on-chip
  • a mobile device uses a SoC device to manage allocation of main memory for one or more applications that are running on the mobile device.
  • DRAM dynamic random access memory
  • DRAM dynamic random access memory
  • read or write access latency for a DRAM is typically significantly less than read or write access latency for flash memory.
  • write access latency for some memory devices can be tens or even hundreds of times greater than for DRAM devices.
  • the processor does not have an awareness of how memory for various processes is actually mapped to the memory devices. For example, the processor may allocate a virtual address range to a process. However, the processor is unaware of how the virtual address range is mapped to the different memory devices.
  • the process can be forced to run slowly due to an inability to rapidly access data from main memory that is needed for continuing execution of the process.
  • the process may need a response from main memory in order to continue data computations or other processing (e.g., a response that includes data for a read access request made by a processor to main memory to obtain data needed during execution by the process). If the data needed from main memory is actually stored in a slow physical memory device, then the processing is significantly delayed while waiting for the response.
  • a computer system stores data regarding the latency of memory devices used by the computer system (e.g., memory devices used to provide main memory).
  • the latencies of various memory regions that are visible to a processor of the computer system are known (e.g., as represented by information collected and/or aggregated in stored metadata, as discussed below).
  • the processor, an operating system, and/or an application can initiate and/or perform actions by the computer system to avoid significant process delays due to slow memory access.
  • a high priority process that needs fast memory response can be configured to run in DRAM.
  • a priority for an application executing on a mobile device can be monitored.
  • the processor and/or operating system can automatically transfer the application out of an address range of main memory that corresponds to a slow memory device, and move the application to a new address range that corresponds to a fast memory device.
  • memory device types include DRAM, NVRAM, and NAND flash.
  • the priority of a process is determined by the processor (e.g., based on data usage patterns by the process). Based on stored metadata regarding address range mapping to these memory device types, the processor allocates the process to an address range having an appropriate memory latency. For example, the processor can determine whether a process has a low, intermediate, or high priority. Based on determining that the process has an intermediate priority, software and/or data associated with the process are stored in an address range corresponding to physical storage in the NVRAM memory device type, which has an intermediate latency.
  • the NVRAM device type is a 3D XPoint memory.
  • the NVRAM device type can be resistive random-access memory, magnetoresistive RAM, phase-change RAM, and/or ferroelectric RAM.
  • an NVRAM chip is used as main memory of a computer system (e.g., NVDIMM-P).
  • an NVRAM device is implemented using non-volatile 3D XPoint memory in a DIMM package.
  • the software code of the application itself can be configured to read one or more values from the stored metadata. Based on the read values, the application itself can manage data storage so that data is preferentially stored in address ranges that correspond to faster memory devices. In one example, the application can determine relative latencies of available memory devices in a computer system based on reading or otherwise being provided access to the stored metadata. In one example, the stored metadata specifies what data is on which memory device of various different memory devices having different latencies. By specifying the memory device in this manner, the application can determine a latency of access for particular data depending on the memory device being used to store the data.
  • an application on a mobile device reads stored metadata when requesting an allocation of main memory by an operating system (e.g., executing on a system-on-chip device).
  • the application makes a request for an address range in main memory that corresponds to a particular type of memory device and/or a particular latency associated with memory read or write access.
  • the application reads or otherwise accesses the stored metadata to determine which memory is fast, and which memory is slow. In a first context of the mobile device, the application makes a request for allocation of fast memory. In a second context of the mobile device, the application makes a request for allocation of slow memory. In one example, in response to the detection of a predetermined context, the application initiates or makes a request for a change in allocation of memory. In one example, the application determines a change in context based on an updated query made to the stored metadata (e.g., by the processor), and/or data (e.g., operating characteristics of the mobile device) provided to the application by the processor of the computer system.
  • the stored metadata e.g., by the processor
  • data e.g., operating characteristics of the mobile device
  • a computer system includes a first memory device (e.g., DRAM) and a second memory device (e.g., NVRAM or NAND flash), and one or more processing devices (e.g., a CPU or system on a chip (SoC)).
  • a first memory device e.g., DRAM
  • a second memory device e.g., NVRAM or NAND flash
  • one or more processing devices e.g., a CPU or system on a chip (SoC)
  • the computer system further includes memory containing instructions configured to instruct the one or more processing devices to: access memory in an address space maintained by an operating system, the accessing including accessing the first memory device and the second memory device using addresses in the address space; store metadata that associates a first address range of the address space with the first memory device, and a second address range of the address space with the second memory device; and manage, by the operating system based on the stored metadata, processes including a first process and a second process, where data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device.
  • a computer system uses memory device types including DRAM, NVRAM, and NAND flash.
  • the DRAM is faster than the NVRAM, which is faster than the NAND flash.
  • the computer system is configured so that all three different types of memory can be accessed directly by a processor of the computer system using a virtual memory address.
  • the processor communicates with a memory management unit to implement a virtual to physical address mapping system.
  • an application is not pre-programmed or otherwise configured to manage or handle optimization of memory allocation based on different types of memory devices. For example, this may occur for legacy software programs. In this type of situation, an operating system can be configured to manage optimization of memory allocation for the application.
  • the operating system detects or otherwise determines one or more characteristics of an application. Based on the characteristics, the operating system uses the stored metadata to assign one or more address ranges in main memory to the application. In one example, the characteristics are determined based on information provided by the application itself (e.g., when the application is launched on a mobile device). In another example, the characteristics are provided by a computing device other than the computer system on which the application is being executed. In one example, a central repository is used to store and update a database or table of characteristics for applications. In one example, the central server provides an indication to the operating system regarding a type of physical memory to use.
  • the operating system determines a context associated with a computer system and/or execution of an application. Based on this context, the operating system uses the stored metadata to assign one or more address ranges in main memory to the application.
  • stored metadata is used for identifying devices in which data is stored.
  • a memory sub-system has multiple physical memory devices (e.g., DRAM, NVRAM, and NAND flash) that can be addressed by a processor (e.g., SoC) in a memory address space.
  • the metadata is used to specify which memory address regions are mapped to which physical memory devices.
  • the metadata can be loaded into the DRAM and/or the processor (e.g., loaded into a cache of the processor) to determine what data is on which device, and/or used to estimate the latency of access for the respective data.
  • an application is executing on a mobile device having a processor that uses a main memory.
  • the application requests that an operating system of the mobile device allocate a portion of main memory for use by the application.
  • the allocated memory is in a logical/virtual memory space (e.g., memory addresses as seen by the programmer and by the execution units of the processor are virtual).
  • the virtual memory addresses are mapped to real/physical memory by page tables.
  • a portion of the mapping data in the page tables is cached in a buffer of the processor.
  • the buffer is a translation lookaside buffer (TLB).
  • a computer system includes DRAM, NVRAM, and NAND flash memory devices.
  • a processor of the computer system randomly accesses main memory by address. Addresses within the main memory correspond to physical locations of data storage on these three types of memory devices.
  • each of the devices is accessed by the processor using a synchronous memory bus.
  • the DRAM is synchronous dynamic random access memory (SDRAM) having an interface synchronous with a system bus carrying data between a CPU and a memory controller hub.
  • SDRAM synchronous dynamic random access memory
  • FIG. 1 illustrates an example computing environment 100 having a memory sub-system 110 , in accordance with some embodiments.
  • the memory sub-system 110 can include media, such as memory components 109 A to 109 N.
  • the memory components 109 A to 109 N can be volatile memory components, non-volatile memory components, or a combination of such.
  • the memory sub-system 110 is a memory module. Examples of a memory module include a DIMM and an NVDIMM.
  • the memory sub-system 110 is a hybrid memory/storage sub-system.
  • the computing environment 100 can include a computer system 120 that uses the memory sub-system 110 .
  • the computer system 120 can write data to the memory sub-system 110 and read data from the memory sub-system 110 .
  • the computer system 120 can be a computing device such as a mobile device, IoT device, desktop computer, laptop computer, network server, or such computing device that includes a memory and a processing device.
  • the computer system 120 can include or be coupled to the memory sub-system 110 so that the computer system 120 can read data from or write data to the memory sub-system 110 .
  • the computer system 120 can be coupled to the memory sub-system 110 via a physical host interface.
  • “coupled to” generally refers to a connection between components, which can be an indirect communicative connection or direct communicative connection (e.g., without intervening components), whether wired or wireless, including connections such as electrical, optical, magnetic, etc.
  • Examples of a physical host interface include, but are not limited to, a serial advanced technology attachment (SATA) interface, a peripheral component interconnect express (PCIe) interface, universal serial bus (USB) interface, Fiber Channel, Serial Attached SCSI (SAS), a double data rate (DDR) memory bus, etc.
  • the physical host interface can be used to transmit data between the computer system 120 and the memory sub-system 110 .
  • the computer system 120 can further utilize an NVM Express (NVMe) interface to access the memory components 109 A to 109 N when the memory sub-system 110 is coupled with the computer system 120 by the PCIe interface.
  • the physical host interface can provide an interface for passing control, address, data, and other signals between the memory sub-system 110 and the computer system 120 .
  • FIG. 1 illustrates memory sub-system 110 as an example.
  • the computer system 120 can access multiple memory sub-systems via a shared communication connection, multiple separate communication connections, and/or a combination of communication connections.
  • each memory sub-system 110 can be a different type of memory device that is randomly accessed by processing device 118 over a memory bus.
  • the computer system 120 includes the processing device 118 and a controller 116 .
  • the processing device 118 can be, for example, a microprocessor, a central processing unit (CPU), a processing core of a processor, an execution unit, etc.
  • the controller 116 can be referred to as a memory controller, a memory management unit, and/or an initiator.
  • the controller 116 controls the communications over a bus coupled between the computer system 120 and one or more memory sub-systems 110 .
  • the controller 116 can send commands or requests to the memory sub-system 110 for desired access to memory components 109 A to 109 N.
  • the controller 116 can further include interface circuitry to communicate with the memory sub-system 110 .
  • the interface circuitry can convert responses received from memory sub-system 110 into information for the computer system 120 .
  • the controller 116 of the computer system 120 can communicate with controller 115 of the memory sub-system 110 to perform operations such as reading data, writing data, or erasing data at the memory components 109 A to 109 N and other such operations.
  • the controller 116 is integrated within the same package of the processing device 118 . In other instances, the controller 116 is separate from the package of the processing device 118 .
  • the controller 116 and/or the processing device 118 can include hardware such as one or more integrated circuits and/or discrete components, a buffer memory, a cache memory, or a combination thereof.
  • the controller 116 and/or the processing device 118 can be a microcontroller, special purpose logic circuitry (e.g., a field programmable gate array (FPGA), an application specific integrated circuit (ASIC), etc.), or another suitable processor.
  • FPGA field programmable gate array
  • ASIC application specific integrated circuit
  • the memory components 109 A to 109 N can include any combination of various different types of non-volatile memory components and/or volatile memory components.
  • An example of a non-volatile memory component includes a negative-AND (NAND) type flash memory.
  • each of the memory components 109 A to 109 N can include one or more arrays of memory cells such as single level cells (SLCs) or multi-level cells (MLCs) (e.g., triple level cells (TLCs) or quad-level cells (QLCs)).
  • a particular memory component can include both an SLC portion and a MLC portion of memory cells.
  • Each of the memory cells can store one or more bits of data (e.g., data blocks) used by the computer system 120 .
  • non-volatile memory components such as NAND type flash memory
  • the memory components 109 A to 109 N can be based on any other type of memory such as a volatile memory.
  • the memory components 109 A to 109 N can be, but are not limited to, random access memory (RAM), read-only memory (ROM), dynamic random access memory (DRAM), synchronous dynamic random access memory (SDRAM), phase change memory (PCM), magneto random access memory (MRAM), Spin Transfer Torque (STT)-MRAM, ferroelectric transistor random-access memory (FeTRAM), ferroelectric RAM (FeRAM), conductive bridging RAM (CBRAM), resistive random access memory (RRAM), oxide based RRAM (OxRAM), negative-or (NOR) flash memory, electrically erasable programmable read-only memory (EEPROM), nanowire-based non-volatile memory, memory that incorporates memristor technology, and a 3D XPoint array of non-volatile memory cells.
  • RAM random access memory
  • ROM read-only
  • a cross-point array of non-volatile memory can perform bit storage based on a change of bulk resistance, in conjunction with a stackable cross-gridded data access array. Additionally, in contrast to many flash-based memories, cross-point non-volatile memory can perform a write in-place operation, where a non-volatile memory cell can be programmed without the non-volatile memory cell being previously erased. Furthermore, the memory cells of the memory components 109 A to 109 N can be grouped as memory pages or data blocks that can refer to a unit of the memory component used to store data.
  • the controller 115 of the memory sub-system 110 can communicate with the memory components 109 A to 109 N to perform operations such as reading data, writing data, or erasing data at the memory components 109 A to 109 N and other such operations (e.g., in response to commands scheduled on a command bus by controller 116 ).
  • the controller 115 can include hardware such as one or more integrated circuits and/or discrete components, a buffer memory, or a combination thereof.
  • the controller 115 can be a microcontroller, special purpose logic circuitry (e.g., a field programmable gate array (FPGA), an application specific integrated circuit (ASIC), etc.), or another suitable processor.
  • the controller 115 can include a processing device 117 (processor) configured to execute instructions stored in local memory 119 .
  • the local memory 119 of the controller 115 includes an embedded memory configured to store instructions for performing various processes, operations, logic flows, and routines that control operation of the memory sub-system 110 , including handling communications between the memory sub-system 110 and the computer system 120 .
  • the local memory 119 can include memory registers storing memory pointers, fetched data, etc.
  • the local memory 119 can also include read-only memory (ROM) for storing micro-code. While the example memory sub-system 110 in FIG.
  • a memory sub-system 110 may not include a controller 115 , and can instead rely upon external control (e.g., provided by an external host, or by a processor or controller separate from the memory sub-system).
  • external control e.g., provided by an external host, or by a processor or controller separate from the memory sub-system.
  • the controller 115 can receive commands or operations from the computer system 120 and can convert the commands or operations into instructions or appropriate commands to achieve the desired access to the memory components 109 A to 109 N.
  • the controller 115 can be responsible for other operations such as wear leveling operations, garbage collection operations, error detection and error-correcting code (ECC) operations, encryption operations, caching operations, and address translations between a logical block address and a physical block address that are associated with the memory components 109 A to 109 N.
  • the controller 115 can further include host interface circuitry to communicate with the computer system 120 via the physical host interface.
  • the host interface circuitry can convert the commands received from the computer system into command instructions to access the memory components 109 A to 109 N as well as convert responses associated with the memory components 109 A to 109 N into information for the computer system 120 .
  • the memory sub-system 110 can also include additional circuitry or components that are not illustrated.
  • the memory sub-system 110 can include a cache or buffer 121 (e.g., DRAM or SRAM) and address circuitry (e.g., a row decoder and a column decoder) that can receive an address from the controller 115 and decode the address to access the memory components 109 A to 109 N.
  • a cache or buffer 121 e.g., DRAM or SRAM
  • address circuitry e.g., a row decoder and a column decoder
  • the computing environment 100 includes a metadata component 113 in the computer system 120 that stores metadata used to identify memory devices in which data is stored (e.g., as discussed in various embodiments above).
  • a portion of metadata component 113 can reside on computer system 120 and/or memory sub-system 110 .
  • a portion of the metadata is stored in local memory 119 and/or buffer 121 .
  • a portion of the metadata is alternatively and/or additionally stored in a cache of controller 116 (e.g., stored in a translation lookaside buffer).
  • the memory sub-system 110 can provide access for computer system 120 to data in different types of memory devices via a DDR or other type of synchronous memory bus.
  • the access is provided to data in NVRAM on a DIMM and to data in a DRAM.
  • data is made accessible in a random access memory address space of the computer system 120 for access during host read/write requests made over the DDR memory bus.
  • computer system 120 sends a page-in request (for access to a page) to controller 115 .
  • controller 115 moves a page from a slow media such as a non-volatile memory device to a volatile memory device (e.g., DRAM on memory sub-system 110 ).
  • computer system 120 sends a page-out request to controller 115 .
  • controller 115 moves data out of volatile memory (e.g., DRAM on memory sub-system 110 ) to non-volatile memory via buffer 121 .
  • the controller 116 and/or the processing device 118 in the computer system 120 includes at least a portion of the metadata component 113 .
  • the controller 116 and/or the processing device 118 can include logic circuitry implementing the metadata component 113 .
  • the processing device 118 (processor) of the computer system 120 can be configured to execute instructions stored in memory for performing operations that identify in which devices data is stored for the metadata component 113 as described herein.
  • the metadata component 113 is part of an operating system of the computer system 120 , a device driver, or an application (e.g., an application executing on computer system 120 ).
  • the controller 115 and/or the processing device 117 in the memory sub-system 110 includes at least a portion of the metadata component 113 .
  • the controller 115 and/or the processing device 117 can include logic circuitry implementing the metadata component 113 .
  • a central processing unit can access memory in a memory system connected to the CPU.
  • the central processing unit can be configured to access the memory based on a query to stored metadata of metadata component 113 .
  • FIG. 2 shows a mobile device 200 that accesses different types of memory in a memory module 205 using a memory bus 203 , in accordance with some embodiments.
  • FIG. 2 shows a computer system having different types of memory.
  • the computer system of FIG. 2 includes a mobile device 200 , and a memory module 205 connected to the mobile device 200 via memory bus 203 .
  • the memory module 205 is an example of the memory sub-system 110 illustrated in FIG. 1 .
  • the mobile device 200 includes processing device 118 , which can be a central processing unit or a microprocessor with one or more processing cores.
  • the mobile device 200 can have a cache memory 211 . At least a portion of the cache memory 211 can be optionally integrated within the same integrated circuit package of the processing device 118 .
  • the memory module 205 illustrated in FIG. 2 has multiple types of memory (e.g., 221 and 223 ).
  • memory of type A 221 e.g., DRAM
  • memory of type B 223 e.g., NVRAM
  • the memory bus 203 can be a double data rate bus.
  • several memory modules e.g., 205 ) can be coupled to the memory bus 203 .
  • the processing device 118 is configured via instructions (e.g., an operating system and/or one or more device drivers) to access a portion of memory in the computer system using metadata component 113 .
  • instructions e.g., an operating system and/or one or more device drivers
  • memory of type B 223 e.g., NVRAM
  • type A 221 e.g., DRAM
  • memory of type B 223 of the memory module 205 is accessible only through addressing the memory of type A 221 of the memory module 205 .
  • a controller 227 can be provided in the memory module 205 to manage data access to the memory of type A 221 and the memory of type B 223 .
  • controller 227 multiplexes access to DRAM or NVRAM by mobile device 200 and memory module 205 when transferring data to or from buffer 121 .
  • memory bus 203 provides a host DDR channel as the DDR interface between mobile device 200 and memory module 205 .
  • the page can be loaded for access by the mobile device via a conventional DDR 4 slot (e.g., a host DDR channel).
  • the memory sub-systems can include media, such as memory (e.g., 221 , . . . , 223 ).
  • the memory e.g., 221 , . . . , 223
  • the processing device 118 can write data to each of the memory sub-systems (e.g., memory module 205 ) and read data from the memory sub-systems (e.g., memory module 205 ) directly or indirectly.
  • memory module 205 provides memory bus access to non-volatile memory or volatile memory by using buffer 121 .
  • memory module 205 is a DIMM coupled to a mobile device 200 via a DDR bus.
  • the storage media is, for example, cross-point memory.
  • the mobile device communicates with the memory module via a communication channel for read/write operations (e.g., using a DDR4 bus).
  • the mobile device can have one or more Central Processing Units (CPUs) to which computer peripheral devices, such as the memory module, may be attached via an interconnect, such as a computer bus (e.g., Serial AT Attachment (SATA), Peripheral Component Interconnect (PCI), PCI eXtended (PCI-X), PCI Express (PCIe)), a communication portion, and/or a computer network.
  • a computer bus e.g., Serial AT Attachment (SATA), Peripheral Component Interconnect (PCI), PCI eXtended (PCI-X), PCI Express (PCIe)
  • SATA Serial AT Attachment
  • PCI Peripheral Component Interconnect
  • PCI-X PCI eXtended
  • PCIe PCI Express
  • the memory module can be used to store data for a processor in the non-volatile or volatile storage media.
  • the memory module has a host interface that implements communications with the mobile device using the communication channel.
  • the memory module 205 has a controller 227 running, for example, firmware to perform operations responsive to communications from the processing device 118 .
  • the memory module includes volatile Dynamic Random-Access Memory (DRAM) and NVRAM. The DRAM and NVRAM store data accessible by the processing device 118 in a memory address space.
  • DRAM Dynamic Random-Access Memory
  • NVRAM volatile Dynamic Random-Access Memory
  • the computer system of FIG. 2 is used to implement a mobile device.
  • the processing device 118 can read data from or write data to the memory sub-systems (e.g., 205 ).
  • a physical host interface can be used to transmit data between the processing device 118 and the memory sub-system (e.g., 205 ).
  • the physical host interface can provide an interface for passing control, address, data, and other signals between the memory sub-system (e.g., 205 ) and the processing device 118 .
  • a memory sub-system (e.g., memory module 205 ) includes a printed circuit board that connects a set of memory devices, such as memory integrated circuits, that provides the memory (e.g., 221 , . . . , 223 ).
  • the memory (e.g., 221 , . . . , 223 ) on the memory sub-system (e.g., 205 ) can include any combination of the different types of non-volatile memory devices and/or volatile memory devices.
  • the memory can include, but are not limited to, random access memory (RAM), read-only memory (ROM), dynamic random access memory (DRAM), static random access memory (SRAM), synchronous dynamic random access memory (SDRAM), phase change memory (PCM), magneto random access memory (MRAM), negative-or (NOR) flash memory, electrically erasable programmable read-only memory (EEPROM), and/or a cross-point array of non-volatile memory cells.
  • RAM random access memory
  • ROM read-only memory
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • SDRAM synchronous dynamic random access memory
  • PCM phase change memory
  • MRAM magneto random access memory
  • NOR negative-or
  • flash memory electrically erasable programmable read-only memory
  • EEPROM electrically erasable programmable read-only memory
  • a memory sub-system (e.g., memory module 205 ) can have a controller (e.g., 227 ) that communicates with the memory (e.g., 221 , . . . , 223 ) to perform operations such as reading data, writing data, or erasing data in the memory (e.g., 221 , . . . , 223 ) and other such operations, in response to requests, commands or instructions from the processing device 118 .
  • the controller (e.g., 227 ) can include hardware such as one or more integrated circuits and/or discrete components, a buffer memory, or a combination thereof.
  • the controller can be a microcontroller, special purpose logic circuitry (e.g., a field programmable gate array (FPGA), an application specific integrated circuit (ASIC), etc.), or another suitable processor.
  • the controller e.g., 227
  • the local memory of the controller can include an embedded memory configured to store instructions for performing various processes, operations, logic flows, and routines that control operation of the memory sub-system (e.g., 205 ), including handling communications between the memory sub-system (e.g., 205 ) and the processing device 118 , and other functions described in greater detail below.
  • Local memory of the controller can include read-only memory (ROM) for storing micro-code and/or memory registers storing, e.g., memory pointers, fetched data, etc.
  • a memory sub-system may not include a controller (e.g., 227 ), and can instead rely upon external control (e.g., provided by a processor or controller separate from the memory sub-system (e.g., 205 )).
  • external control e.g., provided by a processor or controller separate from the memory sub-system (e.g., 205 )
  • the controller (e.g., 227 ) can receive commands, requests or instructions from the processing device 118 in accordance with a standard communication protocol for the communication channel (e.g., 203 ) and can convert the commands, requests or instructions in compliance with the standard protocol into detailed instructions or appropriate commands within the memory sub-system (e.g., 205 ) to achieve the desired access to the memory (e.g., 221 , . . . , 223 ).
  • the controller e.g., 227
  • the controller (e.g., 227 ) can further include host interface circuitry to communicate with the processing device 118 via the physical host interface.
  • the host interface circuitry can convert the commands received from the processing device 118 into command instructions to access the memory devices (e.g., 221 , . . . , 223 ) as well as convert responses associated with the memory devices (e.g., 221 , . . . , 223 ) into information for the processing device 118 .
  • the memory sub-system can also include additional circuitry or components that are not illustrated.
  • the memory sub-system e.g., 205
  • a cache or buffer e.g., DRAM
  • address circuitry e.g., a row decoder and a column decoder
  • the memory bus 203 has one or more connectors to provide the memory sub-system (e.g., 205 ) with power and/or communicate with the memory sub-system (e.g., 205 ) via a predetermined protocol; and the memory sub-system (e.g., 205 ) has one or more connectors to receive the power, data and commands from the processing device 118 .
  • the processing device 118 can execute one or more operating systems to provide services, including memory access in which a portion of memory (e.g., a page stored in NVRAM) in the computer system is accessed using synchronous memory access.
  • FIG. 3 illustrates an example computer system 300 that stores metadata 320 used to access memory devices in a memory sub-system 302 , in accordance with some embodiments.
  • the memory devices accessed in memory sub-system 302 include DRAM 304 , NVRAM 306 , and NAND flash 308 .
  • computer system 300 alternatively and/or additionally stores metadata 322 in DRAM 304 that is used to access the memory devices.
  • a processing device 310 of computer system 300 accesses memory in an address space.
  • the memory is main memory used by processing device 310 when executing one or more applications.
  • the processing device 310 accesses different memory devices using addresses in the address space.
  • metadata 320 , 322 associates a first address range of the address space with a memory device (e.g., DRAM 304 ) and a second address range of the address space with a different memory device (e.g., NVRAM 306 or NAND flash 308 ).
  • a memory device e.g., DRAM 304
  • a second address range of the address space e.g., NVRAM 306 or NAND flash 308 .
  • the latency of DRAM 304 is less than the latency of NVRAM 306 and NAND flash 308 .
  • the applications executing on processing device 310 include application 312 , which is configured to include a memory type 314 .
  • application 312 When the application 312 is initially launched, application 312 provides memory type 314 to processing device 310 along with a request for an allocation of memory in the main memory of computer system 300 .
  • processing device 310 makes a query to metadata 320 and/or sends a query to metadata 322 . Based on a result from one or both of these queries, processing device 310 allocates an address range in the address space to application 312 .
  • application 312 makes a request to processing device 310 for an indication of latency associated with the memory devices.
  • Processing device 310 accesses metadata 320 , 322 to obtain a result, and based on this result provides the indication of latency to application 312 .
  • application 312 makes a request for an allocation of memory corresponding to a specific one of the memory devices, a memory device corresponding to memory type 314 , or a request for an allocation of memory that has performance characteristics meeting at least one or more predetermined thresholds and/or requirements.
  • metadata 322 stores data that associates an address range in a virtual address space with physical addresses in the memory devices of memory sub-system 302 .
  • metadata 322 stores address range 324 for NVRAM, and address range 326 for NAND flash.
  • address range 324 maps a virtual or logical address of processing device 310 to a physical address of NVRAM 306 .
  • address range 326 maps a virtual or logical address of processing device 310 to a physical address of NAND flash 308 .
  • metadata 320 or 322 stores one or more address ranges mapping addresses of processing device 310 for data stored in DRAM 304 .
  • metadata 322 is stored as part of page table 328 , which provides a mapping of virtual addresses to physical addresses for a memory management unit 316 of computer system 300 .
  • Processing device 310 provides a virtual address to memory management unit 316 , which accesses a translation lookaside buffer 318 to obtain a physical address in one of the memory devices of memory sub-system 302 .
  • translation lookaside buffer 318 is a cache that stores a portion of the data from page table 328 .
  • buffer 318 stores a portion of metadata 322 .
  • a portion of metadata 320 stored on computer system 300 is copied to translation lookaside buffer 318 for access by memory management unit 316 when accessing a memory device in memory sub-system 302 .
  • processing device 310 provides memory characteristics of the different memory devices to application 312 .
  • Application 312 makes a request for an allocation of memory based on the provided memory characteristics.
  • processing device 310 receives a requested latency from application 312 .
  • An address range is allocated to the application 312 based on the requested latency.
  • processing device 310 determines a priority associated with application 312 .
  • the address range allocated to application 312 is based on the determined priority.
  • a faster memory device type is selected for use with the determined priority.
  • Processing device 310 uses metadata 320 , 322 to select an address range that physically stores data in a memory device of the selected faster memory device type.
  • processing device 310 determines a change in priority of application 312 . In one example, based on an increase in priority of application 312 , processing device 310 changes a memory allocation that is used for application 312 in the address space. In one example, in response to the increase in priority, processing device 310 accesses metadata 320 , 322 to determine an address range that corresponds to a faster physical memory device.
  • processing device 310 determines a priority of application 312 based on observing characteristics associated with data access by application 312 in the address space. The observed characteristics can be used for allocating memory usage for application 312 . In one embodiment, processing device 310 determines one or more latencies associated with physical memory devices. Metadata 320 , 322 stores data regarding the determined one or more latencies, which can be used by processing device 310 when initially allocating and/or changing an allocation of main memory.
  • FIG. 4 shows a memory module 401 configured for memory bus access by a host computer system (not shown) to volatile memory 402 and non-volatile memory 404 , in accordance with some embodiments.
  • Memory module 401 is an example of memory sub-system 302 or memory module 205 .
  • memory module 401 is a hybrid DIMM.
  • Volatile memory 402 is for example DRAM.
  • Memory module 401 uses multiplexer 408 to provide access to volatile memory 402 and non-volatile memory 404 by memory controller 416 .
  • Memory controller 416 is coupled to host interface 406 for handling read/write access by a host system.
  • multiplexer 408 is controlled based on signals received from memory controller 416 in response to receiving read or write commands from the host system via host interface 406 .
  • a host system accesses a memory space (e.g., DRAM memory address space) on the memory module 401 (e.g., a DIMM).
  • the DIMM exposes itself to the host as a channel of DRAM.
  • a hypervisor of the host system controls data movement on the DIMM. For example, a request is made for moving memory blocks in and out of the DRAM address space and exposing the DRAM pages to software running on the host.
  • the software is, for example, executing in a virtual machine (VM).
  • VM virtual machine
  • a page in/out control path is provided for a driver to request a page that is currently in DRAM or in NVRAM.
  • the NVRAM has a much larger capacity than the DRAM.
  • memory module 401 is implemented as a DIMM.
  • the non-volatile memory 404 is provided by 3D XPoint memory packages.
  • pages of data obtained from the 3D XPoint memory are copied in and out of a buffer (page in/page out).
  • the host system has read/write access to any DRAM or NVRAM address using normal DDR4 timing.
  • the host can generate arbitrary traffic per DDR4 rules during those times.
  • the full DDR address space of the non-volatile memory 404 is exposed to the host system.
  • a controller e.g., controller 116
  • computer system 120 can operate in the same way (e.g., same read/write and refresh timing cycles) as it would for access to a conventional DRAM.
  • FIG. 5 shows a host operating system 241 accessing a memory module 502 using a memory bus, in accordance with at least some embodiments.
  • Memory module 502 includes a buffer 410 .
  • Buffer 410 is an example of buffer 121 .
  • buffer 410 stores metadata 322 and/or at least a portion of page table 328 .
  • Commands and data are received from a host operating system 241 via host interface 406 .
  • host operating system 241 executes on computer system 120 or 300 .
  • a device driver 247 (e.g., a back-end driver) is configured for memory access via a hypervisor 245 .
  • the system of FIG. 5 is implemented in a computer system of FIGS. 1 - 3 .
  • the host operating system 241 runs on the processing device 118 of the computer system of FIG. 1 or 2 , or processing device 310 of FIG. 3 .
  • the host operating system 241 includes one or more device drivers (e.g., 247 ) that provide memory services using the memory (e.g., 221 , . . . , 223 ) of memory sub-systems, such as the memory module 205 or memory sub-system 302 .
  • back-end driver 247 maintains a mapping table 246 .
  • the driver 247 maintains mapping table 246 to include a mapping for pages of data stored in DRAM 304 , NVRAM 306 , and NAND flash 308 .
  • the host operating system 241 includes a hypervisor 245 that provisions a virtual machine 249 .
  • the virtual machine 249 has virtual hardware implemented via the resources and services provided by the host operating system 241 using the hardware of a computing system of FIGS. 1 - 3 .
  • the hypervisor 245 can provision virtual memory as part of the virtual machine 249 using a portion of the memory (e.g., 221 , . . . , 223 ) of memory sub-systems, such as the memory module 205 .
  • the virtual machine 249 allows a guest operating system 243 to provide resources and/or services to applications (e.g., 251 , . . . , 253 ) running in the guest operating system 243 , in a way as the operating system 243 running on a physical computing machine that has the same or similar set of hardware as provisioning in the virtual machine.
  • the hypervisor 245 manages the mapping between the virtual hardware provisioned in the virtual machine and the services of hardware in the computing system managed by the host operating system 241 .
  • a device driver 248 (e.g., a front-end driver) communicates with back-end driver 247 .
  • Driver 247 and driver 248 can communicate for memory ballooning when additional DDR capacity (e.g., capacity in DRAM or NVRAM) is available.
  • DDR capacity e.g., capacity in DRAM or NVRAM
  • FIG. 5 illustrates an instance in which a virtual machine 249 is provisioned by the hypervisor 245 .
  • the hypervisor 245 can provision several virtual machines (e.g., 249 ) that can run the same guest operating system 243 , or different guest operating systems. Different sets of users and/or application programs can be assigned to use different virtual machines.
  • the host operating system 241 is specialized to provide services for the provisioning of virtual machines and does not run other application programs.
  • the host operating system 241 can provide additional services to support other application programs, such as applications (e.g., 251 , . . . , 253 ).
  • the device driver 247 can be configured to request page-in of a page from slower memory (e.g., NVRAM) to faster memory (e.g., DRAM) for use by the virtual machine 249 .
  • This request can be made in response to a request from an application (e.g., application 312 of FIG. 3 ).
  • the page is made available in the faster memory by loading and/or transferring the page of data from the slower memory to the faster memory.
  • processing device 310 moves the page from slower memory to faster memory based on address range information stored as metadata 320 , 322 .
  • the slower memory can be the non-volatile memory 404 in the memory module 401 and the faster memory be the volatile memory 402 in the same memory module 401 .
  • the transfer of data (e.g., performed in response to a page-in request by the host operating system 241 ) is performed within a same memory sub-system, such as within the same memory module 401 , to avoid or reduce congestion in communication channels connected to the processing device 118 , such as the memory bus 203 .
  • data can be copied from the slower memory 223 (e.g., NVRAM or NAND flash) in the memory module 205 to the faster memory 221 (e.g., DRAM) in the memory module 205 , under the control of controller 227 in the memory module 205 in response to one or more commands, requests, and/or instructions from the device driver 247 .
  • the slower memory 223 e.g., NVRAM or NAND flash
  • the faster memory 221 e.g., DRAM
  • the hypervisor 245 not only requests the device driver 247 to access a memory (e.g., 221 , . . . , 223 ) in a memory sub-system (e.g., memory module 205 ), but also provides the device driver 247 with information that can be used in managing pages in the memory (e.g., 221 , . . . , 223 , . . . , or 225 ) to be used.
  • the provided information includes stored metadata 320 or 322 .
  • driver 247 is a memory mode driver used to access a memory address space in memory module 502 (e.g., a DIMM).
  • Driver 247 has control over which pages are in volatile memory of the DIMM at any one time.
  • the memory address space is exposed to the guest operating system 243 .
  • the guest operating system 243 sees the full storage capacity of the non-volatile memory (e.g., NVRAM and DRAM) in the DIMM.
  • a page fault path in a memory management unit (MMU) of the host system triggers the driver 247 to cause loading (page in) of a page.
  • the page gets loaded in through control registers.
  • the driver 247 can set up MMU mapping (via mapping table 246 ) so that a guest application can directly read and write that data.
  • a front-end driver of a guest and a back-end driver of a host communicate regarding access to the memory address space.
  • a request is made that a portion of data that is currently mapped in the DDR memory address space be pushed back out to the NVRAM memory (e.g., via an SRAM buffer) to make space available in the DRAM memory for other pages to be paged in.
  • the back-end driver 247 communicates the page out request to move data from the DDR DRAM to the NVRAM memory.
  • back-end driver 247 operates as a memory mode driver. Until driver 247 loads, there is no access to the NVRAM memory capacity of memory module 502 . During this operation as a memory mode driver, the guest operating system 243 sees the memory as normal, and the driver 247 reserves DRAM pages on the memory module for page-in and page-out operations.
  • the driver 247 exposes the NVRAM memory to the guest operating system 243 and maintains the page mapping (e.g., in mapping table 246 ). For example, the driver 247 maintains the mapping between pages that are currently in the DRAM and pages that are on the NVRAM memory.
  • the driver 247 sets up memory management unit mapping tables at the host system to map any pages that are currently stored in DRAM.
  • a page fault path from the guest can be used if there is an access outside of a mapped page to trigger a page-in request.
  • a page-out request can be performed to maintain some memory space in the DRAM.
  • Driver 247 can also be operated as a block mode driver for which NVRAM memory is exposed as block mode storage.
  • the memory module 502 maintains its own mapping table including a list of pages that are in an SRAM buffer (not shown).
  • the memory module 502 can return a page-in completion signal to the host system once a page has been moved to the SRAM buffer. These permit reducing the latency for the host system to access those particular page(s).
  • the driver 247 ensures that until its mapping is set up, the host will not access that page(s) until the page-in request completes.
  • driver 247 implements a page out operation. In one example, this operation is triggered as a thread. This operation trades free pages back out of the DRAM memory and changes the mapping of valid pages.
  • FIG. 6 shows a method for managing memory for processes in an address space of a computer system based on stored metadata that associates virtual address ranges for the processes in the address space with physical addresses for memory devices in the computer system, in accordance with some embodiments.
  • the method of FIG. 6 can be implemented in the system of FIGS. 1 - 3 .
  • the method of FIG. 6 can be performed by processing logic that can include hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of a device, integrated circuit, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof.
  • processing logic can include hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of a device, integrated circuit, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof.
  • the method of FIG. 6 is performed at least in part by one or more processing devices (e.g., processing device 310 of FIG. 3 ).
  • an operating system maintains memory in an address space.
  • the memory is accessed including accessing a first memory device and a second memory device using addresses in the address space.
  • the operating system executes on processing device 310 of FIG. 3 .
  • the first memory device is DRAM 304
  • the second memory device is NVRAM 306
  • the first memory device is NVRAM 306
  • the second memory device is NAND flash 308 .
  • metadata is stored that associates a first address range of the address space with the first memory device.
  • the metadata also associates a second address range of the address space with the second memory device.
  • the stored metadata is metadata 320 and/or 322 of FIG. 3 .
  • the first address range is address range 324
  • the second address range is address range 326 .
  • processes running in a computer system are managed based on the stored metadata.
  • the processes include a first process and a second process.
  • Data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device.
  • data for the first process is stored in address range 324
  • data for the second process is stored in address range 326 .
  • data for the first process is stored in an address range of metadata 320 , 322 that corresponds to physical memory storage in DRAM 304 .
  • the computer system is computer system 120 or 300 .
  • a method comprises: accessing, by a processing device (e.g., processing device 310 of FIG. 3 ) of a computer system, memory in an address space, wherein memory devices of the computer system are accessed by the processing device using addresses in the address space; storing metadata (e.g., metadata 320 and/or 322 ) that associates a first address range of the address space with a first memory device (e.g., DRAM 304 ), and a second address range of the address space with a second memory device (e.g., NVRAM 306 ), wherein a first latency of the first memory device is different from a second latency of the second memory device; and allocating, based on the stored metadata, the first address range to an application (e.g., application 312 ) executing on the computer system.
  • a processing device e.g., processing device 310 of FIG. 3
  • memory devices of the computer system are accessed by the processing device using addresses in the address space
  • metadata e.g., metadata
  • allocating the first address range to the application is performed in response to a request by the application.
  • the method further comprises: in response to a first request by the application, providing an indication that the first latency is greater than the second latency; receiving a second request made by the application based on the indication; and in response to receiving the second request, allocating the second address range to the application.
  • the first latency is less than the second latency
  • the metadata is stored in the first memory device.
  • the computer system uses a memory bus to access the first memory device and the second memory device, and wherein the metadata is stored in the second memory device.
  • the metadata is stored in the first memory device, and the method further comprises loading at least a portion of the metadata into a buffer (e.g., translation lookaside buffer 318 ), wherein the processing device queries the buffer to determine a physical address corresponding to a virtual address in the first address range.
  • a buffer e.g., translation lookaside buffer 318
  • the computer system is a system-on-chip device
  • the buffer is a translation lookaside buffer.
  • the method further comprises: providing, to the application, memory characteristics of the first memory device and the second memory device; wherein allocating the first address range to the application is in response to a request made by the application based on the provided memory characteristics.
  • the method further comprises receiving a requested latency from the application, wherein allocating the first address range to the application is further based on the requested latency.
  • the method further comprises determining a priority associated with the application, wherein allocating the first address range to the application is further based on the priority.
  • the first latency is less than the second latency; prior to allocating the first address range to the application, the application is allocated to the second address range; and allocating the first address range to the application is performed in response to determining an increase in a priority associated with the application.
  • determining the increase in the priority associated with the application is based on one or more observations regarding data access by the application in the address space.
  • the method further comprises determining, by the processing device, latencies associated with the memory devices, wherein storing the metadata further comprises storing the determined latencies.
  • a system comprises: a first memory device; a second memory device; at least one processing device; and memory containing instructions configured to instruct the at least one processing device to: access memory in an address space maintained by an operating system, the accessing including accessing the first memory device and the second memory device using addresses in the address space; store metadata that associates a first address range of the address space with the first memory device, and a second address range of the address space with the second memory device; and manage, by the operating system based on the stored metadata, processes including a first process and a second process, wherein data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device.
  • the first process has a first priority
  • the second process has a second priority
  • the first memory device is selected to store the data for the first process in response to determining that the first priority is higher than the second priority.
  • the first process corresponds to a first application; the instructions are further configured to instruct the at least one processing device to receive a request from the first application that indicates a type of memory to use for storing data; and the first memory device is selected to store the data for the first process based on the indicated type of memory.
  • the system further comprises a buffer to store the metadata, wherein the operating system receives a virtual address in the first address range from the first process, and accesses the buffer to determine a physical address of the first memory device corresponding to the virtual address.
  • a read latency of the first memory device is less than a read latency of the second memory device, and the instructions are further configured to instruct the at least one processing device to store the metadata in the first memory device.
  • system further comprises a memory management unit (e.g., memory management unit 316 ) configured to, when accessing the stored data for the first process, map a virtual address in the first address range to a physical address in the first memory device.
  • a memory management unit e.g., memory management unit 316
  • a non-transitory machine-readable storage medium stores instructions which, when executed on at least one processing device, cause the at least one processing device to at least: access memory in an address space, wherein memory devices of a computer system are accessed by the at least one processing device using addresses in the address space; store metadata that associates a first address range of the address space with a first memory device, and a second address range of the address space with a second memory device; provide, to an application executing on the computer system, first data indicating that a first latency of the first memory device is less than a second latency of the second memory device; in response to providing the first data to the application, receive a request from the application that second data associated with the application be stored in the first memory device; in response to a request by the application to store the second data, query the stored metadata to provide a result; and store, based on the result, the second data in the first memory device.
  • FIG. 7 is a block diagram of an example computer system in which embodiments of the present disclosure can operate.
  • FIG. 7 illustrates an example machine of a computer system 600 within which a set of instructions, for causing the machine to perform any one or more of the methodologies discussed herein, can be executed.
  • the computer system 600 can correspond to a host system (e.g., the computer system 120 of FIG. 1 ) that includes, is coupled to, or utilizes a memory sub-system (e.g., the memory sub-system 110 of FIG. 1 ) or can be used to perform the operations of a metadata component 113 (e.g., to execute instructions to perform operations corresponding to the metadata component 113 described with reference to FIGS. 1 - 6 ).
  • a host system e.g., the computer system 120 of FIG. 1
  • a memory sub-system e.g., the memory sub-system 110 of FIG. 1
  • a metadata component 113 e.g., to execute instructions to perform operations corresponding to the metadata component 113 described
  • the machine can be connected (e.g., networked) to other machines in a LAN, an intranet, an extranet, and/or the Internet.
  • the machine can operate in the capacity of a server or a client machine in client-server network environment, as a peer machine in a peer-to-peer (or distributed) network environment, or as a server or a client machine in a cloud computing infrastructure or environment.
  • the machine can be a personal computer (PC), a tablet PC, a set-top box (STB), a Personal Digital Assistant (PDA), a cellular telephone, a web appliance, a server, a network router, a switch or bridge, an Internet of Things (IOT) device, or any machine capable of executing a set of instructions (sequential or otherwise) that specify actions to be taken by that machine.
  • PC personal computer
  • PDA Personal Digital Assistant
  • IOT Internet of Things
  • machine shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein.
  • the example computer system 600 includes a processing device 602 , a main memory 604 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), static random access memory (SRAM), etc.), and a data storage system 618 , which communicate with each other via a bus 630 (which can include multiple buses).
  • main memory 604 e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), static random access memory (SRAM), etc.
  • DRAM dynamic random access memory
  • SDRAM synchronous DRAM
  • RDRAM Rambus DRAM
  • SRAM static random access memory
  • Processing device 602 represents one or more general-purpose processing devices such as a microprocessor, a central processing unit, or the like. More particularly, the processing device can be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, or a processor implementing other instruction sets, or processors implementing a combination of instruction sets. Processing device 602 can also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. The processing device 602 is configured to execute instructions 626 for performing the operations and steps discussed herein.
  • the computer system 600 can further include a network interface device 608 to communicate over the network 620 .
  • the data storage system 618 can include a machine-readable storage medium 624 (also known as a computer-readable medium) on which is stored one or more sets of instructions 626 or software embodying any one or more of the methodologies or functions described herein.
  • the instructions 626 can also reside, completely or at least partially, within the main memory 604 and/or within the processing device 602 during execution thereof by the computer system 600 , the main memory 604 and the processing device 602 also constituting machine-readable storage media.
  • the machine-readable storage medium 624 , data storage system 618 , and/or main memory 604 can correspond to the memory sub-system 110 of FIG. 1 .
  • the instructions 626 include instructions to implement functionality corresponding to a metadata component 113 (e.g., the metadata component 113 described with reference to FIGS. 1 - 6 ). While the machine-readable storage medium 624 is shown in an example embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media that store the one or more sets of instructions.
  • the disclosure includes various devices which perform the methods and implement the systems described above, including data processing systems which perform these methods, and computer readable media containing instructions which when executed on data processing systems cause the systems to perform these methods.
  • various functions and operations may be described as being performed by or caused by software code to simplify description. However, those skilled in the art will recognize what is meant by such expressions is that the functions result from execution of the code by one or more processors, such as a microprocessor, Application-Specific Integrated Circuit (ASIC), graphics processor, and/or a Field-Programmable Gate Array (FPGA).
  • processors such as a microprocessor, Application-Specific Integrated Circuit (ASIC), graphics processor, and/or a Field-Programmable Gate Array (FPGA).
  • ASIC Application-Specific Integrated Circuit
  • FPGA Field-Programmable Gate Array
  • the functions and operations can be implemented using special purpose circuitry (e.g., logic circuitry), with or without software instructions.
  • Embodiments can be implemented using hardwired circuitry without software instructions, or in combination with software instructions. Thus, the techniques are not limited to any specific combination of hardware circuitry and software, nor to any particular source for the instructions executed by a computing device.
  • At least some aspects disclosed can be embodied, at least in part, in software. That is, the techniques may be carried out in a computing device or other system in response to its processor, such as a microprocessor, executing sequences of instructions contained in a memory, such as ROM, volatile RAM, non-volatile memory, cache or a remote storage device.
  • a processor such as a microprocessor
  • a memory such as ROM, volatile RAM, non-volatile memory, cache or a remote storage device.
  • Routines executed to implement the embodiments may be implemented as part of an operating system, middleware, service delivery platform, SDK (Software Development Kit) component, web services, or other specific application, component, program, object, module or sequence of instructions referred to as “computer programs.” Invocation interfaces to these routines can be exposed to a software development community as an API (Application Programming Interface).
  • the computer programs typically comprise one or more instructions set at various times in various memory and storage devices in a computer, and that, when read and executed by one or more processors in a computer, cause the computer to perform operations necessary to execute elements involving the various aspects.
  • a machine readable medium can be used to store software and data which when executed by a computing device causes the device to perform various methods.
  • the executable software and data may be stored in various places including, for example, ROM, volatile RAM, non-volatile memory and/or cache. Portions of this software and/or data may be stored in any one of these storage devices.
  • the data and instructions can be obtained from centralized servers or peer to peer networks. Different portions of the data and instructions can be obtained from different centralized servers and/or peer to peer networks at different times and in different communication sessions or in a same communication session.
  • the data and instructions can be obtained in entirety prior to the execution of the applications. Alternatively, portions of the data and instructions can be obtained dynamically, just in time, when needed for execution. Thus, it is not required that the data and instructions be on a machine readable medium in entirety at a particular instance of time.
  • Examples of computer-readable media include but are not limited to recordable and non-recordable type media such as volatile and non-volatile memory devices, read only memory (ROM), random access memory (RAM), flash memory devices, solid-state drive storage media, removable disks, magnetic disk storage media, optical storage media (e.g., Compact Disk Read-Only Memory (CD ROMs), Digital Versatile Disks (DVDs), etc.), among others.
  • the computer-readable media may store the instructions.
  • a tangible or non-transitory machine readable medium includes any mechanism that provides (e.g., stores) information in a form accessible by a machine (e.g., a computer, mobile device, network device, personal digital assistant, manufacturing tool, any device with a set of one or more processors, etc.).
  • a machine e.g., a computer, mobile device, network device, personal digital assistant, manufacturing tool, any device with a set of one or more processors, etc.
  • hardwired circuitry may be used in combination with software and firmware instructions to implement the techniques.
  • the techniques are neither limited to any specific combination of hardware circuitry and software nor to any particular source for the instructions executed by a computing device.
  • computing devices include, but are not limited to, a server, a centralized computing platform, a system of multiple computing processors and/or components, a mobile device, a user terminal, a vehicle, a personal communications device, a wearable digital device, an electronic kiosk, a general purpose computer, an electronic document reader, a tablet, a laptop computer, a smartphone, a digital camera, a residential domestic appliance, a television, or a digital music player.
  • Additional examples of computing devices include devices that are part of what is called “the internet of things” (IOT).
  • IOT internet of things
  • Such “things” may have occasional interactions with their owners or administrators, who may monitor the things or modify settings on these things. In some cases, such owners or administrators play the role of users with respect to the “thing” devices.
  • the primary mobile device e.g., an Apple iPhone
  • the primary mobile device of a user may be an administrator server with respect to a paired “thing” device that is worn by the user (e.g., an Apple watch).
  • the computing device can be a computer or host system, which is implemented, for example, as a desktop computer, laptop computer, network server, mobile device, or other computing device that includes a memory and a processing device.
  • the host system can include or be coupled to a memory sub-system so that the host system can read data from or write data to the memory sub-system.
  • the host system can be coupled to the memory sub-system via a physical host interface. In general, the host system can access multiple memory sub-systems via a same communication connection, multiple separate communication connections, and/or a combination of communication connections.

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Memory System (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

A computer system stores metadata that is used to identify physical memory devices that store randomly-accessible data for memory of the computer system. In one approach, access to memory in an address space is maintained by an operating system of the computer system. Stored metadata associates a first address range of the address space with a first memory device, and a second address range of the address space with a second memory device. The operating system manages processes running on the computer system by accessing the stored metadata. This management includes allocating memory based on the stored metadata so that data for a first process is stored in the first memory device, and data for a second process is stored in the second memory device.

Description

    RELATED APPLICATIONS
  • The present application is a divisional application of U.S. patnet application Ser. No. 16/573,490 filed Sep. 17, 2019, the entire disclosure of which application is incorporated herein by reference.
  • FIELD OF THE TECHNOLOGY
  • At least some embodiments disclosed herein relate to memory systems in general and more particularly, but not limited to accessing stored metadata to identify memory devices of a memory system in which data is stored.
  • BACKGROUND
  • Various types of memory devices can be used to store data in the main memory of a computer system. One type of volatile memory device is a dynamic random access memory (DRAM) device. Various types of non-volatile memory device can include a NAND flash memory device or a non-volatile random access memory (NVRAM) device.
  • In an operating system, memory management is responsible for managing the main memory of the computer system. The memory management tracks the status of memory locations in the main memory (e.g., a memory status of either allocated or free). Memory management further determines the allocation of memory among various processes running on the operating system. When memory is allocated to a process, the operating system determines the memory locations that will be assigned to the process.
  • In one approach, an operating system uses paged allocation to divide the main memory into fixed-sized units called page frames. A virtual address space of a software program is divided into pages having the same size. A hardware memory management unit maps pages to frames in physical memory. In a paged memory management approach, each process typically runs in its own address space.
  • In some cases, a memory management unit (MMU) is called a paged memory management unit (PMMU). The MMU manages all memory references used by the operating system and performs the translation of virtual memory addresses to physical addresses. The MMU typically divides a virtual address space, which is the range of addresses used by the processor, into pages.
  • In some approaches, the MMU uses a page table containing page table entries to map virtual page numbers to physical page numbers in the main memory. In some cases, a cache of page table entries called a translation lookaside buffer (TLB) is used to avoid the need to access a page table stored in the main memory when a virtual address is mapped. When using virtual memory, a contiguous range of virtual addresses can be mapped to several non-contiguous blocks of physical memory.
  • More generally, a computer system can have one or more memory sub-systems. A memory sub-system can be a memory module, such as a dual in-line memory module (DIMM), a small outline DIMM (SO-DIMM), or a non-volatile dual in-line memory module (NVDIMM). A memory sub-system can be a storage system, such as a solid-state drive (SSD), or a hard disk drive (HDD). A memory sub-system can include one or more memory components that store data. The memory components can be, for example, non-volatile memory components and volatile memory components. Examples of memory components include memory integrated circuits. Some memory integrated circuits are volatile and require power to maintain stored data. Some memory integrated circuits are non-volatile and can retain stored data even when not powered. Examples of non-volatile memory include flash memory, Read-Only Memory (ROM), Programmable Read-Only Memory (PROM), Erasable Programmable Read-Only Memory (EPROM) and Electronically Erasable Programmable Read-Only Memory (EEPROM) memory, etc. Examples of volatile memory include Dynamic Random-Access Memory (DRAM) and Static Random-Access Memory (SRAM). In general, a computer system can utilize a memory sub-system to store data at the memory components and to retrieve data from the memory components.
  • For example, a computer system can include one or more memory sub-systems attached to the computer system. The computer system can have a central processing unit (CPU) in communication with the one or more memory sub-systems to store and/or retrieve data and instructions. Instructions for a computer can include operating systems, device drivers, and application programs. An operating system manages resources in the computer and provides common services for application programs, such as memory allocation and time sharing of the resources. A device driver operates or controls a particular type of device in the computer; and the operating system uses the device driver to offer resources and/or services provided by the type of device. A central processing unit (CPU) of a computer system can run an operating system and device drivers to provide the services and/or resources to application programs. The central processing unit (CPU) can run an application program that uses the services and/or resources. For example, an application program implementing a type of application can instruct the central processing unit (CPU) to store data in the memory components of a memory sub-system and retrieve data from the memory components.
  • An operating system of a computer system can allow an application program to use virtual addresses of memory to store data in, or retrieve data from, memory components of one or more memory sub-systems of the computer system. The operating system maps the virtual addresses to physical addresses of one or more memory sub-systems connected to the central processing unit (CPU) of the computer system. The operating system translates the memory accesses specified at virtual addresses to the physical addresses of the memory sub-systems.
  • A virtual address space can be divided into pages. A page of virtual memory can be mapped to a page of physical memory in the memory sub-systems. The operating system can use a paging technique to access a page of memory in a storage device via a page of memory in a memory module. At different time instances, the same virtual page of memory in a memory module can be used as a proxy to access different physical pages of memory in the storage device or another storage device in the computer system.
  • A computer system can include a hypervisor (or virtual machine monitor) to create or provision virtual machines. A virtual machine is a computing device that is virtually implemented using the resources and services available in the computer system. The hypervisor presents the virtual machine to an operating system as if the components of virtual machine were dedicated physical components. A guest operating system runs in the virtual machine to manage resources and services available in the virtual machine, in a way similar to the host operating system running in the computer system. The hypervisor allows multiple virtual machines to share the resources of the computer system and allows the virtual machines to operate on the computer substantially independently from each other.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The embodiments are illustrated by way of example and not limitation in the figures of the accompanying drawings in which like references indicate similar elements.
  • FIG. 1 illustrates an example computer system having a memory sub-system, in accordance with some embodiments.
  • FIG. 2 shows a mobile device that accesses different types of memory in a memory module using a memory bus, in accordance with some embodiments.
  • FIG. 3 illustrates an example computer system that stores metadata used to access memory devices in a memory sub-system, in accordance with some embodiments.
  • FIG. 4 shows a memory module configured for memory bus access by a host computer system to volatile and non-volatile memory of the memory module, in accordance with some embodiments.
  • FIG. 5 shows a host operating system accessing a memory module using memory bus access, in accordance with at least some embodiments.
  • FIG. 6 shows a method for managing memory for processes in an address space of a computer system based on stored metadata that associates virtual address ranges for the processes in the address space with physical addresses for memory devices in the computer system, in accordance with some embodiments.
  • FIG. 7 is a block diagram of an example computer system in which embodiments of the present disclosure can operate.
  • DETAILED DESCRIPTION
  • At least some embodiments herein relate to accessing stored metadata to identify memory devices of a memory system in which data is stored. In various embodiments as discussed herein, the metadata can be stored and accessed by various types of computer systems. In one example, the computer system is a system-on-chip (SoC) device that stores metadata for managing memory usage by one or more processes running on the SoC device. In one example, a mobile device uses a SoC device to manage allocation of main memory for one or more applications that are running on the mobile device.
  • Prior computer systems often use different types of memory devices for storing data. One type of memory device typically used is DRAM, which is generally considered to provide fast read and write access. DRAM is commonly used for storing data in the main memory of a computer system.
  • Other memory devices, such as flash memory, are typically considered to be slower than DRAM. For example, read or write access latency for a DRAM is typically significantly less than read or write access latency for flash memory. As a particular example, write access latency for some memory devices can be tens or even hundreds of times greater than for DRAM devices.
  • In prior computer systems that use different types of physical memory devices for storing data in main memory, a technical problem exists in which the processor does not have an awareness of how memory for various processes is actually mapped to the memory devices. For example, the processor may allocate a virtual address range to a process. However, the processor is unaware of how the virtual address range is mapped to the different memory devices.
  • In one example, if the virtual address range for a process is mapped to physical memory devices (e.g., flash memory) that are significantly slower than other memory devices (e.g., DRAM), then the process can be forced to run slowly due to an inability to rapidly access data from main memory that is needed for continuing execution of the process. For example, the process may need a response from main memory in order to continue data computations or other processing (e.g., a response that includes data for a read access request made by a processor to main memory to obtain data needed during execution by the process). If the data needed from main memory is actually stored in a slow physical memory device, then the processing is significantly delayed while waiting for the response.
  • Various embodiments of the present disclosure provide a technological solution to one or more of the above technical problems. In some embodiments, a computer system stores data regarding the latency of memory devices used by the computer system (e.g., memory devices used to provide main memory). In one example, the latencies of various memory regions that are visible to a processor of the computer system are known (e.g., as represented by information collected and/or aggregated in stored metadata, as discussed below).
  • In some embodiments, the processor, an operating system, and/or an application (as programmed by a software designer) can initiate and/or perform actions by the computer system to avoid significant process delays due to slow memory access. For example, a high priority process that needs fast memory response can be configured to run in DRAM.
  • In another example, a priority for an application executing on a mobile device can be monitored. When the priority for the application increases (e.g., changes from low to high), then the processor and/or operating system can automatically transfer the application out of an address range of main memory that corresponds to a slow memory device, and move the application to a new address range that corresponds to a fast memory device.
  • In one example, memory device types include DRAM, NVRAM, and NAND flash. The priority of a process is determined by the processor (e.g., based on data usage patterns by the process). Based on stored metadata regarding address range mapping to these memory device types, the processor allocates the process to an address range having an appropriate memory latency. For example, the processor can determine whether a process has a low, intermediate, or high priority. Based on determining that the process has an intermediate priority, software and/or data associated with the process are stored in an address range corresponding to physical storage in the NVRAM memory device type, which has an intermediate latency.
  • In one example, the NVRAM device type is a 3D XPoint memory. In one example, the NVRAM device type can be resistive random-access memory, magnetoresistive RAM, phase-change RAM, and/or ferroelectric RAM. In one example, an NVRAM chip is used as main memory of a computer system (e.g., NVDIMM-P). In one example, an NVRAM device is implemented using non-volatile 3D XPoint memory in a DIMM package.
  • In another example, if the processor and/or operating system are not configured to automatically transfer the application to a different address range in response to a priority change, the software code of the application itself can be configured to read one or more values from the stored metadata. Based on the read values, the application itself can manage data storage so that data is preferentially stored in address ranges that correspond to faster memory devices. In one example, the application can determine relative latencies of available memory devices in a computer system based on reading or otherwise being provided access to the stored metadata. In one example, the stored metadata specifies what data is on which memory device of various different memory devices having different latencies. By specifying the memory device in this manner, the application can determine a latency of access for particular data depending on the memory device being used to store the data.
  • In one example, an application on a mobile device reads stored metadata when requesting an allocation of main memory by an operating system (e.g., executing on a system-on-chip device). In one example, the application makes a request for an address range in main memory that corresponds to a particular type of memory device and/or a particular latency associated with memory read or write access.
  • In one example, the application reads or otherwise accesses the stored metadata to determine which memory is fast, and which memory is slow. In a first context of the mobile device, the application makes a request for allocation of fast memory. In a second context of the mobile device, the application makes a request for allocation of slow memory. In one example, in response to the detection of a predetermined context, the application initiates or makes a request for a change in allocation of memory. In one example, the application determines a change in context based on an updated query made to the stored metadata (e.g., by the processor), and/or data (e.g., operating characteristics of the mobile device) provided to the application by the processor of the computer system.
  • In one embodiment, a computer system includes a first memory device (e.g., DRAM) and a second memory device (e.g., NVRAM or NAND flash), and one or more processing devices (e.g., a CPU or system on a chip (SoC)). The computer system further includes memory containing instructions configured to instruct the one or more processing devices to: access memory in an address space maintained by an operating system, the accessing including accessing the first memory device and the second memory device using addresses in the address space; store metadata that associates a first address range of the address space with the first memory device, and a second address range of the address space with the second memory device; and manage, by the operating system based on the stored metadata, processes including a first process and a second process, where data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device.
  • In one embodiment, a computer system uses memory device types including DRAM, NVRAM, and NAND flash. In one example, the DRAM is faster than the NVRAM, which is faster than the NAND flash. The computer system is configured so that all three different types of memory can be accessed directly by a processor of the computer system using a virtual memory address. In one example, the processor communicates with a memory management unit to implement a virtual to physical address mapping system.
  • In one embodiment, an application is not pre-programmed or otherwise configured to manage or handle optimization of memory allocation based on different types of memory devices. For example, this may occur for legacy software programs. In this type of situation, an operating system can be configured to manage optimization of memory allocation for the application.
  • In one example, the operating system detects or otherwise determines one or more characteristics of an application. Based on the characteristics, the operating system uses the stored metadata to assign one or more address ranges in main memory to the application. In one example, the characteristics are determined based on information provided by the application itself (e.g., when the application is launched on a mobile device). In another example, the characteristics are provided by a computing device other than the computer system on which the application is being executed. In one example, a central repository is used to store and update a database or table of characteristics for applications. In one example, the central server provides an indication to the operating system regarding a type of physical memory to use.
  • In one embodiment, the operating system determines a context associated with a computer system and/or execution of an application. Based on this context, the operating system uses the stored metadata to assign one or more address ranges in main memory to the application.
  • In one embodiment, stored metadata is used for identifying devices in which data is stored. A memory sub-system has multiple physical memory devices (e.g., DRAM, NVRAM, and NAND flash) that can be addressed by a processor (e.g., SoC) in a memory address space. The metadata is used to specify which memory address regions are mapped to which physical memory devices. The metadata can be loaded into the DRAM and/or the processor (e.g., loaded into a cache of the processor) to determine what data is on which device, and/or used to estimate the latency of access for the respective data.
  • In one embodiment, an application is executing on a mobile device having a processor that uses a main memory. The application requests that an operating system of the mobile device allocate a portion of main memory for use by the application. The allocated memory is in a logical/virtual memory space (e.g., memory addresses as seen by the programmer and by the execution units of the processor are virtual). In one embodiment, the virtual memory addresses are mapped to real/physical memory by page tables. A portion of the mapping data in the page tables is cached in a buffer of the processor. In one example, the buffer is a translation lookaside buffer (TLB).
  • In one embodiment, a computer system includes DRAM, NVRAM, and NAND flash memory devices. A processor of the computer system randomly accesses main memory by address. Addresses within the main memory correspond to physical locations of data storage on these three types of memory devices. In one example, each of the devices is accessed by the processor using a synchronous memory bus. In one example, the DRAM is synchronous dynamic random access memory (SDRAM) having an interface synchronous with a system bus carrying data between a CPU and a memory controller hub.
  • FIG. 1 illustrates an example computing environment 100 having a memory sub-system 110, in accordance with some embodiments. The memory sub-system 110 can include media, such as memory components 109A to 109N. The memory components 109A to 109N can be volatile memory components, non-volatile memory components, or a combination of such. In some embodiments, the memory sub-system 110 is a memory module. Examples of a memory module include a DIMM and an NVDIMM. In some embodiments, the memory sub-system 110 is a hybrid memory/storage sub-system. In general, the computing environment 100 can include a computer system 120 that uses the memory sub-system 110. For example, the computer system 120 can write data to the memory sub-system 110 and read data from the memory sub-system 110.
  • The computer system 120 can be a computing device such as a mobile device, IoT device, desktop computer, laptop computer, network server, or such computing device that includes a memory and a processing device. The computer system 120 can include or be coupled to the memory sub-system 110 so that the computer system 120 can read data from or write data to the memory sub-system 110. The computer system 120 can be coupled to the memory sub-system 110 via a physical host interface. As used herein, “coupled to” generally refers to a connection between components, which can be an indirect communicative connection or direct communicative connection (e.g., without intervening components), whether wired or wireless, including connections such as electrical, optical, magnetic, etc. Examples of a physical host interface include, but are not limited to, a serial advanced technology attachment (SATA) interface, a peripheral component interconnect express (PCIe) interface, universal serial bus (USB) interface, Fiber Channel, Serial Attached SCSI (SAS), a double data rate (DDR) memory bus, etc. The physical host interface can be used to transmit data between the computer system 120 and the memory sub-system 110. The computer system 120 can further utilize an NVM Express (NVMe) interface to access the memory components 109A to 109N when the memory sub-system 110 is coupled with the computer system 120 by the PCIe interface. The physical host interface can provide an interface for passing control, address, data, and other signals between the memory sub-system 110 and the computer system 120.
  • FIG. 1 illustrates memory sub-system 110 as an example. In general, the computer system 120 can access multiple memory sub-systems via a shared communication connection, multiple separate communication connections, and/or a combination of communication connections. In one example, each memory sub-system 110 can be a different type of memory device that is randomly accessed by processing device 118 over a memory bus.
  • The computer system 120 includes the processing device 118 and a controller 116. The processing device 118 can be, for example, a microprocessor, a central processing unit (CPU), a processing core of a processor, an execution unit, etc. In some instances, the controller 116 can be referred to as a memory controller, a memory management unit, and/or an initiator. In one example, the controller 116 controls the communications over a bus coupled between the computer system 120 and one or more memory sub-systems 110.
  • In general, the controller 116 can send commands or requests to the memory sub-system 110 for desired access to memory components 109A to 109N. The controller 116 can further include interface circuitry to communicate with the memory sub-system 110. The interface circuitry can convert responses received from memory sub-system 110 into information for the computer system 120.
  • The controller 116 of the computer system 120 can communicate with controller 115 of the memory sub-system 110 to perform operations such as reading data, writing data, or erasing data at the memory components 109A to 109N and other such operations. In some instances, the controller 116 is integrated within the same package of the processing device 118. In other instances, the controller 116 is separate from the package of the processing device 118. The controller 116 and/or the processing device 118 can include hardware such as one or more integrated circuits and/or discrete components, a buffer memory, a cache memory, or a combination thereof. The controller 116 and/or the processing device 118 can be a microcontroller, special purpose logic circuitry (e.g., a field programmable gate array (FPGA), an application specific integrated circuit (ASIC), etc.), or another suitable processor.
  • The memory components 109A to 109N can include any combination of various different types of non-volatile memory components and/or volatile memory components. An example of a non-volatile memory component includes a negative-AND (NAND) type flash memory. In one example, each of the memory components 109A to 109N can include one or more arrays of memory cells such as single level cells (SLCs) or multi-level cells (MLCs) (e.g., triple level cells (TLCs) or quad-level cells (QLCs)). In some embodiments, a particular memory component can include both an SLC portion and a MLC portion of memory cells. Each of the memory cells can store one or more bits of data (e.g., data blocks) used by the computer system 120.
  • Although non-volatile memory components such as NAND type flash memory are one example, the memory components 109A to 109N can be based on any other type of memory such as a volatile memory. In some embodiments, the memory components 109A to 109N can be, but are not limited to, random access memory (RAM), read-only memory (ROM), dynamic random access memory (DRAM), synchronous dynamic random access memory (SDRAM), phase change memory (PCM), magneto random access memory (MRAM), Spin Transfer Torque (STT)-MRAM, ferroelectric transistor random-access memory (FeTRAM), ferroelectric RAM (FeRAM), conductive bridging RAM (CBRAM), resistive random access memory (RRAM), oxide based RRAM (OxRAM), negative-or (NOR) flash memory, electrically erasable programmable read-only memory (EEPROM), nanowire-based non-volatile memory, memory that incorporates memristor technology, and a 3D XPoint array of non-volatile memory cells. A cross-point array of non-volatile memory can perform bit storage based on a change of bulk resistance, in conjunction with a stackable cross-gridded data access array. Additionally, in contrast to many flash-based memories, cross-point non-volatile memory can perform a write in-place operation, where a non-volatile memory cell can be programmed without the non-volatile memory cell being previously erased. Furthermore, the memory cells of the memory components 109A to 109N can be grouped as memory pages or data blocks that can refer to a unit of the memory component used to store data.
  • The controller 115 of the memory sub-system 110 can communicate with the memory components 109A to 109N to perform operations such as reading data, writing data, or erasing data at the memory components 109A to 109N and other such operations (e.g., in response to commands scheduled on a command bus by controller 116). The controller 115 can include hardware such as one or more integrated circuits and/or discrete components, a buffer memory, or a combination thereof. The controller 115 can be a microcontroller, special purpose logic circuitry (e.g., a field programmable gate array (FPGA), an application specific integrated circuit (ASIC), etc.), or another suitable processor. The controller 115 can include a processing device 117 (processor) configured to execute instructions stored in local memory 119. In the illustrated example, the local memory 119 of the controller 115 includes an embedded memory configured to store instructions for performing various processes, operations, logic flows, and routines that control operation of the memory sub-system 110, including handling communications between the memory sub-system 110 and the computer system 120. In some embodiments, the local memory 119 can include memory registers storing memory pointers, fetched data, etc. The local memory 119 can also include read-only memory (ROM) for storing micro-code. While the example memory sub-system 110 in FIG. 1 has been illustrated as including the controller 115, in another embodiment of the present disclosure, a memory sub-system 110 may not include a controller 115, and can instead rely upon external control (e.g., provided by an external host, or by a processor or controller separate from the memory sub-system).
  • In general, the controller 115 can receive commands or operations from the computer system 120 and can convert the commands or operations into instructions or appropriate commands to achieve the desired access to the memory components 109A to 109N. The controller 115 can be responsible for other operations such as wear leveling operations, garbage collection operations, error detection and error-correcting code (ECC) operations, encryption operations, caching operations, and address translations between a logical block address and a physical block address that are associated with the memory components 109A to 109N. The controller 115 can further include host interface circuitry to communicate with the computer system 120 via the physical host interface. The host interface circuitry can convert the commands received from the computer system into command instructions to access the memory components 109A to 109N as well as convert responses associated with the memory components 109A to 109N into information for the computer system 120.
  • The memory sub-system 110 can also include additional circuitry or components that are not illustrated. In some embodiments, the memory sub-system 110 can include a cache or buffer 121 (e.g., DRAM or SRAM) and address circuitry (e.g., a row decoder and a column decoder) that can receive an address from the controller 115 and decode the address to access the memory components 109A to 109N.
  • The computing environment 100 includes a metadata component 113 in the computer system 120 that stores metadata used to identify memory devices in which data is stored (e.g., as discussed in various embodiments above). A portion of metadata component 113 can reside on computer system 120 and/or memory sub-system 110. In one example, a portion of the metadata is stored in local memory 119 and/or buffer 121. In one example, a portion of the metadata is alternatively and/or additionally stored in a cache of controller 116 (e.g., stored in a translation lookaside buffer).
  • In one example, the memory sub-system 110 can provide access for computer system 120 to data in different types of memory devices via a DDR or other type of synchronous memory bus. In one embodiment, the access is provided to data in NVRAM on a DIMM and to data in a DRAM. In one example, data is made accessible in a random access memory address space of the computer system 120 for access during host read/write requests made over the DDR memory bus.
  • In one example, computer system 120 sends a page-in request (for access to a page) to controller 115. In response to receiving the page-in request, controller 115 moves a page from a slow media such as a non-volatile memory device to a volatile memory device (e.g., DRAM on memory sub-system 110).
  • In one example, computer system 120 sends a page-out request to controller 115. In response to receiving the page-out request, controller 115 moves data out of volatile memory (e.g., DRAM on memory sub-system 110) to non-volatile memory via buffer 121.
  • In some embodiments, the controller 116 and/or the processing device 118 in the computer system 120 includes at least a portion of the metadata component 113. For example, the controller 116 and/or the processing device 118 can include logic circuitry implementing the metadata component 113. For example, the processing device 118 (processor) of the computer system 120 can be configured to execute instructions stored in memory for performing operations that identify in which devices data is stored for the metadata component 113 as described herein. In some embodiments, the metadata component 113 is part of an operating system of the computer system 120, a device driver, or an application (e.g., an application executing on computer system 120).
  • In some embodiments, the controller 115 and/or the processing device 117 in the memory sub-system 110 includes at least a portion of the metadata component 113. For example, the controller 115 and/or the processing device 117 can include logic circuitry implementing the metadata component 113.
  • In one example, a central processing unit (CPU) can access memory in a memory system connected to the CPU. For example, the central processing unit (CPU) can be configured to access the memory based on a query to stored metadata of metadata component 113.
  • FIG. 2 shows a mobile device 200 that accesses different types of memory in a memory module 205 using a memory bus 203, in accordance with some embodiments. FIG. 2 shows a computer system having different types of memory. The computer system of FIG. 2 includes a mobile device 200, and a memory module 205 connected to the mobile device 200 via memory bus 203. The memory module 205 is an example of the memory sub-system 110 illustrated in FIG. 1 .
  • The mobile device 200 includes processing device 118, which can be a central processing unit or a microprocessor with one or more processing cores. The mobile device 200 can have a cache memory 211. At least a portion of the cache memory 211 can be optionally integrated within the same integrated circuit package of the processing device 118.
  • The memory module 205 illustrated in FIG. 2 has multiple types of memory (e.g., 221 and 223). For example, memory of type A 221 (e.g., DRAM) is faster than memory of type B 223 (e.g., NVRAM). For example, the memory bus 203 can be a double data rate bus. In general, several memory modules (e.g., 205) can be coupled to the memory bus 203.
  • The processing device 118 is configured via instructions (e.g., an operating system and/or one or more device drivers) to access a portion of memory in the computer system using metadata component 113. For example, memory of type B 223 (e.g., NVRAM) of the memory module 205 can be accessed or memory of type A 221 (e.g., DRAM) of the memory module 205 can be accessed. In one embodiment, memory of type B 223 of the memory module 205 is accessible only through addressing the memory of type A 221 of the memory module 205.
  • A controller 227 can be provided in the memory module 205 to manage data access to the memory of type A 221 and the memory of type B 223. In one embodiment, controller 227 multiplexes access to DRAM or NVRAM by mobile device 200 and memory module 205 when transferring data to or from buffer 121. In one example, memory bus 203 provides a host DDR channel as the DDR interface between mobile device 200 and memory module 205. In one example, once a page is retrieved from NVRAM memory into buffer 121, the page can be loaded for access by the mobile device via a conventional DDR4 slot (e.g., a host DDR channel).
  • In general, the memory sub-systems (e.g., 205) can include media, such as memory (e.g., 221, . . . , 223). The memory (e.g., 221, . . . , 223) can include volatile memory, non-volatile memory (NVM), and/or a combination of such. The processing device 118 can write data to each of the memory sub-systems (e.g., memory module 205) and read data from the memory sub-systems (e.g., memory module 205) directly or indirectly.
  • In one embodiment, memory module 205 provides memory bus access to non-volatile memory or volatile memory by using buffer 121. In one example, memory module 205 is a DIMM coupled to a mobile device 200 via a DDR bus. The storage media is, for example, cross-point memory.
  • In one embodiment, the mobile device communicates with the memory module via a communication channel for read/write operations (e.g., using a DDR4 bus). The mobile device can have one or more Central Processing Units (CPUs) to which computer peripheral devices, such as the memory module, may be attached via an interconnect, such as a computer bus (e.g., Serial AT Attachment (SATA), Peripheral Component Interconnect (PCI), PCI eXtended (PCI-X), PCI Express (PCIe)), a communication portion, and/or a computer network.
  • In one embodiment, the memory module can be used to store data for a processor in the non-volatile or volatile storage media. The memory module has a host interface that implements communications with the mobile device using the communication channel. In one embodiment, the memory module 205 has a controller 227 running, for example, firmware to perform operations responsive to communications from the processing device 118. In one example, the memory module includes volatile Dynamic Random-Access Memory (DRAM) and NVRAM. The DRAM and NVRAM store data accessible by the processing device 118 in a memory address space.
  • As illustrated, the computer system of FIG. 2 is used to implement a mobile device. The processing device 118 can read data from or write data to the memory sub-systems (e.g., 205).
  • A physical host interface can be used to transmit data between the processing device 118 and the memory sub-system (e.g., 205). The physical host interface can provide an interface for passing control, address, data, and other signals between the memory sub-system (e.g., 205) and the processing device 118.
  • In general, a memory sub-system (e.g., memory module 205) includes a printed circuit board that connects a set of memory devices, such as memory integrated circuits, that provides the memory (e.g., 221, . . . , 223). The memory (e.g., 221, . . . , 223) on the memory sub-system (e.g., 205) can include any combination of the different types of non-volatile memory devices and/or volatile memory devices.
  • In some implementations, the memory (e.g., 221, . . . , 223) can include, but are not limited to, random access memory (RAM), read-only memory (ROM), dynamic random access memory (DRAM), static random access memory (SRAM), synchronous dynamic random access memory (SDRAM), phase change memory (PCM), magneto random access memory (MRAM), negative-or (NOR) flash memory, electrically erasable programmable read-only memory (EEPROM), and/or a cross-point array of non-volatile memory cells.
  • A memory sub-system (e.g., memory module 205) can have a controller (e.g., 227) that communicates with the memory (e.g., 221, . . . , 223) to perform operations such as reading data, writing data, or erasing data in the memory (e.g., 221, . . . , 223) and other such operations, in response to requests, commands or instructions from the processing device 118. The controller (e.g., 227) can include hardware such as one or more integrated circuits and/or discrete components, a buffer memory, or a combination thereof. The controller (e.g., 227) can be a microcontroller, special purpose logic circuitry (e.g., a field programmable gate array (FPGA), an application specific integrated circuit (ASIC), etc.), or another suitable processor. The controller (e.g., 227) can include one or more processors (processing devices) configured to execute instructions stored in local memory.
  • The local memory of the controller (e.g., 227) can include an embedded memory configured to store instructions for performing various processes, operations, logic flows, and routines that control operation of the memory sub-system (e.g., 205), including handling communications between the memory sub-system (e.g., 205) and the processing device 118, and other functions described in greater detail below. Local memory of the controller (e.g., 227) can include read-only memory (ROM) for storing micro-code and/or memory registers storing, e.g., memory pointers, fetched data, etc.
  • While the example memory sub-system 205 in FIG. 2 has been illustrated as including controller 227, in another embodiment of the present disclosure, a memory sub-system (e.g., 205) may not include a controller (e.g., 227), and can instead rely upon external control (e.g., provided by a processor or controller separate from the memory sub-system (e.g., 205)).
  • In general, the controller (e.g., 227) can receive commands, requests or instructions from the processing device 118 in accordance with a standard communication protocol for the communication channel (e.g., 203) and can convert the commands, requests or instructions in compliance with the standard protocol into detailed instructions or appropriate commands within the memory sub-system (e.g., 205) to achieve the desired access to the memory (e.g., 221, . . . , 223). For example, the controller (e.g., 227) can be responsible for operations such as address translations between a logical address and a physical address that are associated with the memory (e.g., 221, . . . , 223). The controller (e.g., 227) can further include host interface circuitry to communicate with the processing device 118 via the physical host interface. The host interface circuitry can convert the commands received from the processing device 118 into command instructions to access the memory devices (e.g., 221, . . . , 223) as well as convert responses associated with the memory devices (e.g., 221, . . . , 223) into information for the processing device 118.
  • The memory sub-system (e.g., 205) can also include additional circuitry or components that are not illustrated. In some implementations, the memory sub-system (e.g., 205) can include a cache or buffer (e.g., DRAM) and address circuitry (e.g., a row decoder and a column decoder) that can receive an address from the controller (e.g., 227) and decode the address to access the memory (e.g., 221, . . . , 223).
  • In one example, the memory bus 203 has one or more connectors to provide the memory sub-system (e.g., 205) with power and/or communicate with the memory sub-system (e.g., 205) via a predetermined protocol; and the memory sub-system (e.g., 205) has one or more connectors to receive the power, data and commands from the processing device 118. In one example, the processing device 118 can execute one or more operating systems to provide services, including memory access in which a portion of memory (e.g., a page stored in NVRAM) in the computer system is accessed using synchronous memory access.
  • FIG. 3 illustrates an example computer system 300 that stores metadata 320 used to access memory devices in a memory sub-system 302, in accordance with some embodiments. The memory devices accessed in memory sub-system 302 include DRAM 304, NVRAM 306, and NAND flash 308. In one embodiment, computer system 300 alternatively and/or additionally stores metadata 322 in DRAM 304 that is used to access the memory devices.
  • In one embodiment, a processing device 310 of computer system 300 accesses memory in an address space. In one example, the memory is main memory used by processing device 310 when executing one or more applications. The processing device 310 accesses different memory devices using addresses in the address space.
  • In one embodiment, metadata 320, 322 associates a first address range of the address space with a memory device (e.g., DRAM 304) and a second address range of the address space with a different memory device (e.g., NVRAM 306 or NAND flash 308). In one example, the latency of DRAM 304 is less than the latency of NVRAM 306 and NAND flash 308.
  • The applications executing on processing device 310 include application 312, which is configured to include a memory type 314. When the application 312 is initially launched, application 312 provides memory type 314 to processing device 310 along with a request for an allocation of memory in the main memory of computer system 300.
  • In response to the request for the allocation of memory, processing device 310 makes a query to metadata 320 and/or sends a query to metadata 322. Based on a result from one or both of these queries, processing device 310 allocates an address range in the address space to application 312.
  • In one embodiment, application 312 makes a request to processing device 310 for an indication of latency associated with the memory devices. Processing device 310 accesses metadata 320, 322 to obtain a result, and based on this result provides the indication of latency to application 312. In response to receiving the indication of latency, application 312 makes a request for an allocation of memory corresponding to a specific one of the memory devices, a memory device corresponding to memory type 314, or a request for an allocation of memory that has performance characteristics meeting at least one or more predetermined thresholds and/or requirements.
  • In one embodiment, metadata 322 stores data that associates an address range in a virtual address space with physical addresses in the memory devices of memory sub-system 302. In one example, metadata 322 stores address range 324 for NVRAM, and address range 326 for NAND flash. In one example, address range 324 maps a virtual or logical address of processing device 310 to a physical address of NVRAM 306. In one example, address range 326 maps a virtual or logical address of processing device 310 to a physical address of NAND flash 308. In one embodiment, metadata 320 or 322 stores one or more address ranges mapping addresses of processing device 310 for data stored in DRAM 304.
  • In one embodiment, metadata 322 is stored as part of page table 328, which provides a mapping of virtual addresses to physical addresses for a memory management unit 316 of computer system 300. Processing device 310 provides a virtual address to memory management unit 316, which accesses a translation lookaside buffer 318 to obtain a physical address in one of the memory devices of memory sub-system 302.
  • In one embodiment, translation lookaside buffer 318 is a cache that stores a portion of the data from page table 328. In one example, buffer 318 stores a portion of metadata 322. In one embodiment, a portion of metadata 320 stored on computer system 300 is copied to translation lookaside buffer 318 for access by memory management unit 316 when accessing a memory device in memory sub-system 302.
  • In one embodiment, processing device 310 provides memory characteristics of the different memory devices to application 312. Application 312 makes a request for an allocation of memory based on the provided memory characteristics.
  • In one embodiment, processing device 310 receives a requested latency from application 312. An address range is allocated to the application 312 based on the requested latency.
  • In one embodiment, processing device 310 determines a priority associated with application 312. The address range allocated to application 312 is based on the determined priority. In one example, a faster memory device type is selected for use with the determined priority. Processing device 310 uses metadata 320, 322 to select an address range that physically stores data in a memory device of the selected faster memory device type.
  • In one embodiment, processing device 310 determines a change in priority of application 312. In one example, based on an increase in priority of application 312, processing device 310 changes a memory allocation that is used for application 312 in the address space. In one example, in response to the increase in priority, processing device 310 accesses metadata 320, 322 to determine an address range that corresponds to a faster physical memory device.
  • In one embodiment, processing device 310 determines a priority of application 312 based on observing characteristics associated with data access by application 312 in the address space. The observed characteristics can be used for allocating memory usage for application 312. In one embodiment, processing device 310 determines one or more latencies associated with physical memory devices. Metadata 320, 322 stores data regarding the determined one or more latencies, which can be used by processing device 310 when initially allocating and/or changing an allocation of main memory.
  • FIG. 4 shows a memory module 401 configured for memory bus access by a host computer system (not shown) to volatile memory 402 and non-volatile memory 404, in accordance with some embodiments. Memory module 401 is an example of memory sub-system 302 or memory module 205. In one example, memory module 401 is a hybrid DIMM. Volatile memory 402 is for example DRAM.
  • Memory module 401 uses multiplexer 408 to provide access to volatile memory 402 and non-volatile memory 404 by memory controller 416. Memory controller 416 is coupled to host interface 406 for handling read/write access by a host system. In one embodiment, multiplexer 408 is controlled based on signals received from memory controller 416 in response to receiving read or write commands from the host system via host interface 406.
  • In one example, a host system accesses a memory space (e.g., DRAM memory address space) on the memory module 401 (e.g., a DIMM). The DIMM exposes itself to the host as a channel of DRAM. In one embodiment, a hypervisor of the host system controls data movement on the DIMM. For example, a request is made for moving memory blocks in and out of the DRAM address space and exposing the DRAM pages to software running on the host. The software is, for example, executing in a virtual machine (VM).
  • In one example, a page in/out control path is provided for a driver to request a page that is currently in DRAM or in NVRAM. In one example, the NVRAM has a much larger capacity than the DRAM.
  • In one example, memory module 401 is implemented as a DIMM. The non-volatile memory 404 is provided by 3D XPoint memory packages. In one example, pages of data obtained from the 3D XPoint memory are copied in and out of a buffer (page in/page out).
  • In one example, the host system has read/write access to any DRAM or NVRAM address using normal DDR4 timing. For example, the host can generate arbitrary traffic per DDR4 rules during those times.
  • In one example, the full DDR address space of the non-volatile memory 404 is exposed to the host system. According to various embodiments, a controller (e.g., controller 116) of computer system 120 can operate in the same way (e.g., same read/write and refresh timing cycles) as it would for access to a conventional DRAM.
  • FIG. 5 shows a host operating system 241 accessing a memory module 502 using a memory bus, in accordance with at least some embodiments. Memory module 502 includes a buffer 410. Buffer 410 is an example of buffer 121. In one example, buffer 410 stores metadata 322 and/or at least a portion of page table 328. Commands and data are received from a host operating system 241 via host interface 406. In one example, host operating system 241 executes on computer system 120 or 300.
  • In one embodiment, a device driver 247 (e.g., a back-end driver) is configured for memory access via a hypervisor 245. In one example, the system of FIG. 5 is implemented in a computer system of FIGS. 1-3 .
  • In one example, the host operating system 241 runs on the processing device 118 of the computer system of FIG. 1 or 2 , or processing device 310 of FIG. 3 . The host operating system 241 includes one or more device drivers (e.g., 247) that provide memory services using the memory (e.g., 221, . . . , 223) of memory sub-systems, such as the memory module 205 or memory sub-system 302.
  • In one embodiment, back-end driver 247 maintains a mapping table 246. For example, the driver 247 maintains mapping table 246 to include a mapping for pages of data stored in DRAM 304, NVRAM 306, and NAND flash 308.
  • In one embodiment, the host operating system 241 includes a hypervisor 245 that provisions a virtual machine 249. The virtual machine 249 has virtual hardware implemented via the resources and services provided by the host operating system 241 using the hardware of a computing system of FIGS. 1-3 . For example, the hypervisor 245 can provision virtual memory as part of the virtual machine 249 using a portion of the memory (e.g., 221, . . . , 223) of memory sub-systems, such as the memory module 205.
  • The virtual machine 249 allows a guest operating system 243 to provide resources and/or services to applications (e.g., 251, . . . , 253) running in the guest operating system 243, in a way as the operating system 243 running on a physical computing machine that has the same or similar set of hardware as provisioning in the virtual machine. The hypervisor 245 manages the mapping between the virtual hardware provisioned in the virtual machine and the services of hardware in the computing system managed by the host operating system 241.
  • A device driver 248 (e.g., a front-end driver) communicates with back-end driver 247. Driver 247 and driver 248 can communicate for memory ballooning when additional DDR capacity (e.g., capacity in DRAM or NVRAM) is available.
  • FIG. 5 illustrates an instance in which a virtual machine 249 is provisioned by the hypervisor 245. In general, the hypervisor 245 can provision several virtual machines (e.g., 249) that can run the same guest operating system 243, or different guest operating systems. Different sets of users and/or application programs can be assigned to use different virtual machines.
  • In some instances, the host operating system 241 is specialized to provide services for the provisioning of virtual machines and does not run other application programs. Alternatively, the host operating system 241 can provide additional services to support other application programs, such as applications (e.g., 251, . . . , 253).
  • In one embodiment, the device driver 247 can be configured to request page-in of a page from slower memory (e.g., NVRAM) to faster memory (e.g., DRAM) for use by the virtual machine 249. This request can be made in response to a request from an application (e.g., application 312 of FIG. 3 ). After requesting the page, the page is made available in the faster memory by loading and/or transferring the page of data from the slower memory to the faster memory. In one example, processing device 310 moves the page from slower memory to faster memory based on address range information stored as metadata 320, 322. In one example, the slower memory can be the non-volatile memory 404 in the memory module 401 and the faster memory be the volatile memory 402 in the same memory module 401.
  • In one embodiment, the transfer of data (e.g., performed in response to a page-in request by the host operating system 241) is performed within a same memory sub-system, such as within the same memory module 401, to avoid or reduce congestion in communication channels connected to the processing device 118, such as the memory bus 203. For example, data can be copied from the slower memory 223 (e.g., NVRAM or NAND flash) in the memory module 205 to the faster memory 221 (e.g., DRAM) in the memory module 205, under the control of controller 227 in the memory module 205 in response to one or more commands, requests, and/or instructions from the device driver 247.
  • In one embodiment, the hypervisor 245 not only requests the device driver 247 to access a memory (e.g., 221, . . . , 223) in a memory sub-system (e.g., memory module 205), but also provides the device driver 247 with information that can be used in managing pages in the memory (e.g., 221, . . . , 223, . . . , or 225) to be used. In one example, the provided information includes stored metadata 320 or 322.
  • In one example, driver 247 is a memory mode driver used to access a memory address space in memory module 502 (e.g., a DIMM). Driver 247 has control over which pages are in volatile memory of the DIMM at any one time. In one approach, for example, the memory address space is exposed to the guest operating system 243. In this hypervisor environment, the guest operating system 243 sees the full storage capacity of the non-volatile memory (e.g., NVRAM and DRAM) in the DIMM.
  • In one example, only a number of pages that are in the DDR DRAM are actively paged-in via the host operating system 241. If there is a guest access to a page that is not present, a page fault path in a memory management unit (MMU) of the host system triggers the driver 247 to cause loading (page in) of a page. In one example, the page gets loaded in through control registers. Once the page is actually present in the DDR DRAM, then the driver 247 can set up MMU mapping (via mapping table 246) so that a guest application can directly read and write that data.
  • In one example, a front-end driver of a guest and a back-end driver of a host communicate regarding access to the memory address space. In one example, when deciding that pages are stale (e.g., not being used frequently based on a predetermined threshold), a request is made that a portion of data that is currently mapped in the DDR memory address space be pushed back out to the NVRAM memory (e.g., via an SRAM buffer) to make space available in the DRAM memory for other pages to be paged in. The back-end driver 247 communicates the page out request to move data from the DDR DRAM to the NVRAM memory.
  • In one embodiment, back-end driver 247 operates as a memory mode driver. Until driver 247 loads, there is no access to the NVRAM memory capacity of memory module 502. During this operation as a memory mode driver, the guest operating system 243 sees the memory as normal, and the driver 247 reserves DRAM pages on the memory module for page-in and page-out operations.
  • The driver 247 exposes the NVRAM memory to the guest operating system 243 and maintains the page mapping (e.g., in mapping table 246). For example, the driver 247 maintains the mapping between pages that are currently in the DRAM and pages that are on the NVRAM memory.
  • In one example, the driver 247 sets up memory management unit mapping tables at the host system to map any pages that are currently stored in DRAM. A page fault path from the guest can be used if there is an access outside of a mapped page to trigger a page-in request. A page-out request can be performed to maintain some memory space in the DRAM.
  • In one embodiment, operation is not restricted to memory mode. Driver 247 can also be operated as a block mode driver for which NVRAM memory is exposed as block mode storage.
  • In one embodiment, the memory module 502 maintains its own mapping table including a list of pages that are in an SRAM buffer (not shown). The memory module 502 can return a page-in completion signal to the host system once a page has been moved to the SRAM buffer. These permit reducing the latency for the host system to access those particular page(s). The driver 247 ensures that until its mapping is set up, the host will not access that page(s) until the page-in request completes.
  • In one embodiment, driver 247 implements a page out operation. In one example, this operation is triggered as a thread. This operation trades free pages back out of the DRAM memory and changes the mapping of valid pages.
  • FIG. 6 shows a method for managing memory for processes in an address space of a computer system based on stored metadata that associates virtual address ranges for the processes in the address space with physical addresses for memory devices in the computer system, in accordance with some embodiments. For example, the method of FIG. 6 can be implemented in the system of FIGS. 1-3 .
  • The method of FIG. 6 can be performed by processing logic that can include hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of a device, integrated circuit, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof. In some embodiments, the method of FIG. 6 is performed at least in part by one or more processing devices (e.g., processing device 310 of FIG. 3 ).
  • Although shown in a particular sequence or order, unless otherwise specified, the order of the processes can be modified. Thus, the illustrated embodiments should be understood only as examples, and the illustrated processes can be performed in a different order, and some processes can be performed in parallel. Additionally, one or more processes can be omitted in various embodiments. Thus, not all processes are required in every embodiment. Other process flows are possible.
  • At block 601, an operating system maintains memory in an address space. The memory is accessed including accessing a first memory device and a second memory device using addresses in the address space. In one example, the operating system executes on processing device 310 of FIG. 3 . In one example, the first memory device is DRAM 304, and the second memory device is NVRAM 306. In one example, the first memory device is NVRAM 306, and the second memory device is NAND flash 308.
  • At block 603, metadata is stored that associates a first address range of the address space with the first memory device. The metadata also associates a second address range of the address space with the second memory device. In one example, the stored metadata is metadata 320 and/or 322 of FIG. 3 . In one example, the first address range is address range 324, and the second address range is address range 326.
  • At block 605, processes running in a computer system are managed based on the stored metadata. The processes include a first process and a second process. Data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device. In one example, data for the first process is stored in address range 324, and data for the second process is stored in address range 326. In one example, data for the first process is stored in an address range of metadata 320, 322 that corresponds to physical memory storage in DRAM 304. In one example, the computer system is computer system 120 or 300.
  • In one embodiment, a method comprises: accessing, by a processing device (e.g., processing device 310 of FIG. 3 ) of a computer system, memory in an address space, wherein memory devices of the computer system are accessed by the processing device using addresses in the address space; storing metadata (e.g., metadata 320 and/or 322) that associates a first address range of the address space with a first memory device (e.g., DRAM 304), and a second address range of the address space with a second memory device (e.g., NVRAM 306), wherein a first latency of the first memory device is different from a second latency of the second memory device; and allocating, based on the stored metadata, the first address range to an application (e.g., application 312) executing on the computer system.
  • In one embodiment, allocating the first address range to the application is performed in response to a request by the application.
  • In one embodiment, the method further comprises: in response to a first request by the application, providing an indication that the first latency is greater than the second latency; receiving a second request made by the application based on the indication; and in response to receiving the second request, allocating the second address range to the application.
  • In one embodiment, the first latency is less than the second latency, and the metadata is stored in the first memory device.
  • In one embodiment, the computer system uses a memory bus to access the first memory device and the second memory device, and wherein the metadata is stored in the second memory device.
  • In one embodiment, the metadata is stored in the first memory device, and the method further comprises loading at least a portion of the metadata into a buffer (e.g., translation lookaside buffer 318), wherein the processing device queries the buffer to determine a physical address corresponding to a virtual address in the first address range.
  • In one embodiment, the computer system is a system-on-chip device, and the buffer is a translation lookaside buffer.
  • In one embodiment, the method further comprises: providing, to the application, memory characteristics of the first memory device and the second memory device; wherein allocating the first address range to the application is in response to a request made by the application based on the provided memory characteristics.
  • In one embodiment, the method further comprises receiving a requested latency from the application, wherein allocating the first address range to the application is further based on the requested latency.
  • In one embodiment, the method further comprises determining a priority associated with the application, wherein allocating the first address range to the application is further based on the priority.
  • In one embodiment, the first latency is less than the second latency; prior to allocating the first address range to the application, the application is allocated to the second address range; and allocating the first address range to the application is performed in response to determining an increase in a priority associated with the application.
  • In one embodiment, determining the increase in the priority associated with the application is based on one or more observations regarding data access by the application in the address space.
  • In one embodiment, the method further comprises determining, by the processing device, latencies associated with the memory devices, wherein storing the metadata further comprises storing the determined latencies.
  • In one embodiment, a system comprises: a first memory device; a second memory device; at least one processing device; and memory containing instructions configured to instruct the at least one processing device to: access memory in an address space maintained by an operating system, the accessing including accessing the first memory device and the second memory device using addresses in the address space; store metadata that associates a first address range of the address space with the first memory device, and a second address range of the address space with the second memory device; and manage, by the operating system based on the stored metadata, processes including a first process and a second process, wherein data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device.
  • In one embodiment, the first process has a first priority, the second process has a second priority, and the first memory device is selected to store the data for the first process in response to determining that the first priority is higher than the second priority.
  • In one embodiment, the first process corresponds to a first application; the instructions are further configured to instruct the at least one processing device to receive a request from the first application that indicates a type of memory to use for storing data; and the first memory device is selected to store the data for the first process based on the indicated type of memory.
  • In one embodiment, the system further comprises a buffer to store the metadata, wherein the operating system receives a virtual address in the first address range from the first process, and accesses the buffer to determine a physical address of the first memory device corresponding to the virtual address.
  • In one embodiment, a read latency of the first memory device is less than a read latency of the second memory device, and the instructions are further configured to instruct the at least one processing device to store the metadata in the first memory device.
  • In one embodiment, the system further comprises a memory management unit (e.g., memory management unit 316) configured to, when accessing the stored data for the first process, map a virtual address in the first address range to a physical address in the first memory device.
  • In one embodiment, a non-transitory machine-readable storage medium stores instructions which, when executed on at least one processing device, cause the at least one processing device to at least: access memory in an address space, wherein memory devices of a computer system are accessed by the at least one processing device using addresses in the address space; store metadata that associates a first address range of the address space with a first memory device, and a second address range of the address space with a second memory device; provide, to an application executing on the computer system, first data indicating that a first latency of the first memory device is less than a second latency of the second memory device; in response to providing the first data to the application, receive a request from the application that second data associated with the application be stored in the first memory device; in response to a request by the application to store the second data, query the stored metadata to provide a result; and store, based on the result, the second data in the first memory device.
  • FIG. 7 is a block diagram of an example computer system in which embodiments of the present disclosure can operate. FIG. 7 illustrates an example machine of a computer system 600 within which a set of instructions, for causing the machine to perform any one or more of the methodologies discussed herein, can be executed. In some embodiments, the computer system 600 can correspond to a host system (e.g., the computer system 120 of FIG. 1 ) that includes, is coupled to, or utilizes a memory sub-system (e.g., the memory sub-system 110 of FIG. 1 ) or can be used to perform the operations of a metadata component 113 (e.g., to execute instructions to perform operations corresponding to the metadata component 113 described with reference to FIGS. 1-6 ). In alternative embodiments, the machine can be connected (e.g., networked) to other machines in a LAN, an intranet, an extranet, and/or the Internet. The machine can operate in the capacity of a server or a client machine in client-server network environment, as a peer machine in a peer-to-peer (or distributed) network environment, or as a server or a client machine in a cloud computing infrastructure or environment.
  • The machine can be a personal computer (PC), a tablet PC, a set-top box (STB), a Personal Digital Assistant (PDA), a cellular telephone, a web appliance, a server, a network router, a switch or bridge, an Internet of Things (IOT) device, or any machine capable of executing a set of instructions (sequential or otherwise) that specify actions to be taken by that machine. Further, while a single machine is illustrated, the term “machine” shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein.
  • The example computer system 600 includes a processing device 602, a main memory 604 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), static random access memory (SRAM), etc.), and a data storage system 618, which communicate with each other via a bus 630 (which can include multiple buses).
  • Processing device 602 represents one or more general-purpose processing devices such as a microprocessor, a central processing unit, or the like. More particularly, the processing device can be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, or a processor implementing other instruction sets, or processors implementing a combination of instruction sets. Processing device 602 can also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. The processing device 602 is configured to execute instructions 626 for performing the operations and steps discussed herein. The computer system 600 can further include a network interface device 608 to communicate over the network 620.
  • The data storage system 618 can include a machine-readable storage medium 624 (also known as a computer-readable medium) on which is stored one or more sets of instructions 626 or software embodying any one or more of the methodologies or functions described herein. The instructions 626 can also reside, completely or at least partially, within the main memory 604 and/or within the processing device 602 during execution thereof by the computer system 600, the main memory 604 and the processing device 602 also constituting machine-readable storage media. The machine-readable storage medium 624, data storage system 618, and/or main memory 604 can correspond to the memory sub-system 110 of FIG. 1 .
  • In one embodiment, the instructions 626 include instructions to implement functionality corresponding to a metadata component 113 (e.g., the metadata component 113 described with reference to FIGS. 1-6 ). While the machine-readable storage medium 624 is shown in an example embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media that store the one or more sets of instructions.
  • Closing
  • The disclosure includes various devices which perform the methods and implement the systems described above, including data processing systems which perform these methods, and computer readable media containing instructions which when executed on data processing systems cause the systems to perform these methods.
  • The description and drawings are illustrative and are not to be construed as limiting. Numerous specific details are described to provide a thorough understanding. However, in certain instances, well-known or conventional details are not described in order to avoid obscuring the description. References to one or an embodiment in the present disclosure are not necessarily references to the same embodiment; and, such references mean at least one.
  • Reference in this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments mutually exclusive of other embodiments. Moreover, various features are described which may be exhibited by some embodiments and not by others. Similarly, various requirements are described which may be requirements for some embodiments but not other embodiments.
  • In this description, various functions and operations may be described as being performed by or caused by software code to simplify description. However, those skilled in the art will recognize what is meant by such expressions is that the functions result from execution of the code by one or more processors, such as a microprocessor, Application-Specific Integrated Circuit (ASIC), graphics processor, and/or a Field-Programmable Gate Array (FPGA). Alternatively, or in combination, the functions and operations can be implemented using special purpose circuitry (e.g., logic circuitry), with or without software instructions. Embodiments can be implemented using hardwired circuitry without software instructions, or in combination with software instructions. Thus, the techniques are not limited to any specific combination of hardware circuitry and software, nor to any particular source for the instructions executed by a computing device.
  • While some embodiments can be implemented in fully functioning computers and computer systems, various embodiments are capable of being distributed as a computing product in a variety of forms and are capable of being applied regardless of the particular type of machine or computer-readable media used to actually effect the distribution.
  • At least some aspects disclosed can be embodied, at least in part, in software. That is, the techniques may be carried out in a computing device or other system in response to its processor, such as a microprocessor, executing sequences of instructions contained in a memory, such as ROM, volatile RAM, non-volatile memory, cache or a remote storage device.
  • Routines executed to implement the embodiments may be implemented as part of an operating system, middleware, service delivery platform, SDK (Software Development Kit) component, web services, or other specific application, component, program, object, module or sequence of instructions referred to as “computer programs.” Invocation interfaces to these routines can be exposed to a software development community as an API (Application Programming Interface). The computer programs typically comprise one or more instructions set at various times in various memory and storage devices in a computer, and that, when read and executed by one or more processors in a computer, cause the computer to perform operations necessary to execute elements involving the various aspects.
  • A machine readable medium can be used to store software and data which when executed by a computing device causes the device to perform various methods. The executable software and data may be stored in various places including, for example, ROM, volatile RAM, non-volatile memory and/or cache. Portions of this software and/or data may be stored in any one of these storage devices. Further, the data and instructions can be obtained from centralized servers or peer to peer networks. Different portions of the data and instructions can be obtained from different centralized servers and/or peer to peer networks at different times and in different communication sessions or in a same communication session. The data and instructions can be obtained in entirety prior to the execution of the applications. Alternatively, portions of the data and instructions can be obtained dynamically, just in time, when needed for execution. Thus, it is not required that the data and instructions be on a machine readable medium in entirety at a particular instance of time.
  • Examples of computer-readable media include but are not limited to recordable and non-recordable type media such as volatile and non-volatile memory devices, read only memory (ROM), random access memory (RAM), flash memory devices, solid-state drive storage media, removable disks, magnetic disk storage media, optical storage media (e.g., Compact Disk Read-Only Memory (CD ROMs), Digital Versatile Disks (DVDs), etc.), among others. The computer-readable media may store the instructions.
  • In general, a tangible or non-transitory machine readable medium includes any mechanism that provides (e.g., stores) information in a form accessible by a machine (e.g., a computer, mobile device, network device, personal digital assistant, manufacturing tool, any device with a set of one or more processors, etc.).
  • In various embodiments, hardwired circuitry may be used in combination with software and firmware instructions to implement the techniques. Thus, the techniques are neither limited to any specific combination of hardware circuitry and software nor to any particular source for the instructions executed by a computing device.
  • Although some of the drawings illustrate a number of operations in a particular order, operations which are not order dependent may be reordered and other operations may be combined or broken out. While some reordering or other groupings are specifically mentioned, others will be apparent to those of ordinary skill in the art and so do not present an exhaustive list of alternatives. Moreover, it should be recognized that the stages could be implemented in hardware, firmware, software or any combination thereof.
  • In the foregoing specification, the disclosure has been described with reference to specific exemplary embodiments thereof. It will be evident that various modifications may be made thereto without departing from the broader spirit and scope as set forth in the following claims. The specification and drawings are, accordingly, to be regarded in an illustrative sense rather than a restrictive sense.
  • Various embodiments set forth herein can be implemented using a wide variety of different types of computing devices. As used herein, examples of a “computing device” include, but are not limited to, a server, a centralized computing platform, a system of multiple computing processors and/or components, a mobile device, a user terminal, a vehicle, a personal communications device, a wearable digital device, an electronic kiosk, a general purpose computer, an electronic document reader, a tablet, a laptop computer, a smartphone, a digital camera, a residential domestic appliance, a television, or a digital music player. Additional examples of computing devices include devices that are part of what is called “the internet of things” (IOT). Such “things” may have occasional interactions with their owners or administrators, who may monitor the things or modify settings on these things. In some cases, such owners or administrators play the role of users with respect to the “thing” devices. In some examples, the primary mobile device (e.g., an Apple iPhone) of a user may be an administrator server with respect to a paired “thing” device that is worn by the user (e.g., an Apple watch).
  • In some embodiments, the computing device can be a computer or host system, which is implemented, for example, as a desktop computer, laptop computer, network server, mobile device, or other computing device that includes a memory and a processing device. The host system can include or be coupled to a memory sub-system so that the host system can read data from or write data to the memory sub-system. The host system can be coupled to the memory sub-system via a physical host interface. In general, the host system can access multiple memory sub-systems via a same communication connection, multiple separate communication connections, and/or a combination of communication connections.

Claims (20)

What is claimed is:
1. A system comprising:
a first memory device;
a second memory device;
at least one processing device; and
memory containing instructions configured to instruct the at least one processing device to:
access memory in an address space maintained by an operating system, the accessing including accessing the first memory device and the second memory device using addresses in the address space;
store metadata that associates a first address range of the address space with the first memory device, and a second address range of the address space with the second memory device; and
manage, by the operating system based on the stored metadata, processes including a first process and a second process, wherein data for the first process is stored in the first memory device, and data for the second process is stored in the second memory device.
2. The system of claim 1, wherein the first process has a first priority, the second process has a second priority, and the first memory device is selected to store the data for the first process in response to determining that the first priority is higher than the second priority.
3. The system of claim 1, wherein:
the first process corresponds to a first application;
the instructions are further configured to instruct the at least one processing device to receive a request from the first application that indicates a type of memory to use for storing data; and
the first memory device is selected to store the data for the first process based on the indicated type of memory.
4. The system of claim 1, further comprising a buffer to store the metadata, wherein the operating system receives a virtual address in the first address range from the first process, and accesses the buffer to determine a physical address of the first memory device corresponding to the virtual address.
5. The system of claim 1, wherein a read latency of the first memory device is less than a read latency of the second memory device, and wherein the instructions are further configured to instruct the at least one processing device to store the metadata in the first memory device.
6. The system of claim 1, further comprising a memory management unit configured to, when accessing the stored data for the first process, map a virtual address in the first address range to a physical address in the first memory device.
7. An apparatus comprising:
a memory module comprising a host interface configured to receive commands from a host operating system;
a memory bus; and
a processing device configured to run the host operating system, wherein the host operating system accesses the memory module using the memory bus and includes at least one first device driver that provides memory services using the memory module, and wherein the first device driver maps pages of data stored in DRAM and NAND flash memory.
8. The apparatus of claim 7, wherein the host operating system comprises a hypervisor configured to provision a virtual machine.
9. The apparatus of claim 8, wherein the virtual machine allows a guest operating system to provide resources to applications running in the guest operating system.
10. The apparatus of claim 7, wherein the host operating system comprises a hypervisor configured to provision virtual memory that uses at least a portion of memory in the memory module.
11. The apparatus of claim 7, wherein a second device driver of a guest operating system is configured to communicate with the first device driver for memory ballooning when additional capacity in the DRAM or NAND flash memory is available.
12. The apparatus of claim 7, wherein the first device driver is configured to request page-in of a page from slower memory to faster memory.
13. The apparatus of claim 12, wherein the slower memory includes the NAND flash memory, and the faster memory includes the DRAM.
14. The apparatus of claim 12, wherein the processing device is further configured to move the page from slower memory to faster memory based on address range data stored as metadata in the memory module.
15. A system comprising:
a memory controller configured to communicate with a host and to manage data based on stored metadata;
volatile memory;
non-volatile memory; and
a multiplexer controlled by the controller and configured to selectively store data in either the volatile memory or non-volatile memory based on the stored metadata.
16. The system of claim 15, wherein the multiplexer is controlled based on signals received from the memory controller in response to receiving read or write commands from the host.
17. The system of claim 15, wherein the memory controller is further configured to provide the host with access to any address in the volatile memory and the non-volatile memory using a memory protocol.
18. The system of claim 17, wherein the memory protocol uses double data rate timing.
19. The system of claim 15, wherein the non-volatile memory is NVRAM.
20. The system of claim 15, wherein the memory controller is further configured to provide a page-in/out control path for the host to request a page in the volatile memory or the non-volatile memory.
US18/190,669 2019-09-17 2023-03-27 Accessing stored metadata to identify memory devices in which data is stored Pending US20230236747A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/190,669 US20230236747A1 (en) 2019-09-17 2023-03-27 Accessing stored metadata to identify memory devices in which data is stored

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/573,490 US11650742B2 (en) 2019-09-17 2019-09-17 Accessing stored metadata to identify memory devices in which data is stored
US18/190,669 US20230236747A1 (en) 2019-09-17 2023-03-27 Accessing stored metadata to identify memory devices in which data is stored

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/573,490 Division US11650742B2 (en) 2019-09-17 2019-09-17 Accessing stored metadata to identify memory devices in which data is stored

Publications (1)

Publication Number Publication Date
US20230236747A1 true US20230236747A1 (en) 2023-07-27

Family

ID=74869576

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/573,490 Active 2040-03-10 US11650742B2 (en) 2019-09-17 2019-09-17 Accessing stored metadata to identify memory devices in which data is stored
US18/190,669 Pending US20230236747A1 (en) 2019-09-17 2023-03-27 Accessing stored metadata to identify memory devices in which data is stored

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/573,490 Active 2040-03-10 US11650742B2 (en) 2019-09-17 2019-09-17 Accessing stored metadata to identify memory devices in which data is stored

Country Status (7)

Country Link
US (2) US11650742B2 (en)
EP (1) EP4031973A4 (en)
JP (1) JP2022548888A (en)
KR (1) KR20220060548A (en)
CN (1) CN114402282B (en)
TW (1) TWI752619B (en)
WO (1) WO2021055281A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11934319B2 (en) 2019-09-17 2024-03-19 Micron Technology, Inc. Memory system for binding data to a memory namespace

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11269780B2 (en) 2019-09-17 2022-03-08 Micron Technology, Inc. Mapping non-typed memory access to typed memory access
US11494311B2 (en) 2019-09-17 2022-11-08 Micron Technology, Inc. Page table hooks to memory types
US11650742B2 (en) * 2019-09-17 2023-05-16 Micron Technology, Inc. Accessing stored metadata to identify memory devices in which data is stored
KR20210095761A (en) * 2020-01-23 2021-08-03 삼성전자주식회사 Storage device and storage system performing offloaded tasks from host
US20210089225A1 (en) * 2020-11-19 2021-03-25 Intel Corporation Adaptive device behavior based on available energy
US20230029331A1 (en) * 2021-07-26 2023-01-26 Microsoft Technology Licensing, Llc Dynamically allocatable physically addressed metadata storage
CN114036085B (en) * 2021-09-24 2024-04-12 北京无线电测量研究所 DDR 4-based multitasking read-write scheduling method, computer equipment and storage medium
TWI820952B (en) * 2021-10-28 2023-11-01 慧榮科技股份有限公司 Method and apparatus for performing data access control of memory device with aid of predetermined command

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69132300T2 (en) 1990-03-12 2000-11-30 Hewlett Packard Co Direct memory access defined by the user using virtual addresses
US6256714B1 (en) 1998-09-02 2001-07-03 Sharp Laboratories Of America, Inc. Computer system with efficient memory usage for managing multiple application programs
US6630264B2 (en) * 2000-05-01 2003-10-07 Delphi Technologies, Inc. Solid oxide fuel cell process gas sampling for analysis
US6681311B2 (en) 2001-07-18 2004-01-20 Ip-First, Llc Translation lookaside buffer that caches memory type information
US7346664B2 (en) 2003-04-24 2008-03-18 Neopath Networks, Inc. Transparent file migration using namespace replication
US7444547B2 (en) 2003-06-19 2008-10-28 International Business Machines Corproation Method, system, and product for programming in a simultaneous multi-threaded processor environment
JP2005216053A (en) 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd Optimum memory arrangement arithmetic apparatus, and optimum memory arrangement method
US7533195B2 (en) * 2004-02-25 2009-05-12 Analog Devices, Inc. DMA controller for digital signal processors
US7269708B2 (en) 2004-04-20 2007-09-11 Rambus Inc. Memory controller for non-homogenous memory system
US7552282B1 (en) 2004-08-04 2009-06-23 Emc Corporation Method, computer readable medium, and data storage system for selective data replication of cached data
JP4643395B2 (en) 2004-08-30 2011-03-02 株式会社日立製作所 Storage system and data migration method
JP5338859B2 (en) 2004-10-27 2013-11-13 ソニー株式会社 Storage device and information processing system
US8452929B2 (en) 2005-04-21 2013-05-28 Violin Memory Inc. Method and system for storage of data in non-volatile media
US7409489B2 (en) 2005-08-03 2008-08-05 Sandisk Corporation Scheduling of reclaim operations in non-volatile memory
US7669003B2 (en) 2005-08-03 2010-02-23 Sandisk Corporation Reprogrammable non-volatile memory systems with indexing of directly stored data files
US7949845B2 (en) 2005-08-03 2011-05-24 Sandisk Corporation Indexing of file data in reprogrammable non-volatile memories that directly store data files
US7533198B2 (en) * 2005-10-07 2009-05-12 International Business Machines Corporation Memory controller and method for handling DMA operations during a page copy
JP2007254204A (en) 2006-03-23 2007-10-04 Seiko Epson Corp Multi-lens array tempering treatment method
US8762620B2 (en) 2007-12-27 2014-06-24 Sandisk Enterprise Ip Llc Multiprocessor storage controller
US8151076B2 (en) 2008-04-04 2012-04-03 Cisco Technology, Inc. Mapping memory segments in a translation lookaside buffer
US20090254851A1 (en) 2008-04-08 2009-10-08 Techneos Systems Inc. Method and system for conducting a survey by using a wireless device
US8725927B2 (en) * 2008-10-15 2014-05-13 Micron Technology, Inc. Hot memory block table in a solid state storage device
JP5531476B2 (en) 2009-07-15 2014-06-25 富士ゼロックス株式会社 Information processing apparatus and information processing program
JP2011154547A (en) 2010-01-27 2011-08-11 Toshiba Corp Memory management device and memory management method
US9075733B1 (en) * 2010-05-20 2015-07-07 Seagate Technology Llc Selective storage of address mapping metadata in a system having multiple memories
DE112010000004B4 (en) 2010-06-13 2016-03-03 Lianyungang Zhongfu Lianzhong Composites Group Co., Ltd. Method for producing a turbine blade foot of a megawatt wind turbine
US8521944B2 (en) 2010-08-31 2013-08-27 Intel Corporation Performing memory accesses using memory context information
US8595463B2 (en) 2010-09-15 2013-11-26 International Business Machines Corporation Memory architecture with policy based data storage
US8706697B2 (en) 2010-12-17 2014-04-22 Microsoft Corporation Data retention component and framework
US9558040B2 (en) * 2011-06-20 2017-01-31 Microsoft Technology Licensing, Llc Memory manager with enhanced application metadata
US8935491B2 (en) * 2011-07-15 2015-01-13 Throughputer, Inc. Memory architecture for dynamically allocated manycore processor
WO2013161073A1 (en) 2012-04-27 2013-10-31 株式会社日立製作所 Data management system and method
US9678863B2 (en) 2012-06-12 2017-06-13 Sandisk Technologies, Llc Hybrid checkpointed memory
US9524248B2 (en) * 2012-07-18 2016-12-20 Micron Technology, Inc. Memory management for a hierarchical memory system
US9047090B2 (en) * 2012-08-07 2015-06-02 Qualcomm Incorporated Methods, systems and devices for hybrid memory management
US10303618B2 (en) 2012-09-25 2019-05-28 International Business Machines Corporation Power savings via dynamic page type selection
US9304828B2 (en) 2012-09-27 2016-04-05 Hitachi, Ltd. Hierarchy memory management
US9747000B2 (en) * 2012-10-02 2017-08-29 Razer (Asia-Pacific) Pte. Ltd. Launching applications on an electronic device
US20140101370A1 (en) * 2012-10-08 2014-04-10 HGST Netherlands B.V. Apparatus and method for low power low latency high capacity storage class memory
US9330736B2 (en) 2012-11-09 2016-05-03 Qualcomm Incorporated Processor memory optimization via page access counting
US20140189204A1 (en) 2012-12-28 2014-07-03 Hitachi, Ltd. Information processing apparatus and cache control method
US9229854B1 (en) * 2013-01-28 2016-01-05 Radian Memory Systems, LLC Multi-array operation support and related devices, systems and software
US9652376B2 (en) 2013-01-28 2017-05-16 Radian Memory Systems, Inc. Cooperative flash memory control
CN103174406B (en) 2013-03-13 2015-12-02 吉林大学 A kind of method of oil shale underground in situ heating
KR20150044370A (en) * 2013-10-16 2015-04-24 삼성전자주식회사 Systems for managing heterogeneous memories
US9785558B2 (en) 2013-10-29 2017-10-10 Hua Zhong University Of Science Technology Mixed cache management
CA2881206A1 (en) * 2014-02-07 2015-08-07 Andrew WARFIELD Methods, systems and devices relating to data storage interfaces for managing address spaces in data storage devices
WO2015132873A1 (en) 2014-03-04 2015-09-11 株式会社 東芝 Computer system including hierarchical block storage device, storage controller, and program
JP6118285B2 (en) 2014-03-20 2017-04-19 株式会社東芝 Cache memory system and processor system
US10108220B2 (en) 2014-05-01 2018-10-23 Wisconsin Alumni Research Foundation Computer architecture having selectable, parallel and serial communication channels between processors and memory
US9450879B2 (en) * 2014-05-09 2016-09-20 Nexgen Storage, Inc. Adaptive bandwidth throttling
US9792227B2 (en) 2014-08-19 2017-10-17 Samsung Electronics Co., Ltd. Heterogeneous unified memory
WO2016041156A1 (en) * 2014-09-17 2016-03-24 华为技术有限公司 Method and apparatus for scheduling cpu
US9411539B2 (en) * 2014-09-24 2016-08-09 International Business Machines Corporation Providing access information to a storage controller to determine a storage tier for storing data
JP2016085677A (en) 2014-10-28 2016-05-19 富士通株式会社 Memory management method, memory management program, and information processing device
KR20160052240A (en) 2014-11-04 2016-05-12 삼성전자주식회사 Method and apparatus for managing a plurality of memory devices
US9766819B2 (en) * 2014-12-30 2017-09-19 Sandisk Technologies Llc Systems and methods for managing storage endurance
US10691375B2 (en) * 2015-01-30 2020-06-23 Hewlett Packard Enterprise Development Lp Memory network to prioritize processing of a memory access request
WO2016134035A1 (en) 2015-02-17 2016-08-25 Coho Data, Inc. Virtualized application-layer space for data processing in data storage systems
US10049035B1 (en) 2015-03-10 2018-08-14 Reniac, Inc. Stream memory management unit (SMMU)
JP6384375B2 (en) * 2015-03-23 2018-09-05 富士通株式会社 Information processing apparatus, storage device control method, storage device control program, and information processing system
US10331384B2 (en) 2015-03-31 2019-06-25 International Business Machines Corporation Storing data utilizing a maximum accessibility approach in a dispersed storage network
US10157008B2 (en) * 2015-04-29 2018-12-18 Qualcomm Incorporated Systems and methods for optimizing memory power consumption in a heterogeneous system memory
WO2016194102A1 (en) * 2015-06-01 2016-12-08 株式会社日立製作所 Computer system, computer, and method
JP6403162B2 (en) 2015-07-23 2018-10-10 東芝メモリ株式会社 Memory system
US9734009B2 (en) * 2015-10-08 2017-08-15 Sandisk Technologies Llc Data encoding techniques for a device
US20180225059A1 (en) * 2015-11-03 2018-08-09 Hewlett-Packard Development Company, L.P. Operating mode memory migration
US10216643B2 (en) 2015-11-23 2019-02-26 International Business Machines Corporation Optimizing page table manipulations
US10248447B2 (en) 2015-11-25 2019-04-02 Red Hat, Inc. Providing link aggregation and high availability through network virtualization layer
US20170153892A1 (en) 2015-11-30 2017-06-01 Intel Corporation Instruction And Logic For Programmable Fabric Hierarchy And Cache
US10007614B2 (en) 2016-02-02 2018-06-26 Cavium, Inc. Method and apparatus for determining metric for selective caching
JP2017138823A (en) 2016-02-04 2017-08-10 キヤノン株式会社 Information processing device, information processing method and imaging device
JP2017138852A (en) * 2016-02-04 2017-08-10 株式会社東芝 Information processing device, storage device and program
JP6423809B2 (en) 2016-02-19 2018-11-14 イーソル株式会社 Operating system, programming system, and memory allocation method
US10223228B2 (en) 2016-08-12 2019-03-05 International Business Machines Corporation Resolving application multitasking degradation
JP6666813B2 (en) 2016-08-24 2020-03-18 キオクシア株式会社 Storage device and control method thereof
US10372635B2 (en) 2016-08-26 2019-08-06 Qualcomm Incorporated Dynamically determining memory attributes in processor-based systems
JP2018049381A (en) 2016-09-20 2018-03-29 東芝メモリ株式会社 Memory control circuit, memory system, and processor system
JP2018049385A (en) 2016-09-20 2018-03-29 東芝メモリ株式会社 Memory system and processor system
US20180173419A1 (en) * 2016-12-21 2018-06-21 Western Digital Technologies, Inc. Hybrid ssd with delta encoding
US10409603B2 (en) 2016-12-30 2019-09-10 Intel Corporation Processors, methods, systems, and instructions to check and store indications of whether memory addresses are in persistent memory
JP6391719B2 (en) * 2017-01-10 2018-09-19 マイクロン テクノロジー, インク. Memory system and method including training, data reconstruction and / or shadowing
US11886732B2 (en) * 2017-01-31 2024-01-30 Seagate Technology Llc Data storage server with multi-memory migration
US10866912B2 (en) 2017-03-10 2020-12-15 Toshiba Memory Corporation Integrated heterogeneous solid state storage drive
US10289555B1 (en) 2017-04-14 2019-05-14 EMC IP Holding Company LLC Memory read-ahead using learned memory access patterns
US10747568B2 (en) 2017-05-30 2020-08-18 Magalix Corporation Systems and methods for managing a cloud computing environment
KR102319189B1 (en) * 2017-06-21 2021-10-28 삼성전자주식회사 Storage device, storage system comprising the same, and operating methods of the storage device
EP3642720A4 (en) * 2017-06-22 2021-01-13 Telefonaktiebolaget LM Ericsson (publ) Apparatuses and methods for allocating memory in a data center
US10642744B2 (en) 2017-06-28 2020-05-05 Nvidia Corporation Memory type which is cacheable yet inaccessible by speculative instructions
US10152428B1 (en) * 2017-07-13 2018-12-11 EMC IP Holding Company LLC Virtual memory service levels
US10540203B2 (en) 2017-08-29 2020-01-21 Micro Focus Llc Combining pipelines for a streaming data system
US20190095329A1 (en) 2017-09-27 2019-03-28 Intel Corporation Dynamic page allocation in memory
CN109656833B (en) 2017-10-12 2022-11-11 慧荣科技股份有限公司 Data storage device
US10678703B2 (en) 2017-11-16 2020-06-09 Micron Technology, Inc. Namespace mapping structual adjustment in non-volatile memory devices
US11138121B2 (en) 2017-11-20 2021-10-05 Samsung Electronics Co., Ltd. Systems and methods for efficient cacheline handling based on predictions
US11231852B2 (en) 2017-12-18 2022-01-25 Microsoft Technology Licensing, Llc Efficient sharing of non-volatile memory
US20190213165A1 (en) * 2018-01-09 2019-07-11 Qualcomm Incorporated Priority scheme for fast arbitration procedures
US11416395B2 (en) * 2018-02-05 2022-08-16 Micron Technology, Inc. Memory virtualization for accessing heterogeneous memory components
US10528489B2 (en) 2018-02-28 2020-01-07 Micron Technology, Inc. Multiple memory type memory module systems and methods
JP6508382B1 (en) 2018-03-26 2019-05-08 日本電気株式会社 INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM
US20190370043A1 (en) 2018-04-30 2019-12-05 Nutanix, Inc. Cooperative memory management
KR102518095B1 (en) * 2018-09-12 2023-04-04 삼성전자주식회사 Storage device and system
US10795586B2 (en) 2018-11-19 2020-10-06 Alibaba Group Holding Limited System and method for optimization of global data placement to mitigate wear-out of write cache and NAND flash
US11144231B2 (en) 2018-12-12 2021-10-12 International Business Machines Corporation Relocation and persistence of named data elements in coordination namespace
US10725853B2 (en) 2019-01-02 2020-07-28 Formulus Black Corporation Systems and methods for memory failure prevention, management, and mitigation
US11269780B2 (en) * 2019-09-17 2022-03-08 Micron Technology, Inc. Mapping non-typed memory access to typed memory access
US11650742B2 (en) * 2019-09-17 2023-05-16 Micron Technology, Inc. Accessing stored metadata to identify memory devices in which data is stored
US10963396B1 (en) 2019-09-17 2021-03-30 Micron Technology, Inc. Memory system for binding data to a memory namespace
US11494311B2 (en) * 2019-09-17 2022-11-08 Micron Technology, Inc. Page table hooks to memory types

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11934319B2 (en) 2019-09-17 2024-03-19 Micron Technology, Inc. Memory system for binding data to a memory namespace

Also Published As

Publication number Publication date
EP4031973A4 (en) 2023-10-11
KR20220060548A (en) 2022-05-11
US20210081121A1 (en) 2021-03-18
WO2021055281A1 (en) 2021-03-25
TW202117543A (en) 2021-05-01
EP4031973A1 (en) 2022-07-27
CN114402282B (en) 2024-04-09
JP2022548888A (en) 2022-11-22
CN114402282A (en) 2022-04-26
TWI752619B (en) 2022-01-11
US11650742B2 (en) 2023-05-16

Similar Documents

Publication Publication Date Title
US11650742B2 (en) Accessing stored metadata to identify memory devices in which data is stored
US11868268B2 (en) Mapping non-typed memory access to typed memory access
US11494311B2 (en) Page table hooks to memory types
US11934319B2 (en) Memory system for binding data to a memory namespace
US11544207B2 (en) Synchronous memory bus access to storage media
US20240152464A1 (en) Mapping non-typed memory access to typed memory access

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CUREWITZ, KENNETH MARION;GUNASEKARAN, SHIVASANKAR;AKEL, AMEEN D.;AND OTHERS;SIGNING DATES FROM 20190909 TO 20190918;REEL/FRAME:063133/0391

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION