US20230207462A1 - Interconnect Structure for Improving Memory Performance and/or Logic Performance - Google Patents

Interconnect Structure for Improving Memory Performance and/or Logic Performance Download PDF

Info

Publication number
US20230207462A1
US20230207462A1 US18/170,845 US202318170845A US2023207462A1 US 20230207462 A1 US20230207462 A1 US 20230207462A1 US 202318170845 A US202318170845 A US 202318170845A US 2023207462 A1 US2023207462 A1 US 2023207462A1
Authority
US
United States
Prior art keywords
line
width
layer
word line
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US18/170,845
Inventor
Jhon Jhy Liaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US18/170,845 priority Critical patent/US20230207462A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIAW, JHON JHY
Publication of US20230207462A1 publication Critical patent/US20230207462A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/18Bit line organisation; Bit line lay-out
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out

Definitions

  • interconnects of the MLI features are exhibiting increased resistance and exhibiting increased capacitance, which presents performance, yield, and cost challenges. It has been observed that these higher resistances and/or higher capacitances exhibited by interconnects in advanced IC technology nodes can significantly delay (and, in some situations, prevent) signals from being routed efficiently to and from IC devices, such as transistors, negating any improvements in performance of such IC devices in the advanced technology nodes. Performance of advanced memories, such as static random-access memory (“SRAM”), is especially sensitive to these delays, where the advanced memories are requiring ever faster speeds (e.g., fast write/read). Accordingly, although existing MLI features for memory-based ICs and their interconnects have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
  • SRAM static random-access memory
  • FIG. 1 is a fragmentary diagrammatic plan view of a memory, such as a static random-access memory (SRAM), according to various aspects of the present disclosure.
  • a memory such as a static random-access memory (SRAM)
  • FIG. 2 is a circuit diagram of a memory cell, such as an SRAM cell, that can be implemented in the memory of FIG. 1 , according to various aspects of the present disclosure.
  • FIG. 3 is another circuit diagram of a memory cell, such as an SRAM cell, that can be implemented in the memory of FIG. 1 , according to various aspects of the present disclosure.
  • FIG. 4 is a fragmentary diagrammatic cross-sectional view of various layers of a memory, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 5 A and FIG. 5 B are fragmentary diagrammatic views of a memory cell, such as an SRAM cell, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 6 A , FIG. 6 B , FIG. 6 C , FIG. 6 D , and FIG. 6 E are various top, plan views of various layers of the memory cell of FIG. 5 A and FIG. 5 B , in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 7 is a fragmentary diagrammatic plan view of a memory having a double word line structure, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 8 A , FIG. 8 B , and FIG. 8 C are various top, plan views of various layers of a memory having a double voltage line structure, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 9 A and FIG. 9 B are fragmentary, diagrammatic views of a bottommost metal layer of an interconnect structure of an SRAM cell and a logic cell, respectively, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 10 is a top, plan view of an SRAM cell, in portion or entirety, having a voltage line and a word line with varying width according to various aspects of the present disclosure.
  • FIG. 11 A , FIG. 11 B , FIG. 11 C , FIG. 11 D , and FIG. 11 E are various top, plan views of various layers of the memory cell of FIG. 10 , in portion or entirety, according to various aspects of the present disclosure.
  • the present disclosure relates generally to integrated circuit (IC) devices, and more particularly, to interconnect structures for memory-based IC devices.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact.
  • spatially relative terms for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top,” “bottom,” etc.
  • Configurations of metal layers of interconnect structures are disclosed herein that can improve memory performance, such as static random-access memory (SRAM) memory performance, and/or logic performance.
  • SRAM static random-access memory
  • embodiments herein place bit lines in a metal one layer, which is a lowest metallization level of an interconnect structure of a memory cell, to minimize bit line capacitance, and configure bit lines as the widest metal lines of the metal one layer to minimize bit line resistance.
  • the interconnect structure has a double word line structure to reduce word line resistance.
  • word line straps (i.e., connections) in the double word line structure are configured and placed within a memory to reduce word line resistance.
  • the interconnect structure has a double voltage line structure to reduce voltage line resistance.
  • jogs are added to a word line and/or a voltage line to reduce its respective resistance.
  • via shapes of the interconnect structure are configured to reduce resistance of the interconnect structure.
  • dimensions of metal lines of the metal one layer in a memory region are configured relative to metal lines of the metal one layer in a logic region to co-optimize memory performance and logic performance, for example, by minimizing resistance.
  • SRAM configurations disclosed herein thus optimize electrical characteristics and SRAM density, as described below. Different embodiments may have different advantages, and no particular advantage is required of any embodiment.
  • FIG. 1 is a fragmentary diagrammatic plan view of a memory 10 according to various aspects of the present disclosure.
  • Memory 10 may be included in a microprocessor, a memory, and/or other IC device.
  • memory 10 may be a portion of an IC chip, a system on chip (SoC), or portion thereof, that includes various passive and active electronic devices such as resistors, capacitors, inductors, diodes, p-type FETs (PFETs), n-type FETs (NFETs), metal-oxide-semiconductor FETs (MOSFETs), complementary MOS (CMOS) transistors, bipolar junction transistors (BJTs), laterally diffused MOS (LDMOS) transistors, high voltage transistors, high frequency transistors, other suitable components, or combinations thereof.
  • SoC system on chip
  • the various transistors may be planar transistors or multi-gate transistors, such as FinFETs or GAA transistors, depending on design requirements of memory 10 .
  • FIG. 1 has been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in memory 10 , and some of the features described below can be replaced, modified, or eliminated in other embodiments of memory 10 .
  • Memory 10 includes a memory array 12 that includes memory cells 15 (also referred to as bit cells) for storing data.
  • memory 10 is configured as a static random-access memory (SRAM) and memory cells 15 are SRAM cells.
  • Memory cells 15 include various transistors, such as p-type transistors and/or n-type transistors, configured to facilitate reading and writing of data to memory cells 15 .
  • Memory cells 15 are arranged in a column 1 (C 1 ) to a column N (CN) extending along a first direction (e.g., a y-direction) and a row 1 (R 1 ) to a row M (RM) extending along a second direction (e.g., an x-direction), where N and M are positive integers.
  • Column C 1 to column CN each include a bit line pair extending along the first direction, such as a bit line (BL) and a bit line bar (BLB) (also referred to as a complementary bit line), that facilitate reading data from and/or writing data to respective memory cells 15 in true form and complementary form on a column-by-column basis.
  • Row R 1 to row RM each include a word line (WL) that facilitates access to respective memory cells 15 on a row-by-row basis.
  • Each memory cell 15 is electrically connected to a respective BL, a respective BLB, and a respective WL.
  • BLs and BLBs are electrically connected to a controller 20
  • WLs are electrically connected to a controller 25 .
  • Controller 20 and controller 25 are configured to generate one or more signals to select at least one WL and at least one bit line pair (here, BL and BLB) to access at least one of memory cells 15 for read operations and/or write operations.
  • Controller 20 and controller 25 each include circuitry for facilitating read/write operations, such as a column decoder circuit, a row decoder circuit, a column selection circuit, a row selection circuit, a read/write circuit (for example, configured to read data from and/or write data to memory cells 15 corresponding to a selected bit line pair (in other words, a selected column)), other suitable circuitry, or combinations thereof.
  • controller 20 and/or controller 25 include at least one sense amplifier configured to detect and/or amplify a voltage differential of a selected bit line pair. In some embodiments, the sense amplifier is configured to latch or otherwise store data values of the voltage differential.
  • a perimeter of memory 10 is configured with dummy cells, such as edge dummy cells and/or well strap cells, to facilitate uniformity in fabrication and/or performance of memory cells 15 .
  • Dummy cells are configured physically and/or structurally similar to memory cells 15 , but do not store data.
  • dummy cells can include p-type wells, n-type wells, channels (e.g., formed in one or more fins or one or more suspended channel layers (e.g., nanowires or nanosheets)), gate structures, source/drains, and/or interconnects (e.g., contacts, vias, and/or metal lines).
  • Well strap cells generally refer to dummy cells that are configured to electrically connect a voltage to an n-well of memory cells 15 , a p-well of memory cells 15 , or both.
  • an n-type well strap is configured to electrically couple an n-well that corresponds with at least one p-type transistor of memory cells 15 to a voltage source
  • a p-type well strap is configured to electrically couple a p-well that corresponds with at least one n-type transistor of memory cells 15 to a voltage source.
  • memory 10 includes edge cells 30 (which collectively refers to edge cells, well strap cells, and/or other dummy cells) arranged along the first direction (e.g., y-direction) into an edge cell column 35 A and an edge cell column 35 B, where each of row R 1 to row RM of memory cells 15 is disposed between one of edge dummy cells 30 in edge dummy cell column 35 A and one of edge dummy cells 30 in edge dummy cell column 35 B.
  • each of column Cl to column CN of memory cells 15 is disposed between a respective pair of edge cells 30 .
  • edge cell column 35 A and/or edge cell column 35 B extend substantially parallel to at least one bit line pair (here, BL and BLB) of memory 10 .
  • edge cells 30 connect respective memory cells 15 to respective WLs.
  • edge cells 30 include circuitry for driving WLs.
  • edge cells 30 are electrically connected to a power supply voltage V DD (for example, a positive power supply voltage) and/or a power supply voltage Vss (for example, an electrical ground).
  • V DD for example, a positive power supply voltage
  • Vss for example, an electrical ground
  • FIG. 2 is a circuit diagram of an SRAM circuit 90 , which can be implemented in a memory cell of an SRAM, according to various aspects of the present disclosure.
  • FIG. 3 is an alternative circuit diagram of SRAM circuit 90 according to various aspects of the present disclosure, which will be discussed concurrently with FIG. 2 .
  • one or more of memory cells 15 is configured as SRAM circuit 90 .
  • SRAM circuit 90 includes six transistors: a pass-gate transistor PG- 1 , a pass-gate transistor PG- 2 , a pull-up transistor PU- 1 , a pull-up transistor PU- 2 , a pull-down transistor PD- 1 , and a pull-down transistor PD- 2 .
  • SRAM circuit 90 is thus alternatively referred to as a 6T SRAM cell.
  • a storage portion of SRAM circuit 90 includes a cross-coupled pair of inverters (which can be referred to as a latch), such as an Inveter- 1 and an Inverter- 2 ( FIG. 3 ).
  • Inverter- 1 includes pull-up transistor PU- 1 and pull-down transistor PD- 1
  • Inverter- 2 includes pull-up transistor PU- 2 and pull-down transistor PD- 2 .
  • Pass-gate transistor PG- 1 is connected to an output of Inverter- 1 and an input of Inveter- 2
  • pass-gate transistor PG- 2 is connected to an output of Inverter- 2 and an input of Inverter- 1 .
  • pass-gate transistor PG- 1 and pass-gate transistor PG- 2 provide access to the storage portion of SRAM circuit 90 (i.e., Inverter- 1 and Invereter- 2 ) and can alternatively be referred to as access transistors of SRAM circuit 90 .
  • SRAM circuit 90 is a single-port SRAM cell.
  • the present disclosure contemplates embodiments where SRAM circuit 90 is a multi-port SRAM cell, such as a dual-port SRAM cell, and/or includes more or less transistors, such as an 8T SRAM cell.
  • FIG. 2 and FIG. 3 have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM circuit 90 , and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM circuit 90 .
  • SRAM circuit 90 is connected to and powered through a first power supply voltage, such as a positive power supply voltage, and a second power supply voltage, such as a ground voltage or a reference voltage (which can be an electrical ground).
  • a gate of pull-up transistor PU- 1 interposes a source, which is electrically coupled to the first supply voltage via voltage node V DD , and a first common drain (CD 1 ) (i.e., a drain of pull-up transistor PU- 1 and a drain of pull-down transistor PD- 1 ).
  • a gate of pull-down transistor PD- 1 interposes a source, which is electrically coupled to the second power supply voltage via a 1 st V SS node, and the first common drain.
  • a gate of pull-up transistor PU- 2 interposes a source, which is electrically coupled the first supply voltage via voltage node V DD , and a second common drain (CD 2 ) (i.e., a drain of pull-up transistor PU- 2 and a drain of pull-down transistor PD- 2 ).
  • a gate of pull-down transistor PD- 2 interposes a source, which is electrically coupled to the second power supply voltage via a 2 nd V SS node, and the second common drain.
  • the first common drain is a storage node SN that stores data in true form
  • the second common drain is a storage node SNB that stores data in complementary form.
  • a gate of pass-gate transistor PG- 1 interposes a drain connected to a bit line node (BLN), which is electrically coupled to a bit line BL, and a source, which is electrically coupled to the first common drain.
  • a gate of pass-gate transistor PG- 2 interposes a drain connected to a complementary bit line node (BLBN), which is electrically coupled to a complementary bit line BLB, and a source, which is electrically coupled to the second common drain.
  • Gates of pass-gate transistors PG- 1 , PG- 2 are connected to and controlled by a word line WL, which allows selection of SRAM circuit 90 for reading/writing.
  • pass-gate transistors PG- 1 , PG- 2 provide access to storage nodes SN, SNB, which can store a bit (e.g., a logical 0 or a logical 1 ), during read operations and/or write operations.
  • storage nodes SN, SNB which can store a bit (e.g., a logical 0 or a logical 1 ), during read operations and/or write operations.
  • pass-gate transistors PG- 1 , PG- 2 couple storage nodes SN, SNB respectively to bit lines BL, BLB in response to voltage applied to gates of pass-gate transistors PG- 1 , PG- 2 by WLs.
  • pull-up transistors PU- 1 , PU- 2 are configured as p-type multigate devices, such as p-type FinFETs or p-type GAA transistors
  • pull-down transistors PD- 1 , PD- 2 are configured as n-type multigate devices, such as n-type FinFETs or n-type GAA transistors.
  • pull-up transistors PU- 1 , PU- 2 each include a gate structure disposed over a channel region of an n-type fin structure (including one or more n-type fins), such that the gate structure interposes p-type source/drain regions of the n-type fin structure (for example, p-type epitaxial source/drain features), where the gate structure and the n-type fin structure are disposed over an n-type well; and pull-down transistors PD- 1 , PD- 2 each include a gate structure disposed over a channel region of a p-type fin structure (including one or more p-type fins), such that the gate structure interposes n-type source/drain regions of the p-type fin structure (for example, n-type epitaxial source/drain features), where the gate structure and the p-type fin structure are disposed over a p-type well.
  • pass-gate transistors PG- 1 , PG- 2 are also configured as n-type FinFETs.
  • pass-gate transistors PG- 1 , PG- 2 each include a gate structure disposed over a channel region of a p-type fin structure (including one or more p-type fins), such that the gate structure interposes n-type source/drain regions of the p-type fin structure (for example, n-type epitaxial source/drain features), where the gate structure and the p-type fin structure are disposed over a p-type well.
  • FIG. 4 is a fragmentary diagrammatic cross-sectional view of various layers (levels) that can be fabricated over a semiconductor substrate (or wafer) 105 to form a portion of a memory, such as memory 10 of FIG. 1 , and/or a portion of an SRAM cell, such as SRAM circuit 90 of FIG. 2 and FIG. 3 , according to various aspects of the present disclosure.
  • the various layers include a device layer DL and a multilayer interconnect MLI disposed over the device layer DL.
  • Device layer DL includes devices (e.g., transistors, resistors, capacitors, and/or inductors) and/or device components (e.g., doped wells, gate structures, and/or source/drain features).
  • device layer DL includes substrate 105 , doped regions 110 disposed in substrate 105 (e.g., n-wells and/or p-wells), isolation features 115 , and transistors T.
  • transistors T include suspended channel layers 120 and gate structures 125 disposed between source/drains 130 , where gate structures 125 wrap and/or surround suspended channel layers 120 .
  • Each gate structure 125 has a metal gate stack formed from a gate electrode 134 disposed over a gate dielectric 136 and gate spacers 138 disposed along sidewalls of the metal gate stack.
  • Multilayer interconnect MLI electrically couples various devices and/or components of device layer DL, such that the various devices and/or components can operate as specified by design requirements for the memory.
  • multilayer interconnect MLI includes a contact layer (CO level or metal zero (M 0 ) level), a via zero layer (V 0 level), a metal one layer (M 1 level), a via one layer (V 1 level), a metal two layer (M 2 level), a via two layer (V 2 level), a metal three layer (M 3 level), a via three layer (V 3 level), and a metal four layer (M 4 level).
  • the present disclosure contemplates multilayer interconnect MLI having more or less layers and/or levels, for example, up to an MX level and a V(X ⁇ 1) level, where X is a total number of metal layers (levels) of the multilayer interconnect MLI.
  • Each level of multilayer interconnect MLI includes conductive features (e.g., metal lines, metal vias, and/or metal contacts) disposed in one or more dielectric layers (e.g., an interlayer dielectric (ILD) layer and a contact etch stop layer (CESL)).
  • conductive features at a same level of multilayer interconnect MLI, such as M 1 level are formed simultaneously.
  • conductive features at a same level of multilayer interconnect MLI have top surfaces that are substantially planar with one another and/or bottom surfaces that are substantially planar with one another.
  • CO level includes source/drain contacts (MD) disposed in a dielectric layer 150 ;
  • V 0 level includes gate vias VG, source/drain vias VO, and butted contacts disposed in dielectric layer 150 ;
  • M 1 level includes M 1 metal lines disposed in dielectric layer 150 , where gate vias VG connect gate structures 125 to M 1 metal lines, source/drain vias VO connect source/drains 130 to M 1 metal lines, and butted contacts connect gate structures 125 and source/drains 130 together and to M 1 metal lines;
  • V 1 level includes V 1 vias disposed in dielectric layer 150 , where V 1 vias connect M 1 metal lines to M 2 metal lines;
  • M 2 level includes M 2 metal lines disposed in dielectric layer 150 ;
  • V 2 level includes V 2 vias disposed in dielectric layer 150 , where V 2 vias connect M 2 lines to M 3 lines;
  • M 3 level includes M 3 metal lines disposed in dielectric layer 150 ;
  • V 3 level includes V 3 vias
  • FIG. 4 has been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in the various layers of the memory, and some of the features described can be replaced, modified, or eliminated in other embodiments of the memory.
  • FIG. 4 is merely an example and may not reflect an actual cross-sectional view of memory 10 and/or SRAM circuit 90 .
  • FIG. 5 A and FIG. 5 B are fragmentary diagrammatic views of an SRAM cell 200 , in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 5 A is a top, plan view of SRAM cell 200
  • FIG. 5 B is a diagrammatic cross-sectional view of SRAM cell 200 along line A-A of FIG. 5 A
  • FIGS. 6 A- 6 E are various top, plan views of various layers of SRAM cell 200 of FIG. 5 A and FIG. 5 B according to various aspects of the present disclosure.
  • FIG. 5 A is a top, plan view of SRAM cell 200
  • FIG. 5 B is a diagrammatic cross-sectional view of SRAM cell 200 along line A-A of FIG. 5 A
  • FIGS. 6 A- 6 E are various top, plan views of various layers of SRAM cell 200 of FIG. 5 A and FIG. 5 B according to various aspects of the present disclosure.
  • FIG. 5 A is a top, plan view of SRAM cell 200
  • FIG. 5 B is a diagrammatic cross-sectional
  • FIG. 6 A is a top, plan view of a device layer (DL) and conductive features in a contact (CO) layer and a via zero (V 0 ) layer (e.g., DL/CO/V 0 ), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure
  • FIG. 6 B is a top, plan view of conductive features in VO layer, a metal one (M 1 ) layer and a via one (V 1 ) layer (e.g., V 0 /M 1 /V 1 ), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure
  • FIG. 6 A is a top, plan view of a device layer (DL) and conductive features in a contact (CO) layer and a via zero (V 0 ) layer (e.g., DL/CO/V 0 ), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure
  • FIG. 6 B is a top, plan view of conductive features in
  • FIG. 6 C is a top, plan view of conductive features in V 1 layer, a metal two (M 2 ) layer and a via two (V 2 ) layer (e.g., V 1 /M 2 /V 2 ), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure
  • FIG. 6 D is a top, plan view of conductive features in V 2 layer, a metal three (M 3 ) layer, and a via three (V 3 ) layer (e.g., V 2 /M 3 /V 3 ), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure
  • FIG. 6 E is a top, plan view of conductive features in M 3 layer, V 3 layer, and a metal four (M 4 ) layer (e.g., M 3 /V 3 /M 4 ), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure.
  • CO layer connects device layer to V 0 layer
  • V 0 layer connects CO layer to M 1 layer
  • V 1 layer connects M 1 layer to M 2 layer
  • V 2 layer connects M 2 layer to M 3 layer
  • V 3 layer connects M 3 layer to M 4 layer.
  • SRAM cell 200 may be implemented in memory 10 of FIG. 1 .
  • the features of SRAM cell 200 are configured to provide an SRAM circuit, such as depicted in FIG. 2 and/or FIG. 3 .
  • FIG. 5 A , FIG. 5 B , FIG. 6 A , FIG. 6 B , FIG. 6 C , FIG. 6 D , and FIG. 6 E have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM cell 200 , and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM cell 200 .
  • SRAM cell 200 has a cell boundary MC, which has a first dimension, such as a cell width W, along a first direction (e.g., x-pitch along an x-direction) and a second dimension, such as a cell height H, along a second direction (e.g., y-pitch along a y-direction).
  • cell width W is greater than cell height H.
  • a ratio of cell width W to a ratio of cell height H is greater than one.
  • cell width W may represent and be referred to as a memory cell pitch in the memory array along an x-direction and cell height H may represent and be referred to as a memory cell pitch in the memory array along a y-direction.
  • Device layer includes device components and/or device features, such as a substrate (wafer) 202 , an n-well 204 disposed in substrate 202 , a p-well 206 A and a p-well 206 B disposed in substrate 202 , fins 210 A- 210 F (also referred to as fin structures or active fin regions) disposed over and/or extending from substrate 202 , isolation features 215 disposed in and/or over substrate 202 , gate structures 220 A- 220 D disposed over substrate 202 and isolation features 215 , and epitaxial source/drain features 230 A- 230 J.
  • a substrate (wafer) 202 a substrate (wafer) 202
  • an n-well 204 disposed in substrate 202
  • a p-well 206 A and a p-well 206 B disposed in substrate 202
  • fins 210 A- 210 F also referred to as fin structures or active fin regions
  • Fins 210 A- 210 F are oriented substantially parallel to one another and extend lengthwise along the y-direction (i.e., length is defined in the y-direction, width is defined in the x-direction, and height is defined in the z-direction), and gate structures 220 A- 220 D are oriented substantially parallel to one another and extend lengthwise along the x-direction (i.e., length is defined in the x-direction, width is defined in the y-direction, and height is defined in the z-direction, such that gate structures 220 A- 220 D are oriented substantially orthogonal to fins 210 A- 210 F).
  • Gate structure 220 A wraps a first channel region of fin 210 A and a first channel region of fin 210 B and is disposed between epitaxial source/drain feature 230 A and epitaxial source/drain feature 230 B, both of which are disposed over and/or in source/drain regions of fin 210 A and source/drain regions of fin 210 B.
  • Gate structure 220 B wraps a second channel region of fin 210 A, a second channel region of fin 210 B, and a channel region of fin 210 C, is disposed between epitaxial source/drain feature 230 B and epitaxial source/drain feature 230 C, both of which are disposed over and/or in source/drain regions of fin 210 A and source/drain regions of fin 210 B, and is disposed between epitaxial source/drain feature 230 D and epitaxial source/drain feature 230 E, both of which are disposed over and/or in source/drain regions of fin 210 C.
  • Gate structure 220 C wraps a channel region of fin 210 D, a first channel region of fin 210 E, and a first channel region of fin 210 F, is disposed between epitaxial source/drain feature 230 F and epitaxial source/drain feature 230 G, both of which are disposed over and/or in source/drain regions of fin 210 D, and is disposed between epitaxial source/drain feature 230 H and epitaxial source/drain feature 2301 , both of which are disposed over and/or in source/drain regions of fin 210 E and source/drain regions of fin 210 F.
  • Gate structure 220 C further wraps an end region of fin 210 C, such that gate structure 220 C is disposed adjacent to epitaxial source/drain feature 230 D.
  • Gate structure 220 D wraps a second channel region of fin 210 E and a second channel region of fin 210 F and is disposed between epitaxial source/drain feature 2301 and epitaxial source/drain feature 230 J, both of which are disposed over and/or in source/drain regions of fin 210 E and source/drain regions of fin 210 F.
  • Gate structures 220 A- 220 D engage respective channel regions of fins 210 A- 210 F, such that current can flow between respective epitaxial source/drain features 230 A- 230 J and/or respective source/drain regions of fins 210 A- 210 F during operation.
  • Gate structures 220 A- 220 D each include a metal gate stack and gate spacers.
  • gate structure 220 A has a metal gate stack that includes a gate dielectric 222 A, a gate electrode 224 A, and a hard mask 226 A and gate spacers 228 A disposed along sidewalls of the metal gate stack.
  • SRAM cell 200 includes six transistors formed at device layer from the device components and/or device features, such as a pass-gate transistor PG- 1 , a pass-gate transistor PG- 2 , a pull-up transistor PU- 1 , a pull-up transistor PU- 2 , a pull-down transistor PD- 1 , and a pull-down transistor PD- 2 .
  • Pull-down transistor PD- 1 and pass-gate transistor PG- 1 are multi-fin FinFETs (including, for example, fin 210 A and fin 210 B disposed over and electrically connected to p-well 206 A), pull-up transistor PU- 1 is a single fin FinFET (including, for example, fin 210 C disposed over and electrically connected to n-well 204 ), pull-up transistor PU- 2 is a single fin FinFET (including, for example, fin 210 D disposed over and electrically connected to n-well 204 ), and pull-down transistor PD- 2 and pass-gate transistor PG- 2 are multi-fin FinFETs (including, for example, fin 210 E and fin 210 F disposed over and electrically connected to p-well 206 B).
  • Pass-gate transistor PG- 1 has a gate (e.g., gate structure 220 A) disposed between a source (e.g., epitaxial source/drain feature 230 B) and a drain (e.g., epitaxial source/drain feature 230 A).
  • Pull-down transistor PD- 1 has a gate (e.g., gate structure 220 B) disposed between a source (e.g., epitaxial source/drain feature 230 C) and a drain (e.g., epitaxial source/drain feature 230 B).
  • Pull-up transistor PU- 1 has a gate (e.g., gate structure 220 B) disposed between a source (e.g., epitaxial source/drain feature 230 E) and a drain (e.g., epitaxial source/drain feature 230 D).
  • Pull-up transistor PU- 2 includes a gate (e.g., gate structure 220 C) disposed between a source (e.g., epitaxial source/drain feature 230 F) and a drain (e.g., epitaxial source/drain feature 230 G).
  • Pull-down transistor PD- 2 includes a gate (e.g., gate structure 220 C) disposed between a source (e.g., epitaxial source/drain feature 230 H) and a drain (e.g., epitaxial source/drain feature 2301 ).
  • Pass-gate transistor PG- 2 includes a gate (e.g., gate structure 220 D) disposed between a source (e.g., epitaxial source/drain feature 2301 ) and a drain (e.g., epitaxial source/drain feature 230 J).
  • Sources/drains of pull-down transistors PD- 1 , PD- 2 , pass-gate transistors PG- 1 , PG- 2 , and/or pull-up transistors PU- 1 , PU- 2 are also formed from respective source/drain regions of fins 210 A- 210 F underlying epitaxial source/drain features 230 A- 230 J.
  • pull-down transistor PD- 1 and pull-up transistor PU- 1 share a gate (i.e., a gate of pull-down transistor PD- 1 and a gate of pull-up transistor PU- 1 are formed from respective portions of gate structure 220 B), pull-down transistor PD- 2 and pull-up transistor PU- 2 share a gate (i.e., a gate of pull-down transistor PD- 2 and a gate of pull-up transistor PU- 2 are formed from respective portions of gate structure 220 C), pass-gate transistor PG- 1 and pull-down transistor PD- 1 share epitaxial source/drain feature 230 B (i.e., a source of pass-gate transistor PG- 1 and a drain of pull-down transistor PD- 1 are formed from epitaxial source/drain feature 230 B), and pass-gate transistor PG- 2 and pull-down transistor PD- 2 share epitaxial source/drain feature 2301 (i.e., a source of pass-gate transistor PG- 2 and
  • pull-up transistors PU- 1 , PU- 2 are configured as p-type FinFETs
  • pull-down transistors PD- 1 , PD- 2 and pass-gate transistors PG- 1 , PG- 2 are configured as n-type FinFETs.
  • fin 210 A, fin 210 B, fin 210 E, and fin 210 F are p-doped (e.g., p-doped silicon fins); fin 210 C and fin 210 D are n-doped (e.g., n-doped silicon fins); epitaxial source/drain features 230 A- 230 C and epitaxial source/drain features 230 H- 230 J are n-doped (e.g., silicon or silicon carbon epitaxial source/drains doped with phosphorous, arsenic, and/or other n-type dopant); and epitaxial source/drain features 230 D- 230 G are p-doped (e.g., silicon germanium epitaxial source/drains doped with boron, indium, and/or other p-type dopant).
  • p-doped e.g., p-doped silicon fins
  • fin 210 C and fin 210 D are n
  • CO layer includes conductive features, such as source/drain contacts 250 A- 250 H (collectively referred to as device-level contacts), that connect device layer to conductive features of VO layer, such as a gate via 260 A, a gate via 260 B, a butted gate contact 265 A, and a butted gate contact 265 B, and source/drain vias 270 A- 270 F.
  • Source/drain contact 250 A is located between, physically contacts, and connects epitaxial source/drain feature 230 A and source/drain via 270 A.
  • Source/drain contact 250 B is physically contacts and connects epitaxial source/drain feature 230 B and butted gate contact 265 B.
  • Source/drain contact 250 B is further located between, physically contacts, and connects epitaxial source/drain feature 230 E and butted gate contact 265 B.
  • Source/drain contact 250 C is located between, physically contacts, and connects epitaxial source/drain feature 230 C and source/drain via 270 B.
  • Source/drain contact 250 D is located between, physically contacts, and connects epitaxial source/drain feature 230 F and source/drain via 270 C.
  • Source/drain contact 250 E is located between, physically contacts, and connects epitaxial source/drain feature 230 E and source/drain via 270 D.
  • Source/drain contact 250 F is located between, physically contacts, and connects epitaxial source/drain feature 230 H and source/drain via 270 E.
  • Source/drain contact 250 G is located between, physically contacts, and connects epitaxial source/drain feature 230 G and butted gate contact 265 A. Source/drain contact 250 G further physically contacts and connects epitaxial source/drain feature 2301 and butted gate contact 265 A. Source/drain contact 250 H is located between, physically contacts, and connects epitaxial source/drain feature 230 J and source/drain via 270 F.
  • Butted gate contact 265 A physically contacts gate structure 220 B (for example, a gate electrode of gate structure 220 B) and source/drain contact 250 G, such that gate structure 220 B is electrically connected to epitaxial source/drain feature 230 G and epitaxial source/drain feature 2301 by butted gate contact 265 A and source/drain contact 250 G.
  • Butted gate contact 265 B physically contacts gate structure 220 C (for example, a gate electrode of gate structure 220 C) and source/drain contact 250 B, such that gate structure 220 C is electrically connected to epitaxial source/drain feature 230 D and epitaxial source/drain feature 230 B by butted contact 265 B and source/drain contact 250 B.
  • source/drain contact 250 B electrically connects the drain of pull-down transistor PD- 1 and the drain of pull-up transistor PU- 1 , such that a common drain of pull-down transistor PD- 1 and pull-up transistor PU- 1 can provide a storage node SN, which is electrically connected to the gate of pull-up transistor PU- 2 and the gate of pull-down transistor PD- 2 by butted gate contact 265 B.
  • source/drain contact 250 G electrically connects the drain of pull-down transistor PD- 2 and the drain of pull-up transistor PU- 2 , such that a common drain of pull-down transistor PD- 2 and pull-up transistor PU- 2 form a storage node SNB, which is electrically connected to the gate of pull-up transistor PU- 1 and the gate of pull-down transistor PD- 1 by butted gate contact 265 A and source/drain contact 250 G.
  • Conductive features of CO layer, M 1 layer, M 2 layer, M 3 layer, and M 4 layer are routed along a first routing direction or a second routing direction that is different than the first routing direction.
  • the first routing direction is the x-direction (and substantially parallel with the lengthwise direction of gate structures 220 A- 220 D) and the second routing direction is the y-direction (and substantially parallel with the lengthwise direction of fins 210 A- 210 F).
  • source/drain contacts 250 A- 250 H have longitudinal (lengthwise) directions substantially along the x-direction (i.e., first routing direction)
  • butted gate contacts 265 A, 265 B have longitudinal directions substantially along the y-direction (i.e., second routing direction).
  • a longest dimension (e.g., length) of source/drain contacts 250 A- 250 H is along the x-direction
  • a longest dimension of butted gate contacts 265 A, 265 B is along the y-direction.
  • Source/drain contacts 250 A- 250 H and butted gate contacts 265 A, 265 B are substantially rectangular-shaped (i.e., each has a length greater than its width), but the present disclosure contemplates source/drain contacts 250 A- 250 H and/or butted gate contacts 265 A, 265 B having different shapes and/or combinations of shapes to optimize and/or improve performance (e.g., reduce resistance) and/or layout footprint (e.g., reduce density).
  • Source/drain contact 250 A spans fin 210 A and fin 210 B; source/drain contact 250 B spans fin 210 A, fin 210 B, and fin 210 C; source/drain contact 250 C spans fin 210 A and fin 210 B; source/drain contact 250 D spans fin 210 D; source/drain contact 250 E spans fin 210 C; source/drain contact 250 F spans fin 210 E and fin 210 F; source/drain contact 250 G spans fin 210 D, fin 210 E, and fin 210 F; and source/drain contact 250 H spans fin 210 E and fin 210 F.
  • source/drain contact 250 A, source/drain contact 250 D, and source/drain contact 250 F overlap an upper edge of cell boundary MC
  • source/drain contact 250 C, source/drain contact 250 E, and source/drain contact 250 H overlap a lower edge of cell boundary MC
  • source/drain contact 250 A, source/drain contact 250 D, and source/drain contact 250 F overlap two memory cells, such as SRAM cell 200 and a memory cell directly above and adjacent to the upper edge of SRAM cell 200 .
  • source/drain contact 250 C, source/drain contact 250 E, and source/drain contact 250 H overlap two memory cells, such as SRAM cell 200 and a memory cell directly below and adjacent to the lower edge of SRAM cell 200 .
  • source/drain contact 250 C also overlaps a left edge of cell boundary MC and source/drain contact 250 F also overlaps a right edge of cell boundary MC.
  • source/drain contact 250 C overlaps a third memory cell, such as a memory cell directly adjacent to the left edge of SRAM cell 200
  • source/drain contact 250 F overlaps a third memory cell, such as a memory cell directly adjacent to the right edge of SRAM cell 200 .
  • the conductive features of VO layer connect CO layer to conductive features of M 1 layer, such as a bit line 280 A, a bit line bar 280 B, a first voltage line (e.g., a V DD line 280 C) electrically connected to a first voltage (e.g., a positive supply voltage, such as V DD ), word line landing pads (e.g., a word line landing pad 280 D (WL LP 1 ) and a word line landing pad 280 E (WL LP 2 )) that correspond with a word line of SRAM cell 200 , a voltage line landing pad (e.g., a 1 st V SS landing pad 280 F (1 st V SS LP 1 )) that corresponds with a second voltage line of SRAM cell 200 that is electrically connected to a second voltage (e.g., a ground voltage, such as Vss),
  • a second voltage e.g., a ground voltage, such as Vss
  • Source/drain via 270 A is located between, physically contacts, and connects source/drain contact 250 A to bit line 280 A
  • source/drain via 270 F is located between, physically contacts, and connects source/drain contact 250 H to bit line bar 280 B
  • Source/drain via 270 C is located between, physically contacts, and connects source/drain contact 250 D to V DD line 280 C
  • source/drain via 270 D is located between, physically contacts, and connects source/drain contact 250 E to VDD line 280 C.
  • the drain of pass-gate transistor PG- 1 is electrically connected to bit line 280 A by source/drain contact 250 A and source/drain via 270 A
  • the drain of pass-gate transistor PG- 2 is electrically connected to bit line bar 280 B by source/drain contact 250 H and source/drain via 270 F
  • the source of pull-up transistor PU- 1 is electrically connected to V DD line 280 C by source/drain contact 250 E and source/drain via 270 D
  • the source of pull-up transistor PU- 2 is electrically connected to V DD line 280 C by source/drain contact 250 D and source/drain via 270 C.
  • Gate via 260 A is located between, physically contacts, and connects gate structure 220 A (e.g., a gate electrode thereof) to word line landing pad 280 D.
  • Gate via 260 B is located between, physically contacts, and connects gate structure 220 D (e.g., a gate electrode thereof) to word line landing pad 280 E.
  • Source/drain via 270 B is located between, physically contacts, and connects source/drain contact 250 C to 1 st V SS landing pad 280 F
  • source/drain via 270 E is located between, physically contacts, and connects source/drain contact 250 F to 2 nd V SS landing pad 280 G.
  • V 1 layer includes conductive features, such as vias 285 A- 285 D, that connect M 1 layer to conductive features of M 2 layer, such as a 1 st word line 290 A, a voltage line landing pad (e.g., a 1 st V SS landing pad 290 B (1 st V SS LP 2 )) that corresponds with the second voltage line, and a voltage line landing pad (e.g., a 2 nd V SS landing pad 290 C (2 nd V SS LP 2 )) that corresponds with the third voltage line.
  • a 1 st word line 290 A such as a 1 st word line 290 A, a voltage line landing pad (e.g., a 1 st V SS landing pad 290 B (1 st V SS LP 2 )) that corresponds with the second voltage line, and a voltage line landing pad (e.g., a 2 nd V SS landing pad 290 C (2 nd V SS LP 2
  • Via 285 A is located between, physically contacts, and connects word line landing pad 280 D to word line 290 A
  • via 285 B is located between, physically contacts, and connects word line landing pad 280 E to word line 290 A.
  • the gate of pass-gate transistor PG- 1 is electrically connected to word line 290 A by gate via 260 A, word line landing pad 280 D, and via 285 A
  • the gate of pass-gate transistor PG- 2 is electrically connected to word line 290 A by gate via 260 B, word line landing pad 280 E, and via 285 B.
  • Via 285 C is located between, physically contacts, and 1 st V SS landing pad 280 F to 1 st V SS landing pad 290 B
  • via 285 D is located between, physically contacts, and connects 2 nd V SS landing pad 280 G to 2 nd V SS landing pad 290 C.
  • V 2 layer includes conductive features, such as vias 295 A- 295 C, that connect M 2 layer to conductive features of M 3 layer, such as a 1 st V SS line 300 A, a 2 nd V SS line 300 B, and a word line landing pad 300 C (WL LP 3 ).
  • V 3 layer includes conductive features, such as via 305 , that connect M 3 layer to conductive features of M 4 layer, such as a 2 nd word line 310 .
  • Via 295 A is located between, physically contacts, and connects 1 st V SS landing pad 290 B to 1 st V SS line 300 A
  • via 295 B is located between, physically contacts, and connects 2 nd V SS landing pad 290 C to 2 nd V SS line 300 B.
  • the source of pull-down transistor PD- 1 is electrically connected to 1 st V SS line 300 A by source/drain contact 250 C, source/drain via 270 B, 1 st V SS landing pad 280 F, via 285 A, Pt V SS landing pad 290 B, and via 295 A
  • the source of pull-down transistor PD- 2 is electrically connected to 2 nd V SS line 300 B by source/drain contact 250 F, source/drain via 270 E, 2 nd V SS landing pad 280 G, via 285 D, 2 nd V SS landing pad 290 C, and via 295 B, such that the source of pull-down transistor PD- 1 and the source of pull-down transistor PD- 2 are both electrically connected to a ground voltage and/or a reference voltage, such as Vss.
  • Via 295 C is located between, physically contacts, and connects 1 st word line 290 A to word line landing pad 300 C
  • via 305 is located between, physically contacts, and connects word line landing pad 300 C to 2 nd word line 310 .
  • 1 st word line 290 A is electrically connected to 2 nd word line 310 by via 295 C, word line landing pad 300 C, and via 305 , such that the gate of pass-gate transistor PG- 1 and the gate of pass-gate transistor PG- 2 are electrically connected to both 1 st word line 290 A and 2 nd word line 310 .
  • metal lines of odd-numbered metal layers i.e., M 1 layer and M 3 layer
  • metal lines of even-numbered metal layers i.e., M 2 layer and M 4 layer
  • bit line 280 A, bit line bar 280 B, V DD line 280 C, word line landing pad 280 D, word line landing pad 280 E, 1 st V SS landing pad 280 F, and 2 nd V SS landing pad 280 G have longitudinal directions substantially along the y-direction; 1 st word line 290 A, 1 st Vss landing pad 290 B, and 2 nd V SS landing pad 290 C have longitudinal directions substantially along the x-direction; 1 st Vss line 300 A, 2 nd V SS line 300 B, and word line landing pad 300 C have longitudinal directions substantially along the y-direction; and 2 nd word line 310 has a longitudinal direction substantially along the x-direction.
  • a longest dimension (e.g., length) of bit line 280 A, bit line bar 280 B, V DD line 280 C, word line landing pad 280 D, word line landing pad 280 E, 1 st Vss landing pad 280 F, 2 nd V SS landing pad 280 G, 1 st V SS line 300 A, 2 nd V SS line 300 B, and word line landing pad 300 C is along the y-direction
  • a longest dimension of 1 st word line 290 A, 1 st Vss landing pad 290 B, 2 nd V SS landing pad 290 C, and 2 nd word line 310 is along the x-direction.
  • Metal lines of M 1 layer, M 2 layer, M 3 layer, and M 4 layer are substantially rectangular-shaped (i.e., each has a length greater than its width), but the present disclosure contemplates metal lines of M 1 layer, M 2 layer, M 3 layer, and/or M 4 layer having different shapes and/or combinations of shapes to optimize and/or improve performance (e.g., reduce resistance) and/or layout footprint (e.g., reduce density).
  • “Landing pad” generally refers to metal lines in metal layers that provide intermediate, local interconnection for SRAM cell 200 , such as (1) an intermediate, local interconnection between a device-level feature (e.g., gate or source/drain) and a bit line (e.g., bit line 280 A and/or bit line bar 280 B), a word line (e.g., 1 st word line 290 A and/or 2 nd word line 310 ), or a voltage line (e.g., V DD line 280 C, 1 st Vss line 300 A, and/or 2 nd V SS line 300 B) of SRAM cell 200 or (2) an intermediate, local interconnection between bit lines, word lines, or voltage lines.
  • a device-level feature e.g., gate or source/drain
  • bit line e.g., bit line 280 A and/or bit line bar 280 B
  • a word line e.g., 1 st word line 290 A and/or 2 nd word
  • P t word line 290 A in M 2 layer is connected to gates of pass-gate transistors PG- 1 , PG- 2 in device layer DL by word line landing pad 280 D (in M 1 layer) and word line landing pad 280 E (in M 1 layer), respectively;
  • 1 st Vss line 300 A in M 3 layer is connected to source of pull-down transistor PD- 1 in device layer DL by Pt Vss landing pad 290 B (in M 2 layer) and 1 st Vss landing pad 280 F (in M 1 layer);
  • 2 nd V SS line 300 B in M 3 layer is connected to source of pull-down transistor PD- 2 in device layer DL by 2 nd V SS landing pad 290 C (in M 2 layer) and 2 nd V SS landing pad 280 G (in M 1 layer);
  • 2 nd word line 310 in M 4 layer is connected to 1 st word line 290 A in M 2 layer by word line landing pad 300 C (in M 3 layer).
  • Landing pads of SRAM cell 200 have longitudinal dimensions that are large enough to provide a sufficient landing area for their overlying vias (and thus minimize overlay issues and provide greater patterning flexibility) and less than longitudinal dimensions of bit lines, word lines, and/or voltage lines of SRAM cell 200 .
  • landing pads of SRAM cell 200 have dimensions that are less than dimensions of SRAM cell 200 , such as dimensions along the x-direction that are less than cell width W and dimensions along the y-direction that are less than cell height H, while bit lines, word line, and voltage lines of SRAM cell 200 have dimensions that are greater than dimensions of SRAM cell 200 , such as dimensions along the x-direction that are greater than cell width W and/or dimensions along the y-direction that are greater than cell height H.
  • bit line 280 A, bit line 280 B, and VDD line 280 C have lengths along the y-direction that are greater than cell height H
  • word line landing pad 280 D, word line landing pad 280 E, 1 st Vss landing pad 280 F, and 2 nd V SS landing pad 280 G have lengths along the y-direction that are less than cell height H
  • 1 st word line 290 A has a length along the x-direction that is greater than cell width W
  • 1 st Vss landing pad 290 B, and 2 nd V SS landing pad 290 C have lengths along the x-direction that are less than cell width W.
  • 1 st Vss line 300 A and 2 nd V SS line 300 B have lengths along the y-direction that are greater than cell height H, while word line landing pad 300 C has a length along the y-direction that is less than cell height H.
  • a length of bit line 280 A and/or a length of bit line bar 280 B is sufficient to allow electrical connection of multiple SRAM cells in a column to bit line 280 A and/or bit line bar 280 B.
  • a length of V DD line 280 C is sufficient to allow electrical connection of multiple SRAM cells in a column to V DD line 280 C.
  • a length of P t word line 290 A and/or a length of 2 nd word line 310 is sufficient to allow electrical connection of multiple SRAM cells in a row to 1 st word line 290 A and/or 2 nd word line 310 .
  • a length of 1 st V SS line 300 A and/or a length of 2 nd V SS line 300 B is sufficient to allow electrical connection of multiple SRAM cells in a column to 1 st Vss line 300 A and/or 2 nd V SS line 300 B.
  • Bit line capacitance and/or bit line resistance have become significant factors in SRAM performance as SRAM cell sizes shrink to achieve SRAM cells with faster operating speeds (e.g., by reducing distances traveled by electrical signals) at scaled IC technology nodes, such as 20 nm node to 10 nm node to 3 nm node and below.
  • shrinking SRAM cell size should lead to decreasing resistance-capacitance (RC) delay, which generally indicates delay in electrical signal speed through an IC resulting from a product of resistance (R) (i.e., a material's opposition to flow of electrical current) and capacitance (C) (i.e., a material's ability to store electrical charge).
  • RC resistance-capacitance
  • bit line capacitance and/or bit line resistance have been observed to increase as bit line dimensions and/or bit line spacings decrease with shrinking SRAM cell sizes (and increasing SRAM cell density), thereby undesirably increasing RC delay and decreasing SRAM speed, such as write/read speed. Tradeoffs between bit line capacitance and bit line resistance must thus be considered to optimize SRAM performance.
  • bit line capacitance increases as a number of interconnections (e.g., contacts, vias, and/or metal lines) between a bit line and a device layer increases and routing density typically increases as metallization level of the MLI feature decreases (i.e., a routing density of M 1 layer is greater than a routing density of M 2 layer or a routing density of M 3 layer)
  • a bit line placed in a lowest metallization level of an MLI feature i.e., M 1 layer
  • may decrease bit line capacitance but increase bit line resistance for example, by needing only one via to connect the bit line and a drain of a pass-gate transistor, but needing a narrower and/or thinner bit line to meet higher routing specifications
  • a bit line placed in a higher metallization level of the MLI feature e.g., M 2 layer or M 3 layer
  • may increase bit line capacitance but decrease bit line resistance for example, by needing more than one via and at least one landing pad to connect the bit line and a drain
  • SRAM cell 200 addresses these challenges by placing bit lines (here, bit line 280 A and bit line bar 280 B) in M 1 layer, which is a lowest metallization level of an MLI feature over substrate 202 , to minimize bit line capacitance, and configuring bit lines as the widest metal lines of M 1 layer to minimize bit line resistance.
  • bit lines here, bit line 280 A and bit line bar 280 B
  • bit line 280 A and bit line bar 280 B each have a width W 1
  • V DD line 280 C has a width W 2
  • word line landing pad 280 D and word line landing pad 280 E each have a width W 3
  • 1 st Vss landing pad 280 F and 2 nd V SS landing pad 280 G each have a width W 4 , where width W 1 is a widest, greatest width of the metal lines in M 1 layer and width W 2 , width W 3 , and width W 4 are each less than width W 1 .
  • a ratio of width W 1 to width W 2 (i.e., W 1 :W 2 ) is about 1.1 to about 2
  • a ratio of width W 1 to width W 3 (i.e., W 1 :W 3 ) is about 1.1 to about 2
  • a ratio of width W 1 to width W 4 (i.e., W 1 :W 4 ) is about 1.1 to about 2.
  • a width ratio for bit lines/other M 1 lines that is less than about 1.1 may not provide bit lines with sufficient widths for reducing bit line resistance, thereby degrading SRAM performance, such as write capability (e.g., higher bit line resistances induces worse (i.e., greater) bit line IR drops), while a width ratio for bit lines/other M 1 lines that is greater than about 2 may provide bit lines with widths that increase bit line resistance (i.e., bit lines are too wide) and/or may impact cell size (i.e., larger cell sizes may be needed to account for larger width ratios), both of which can degrade SRAM performance, such as read speed.
  • write capability e.g., higher bit line resistances induces worse (i.e., greater) bit line IR drops
  • a width ratio for bit lines/other M 1 lines that is greater than about 2 may provide bit lines with widths that increase bit line resistance (i.e., bit lines are too wide) and/or may impact cell size (i.e., larger cell sizes may be needed
  • a ratio of width W 1 to width W 2 is about 1.1 to about 1.4
  • a ratio of width W 1 to width W 3 is about 1.1 to about 1.4
  • a ratio of width W 1 to width W 4 is about 1.1 to about 1.4 to optimize SRAM performance.
  • V DD line 280 C has a smallest width of the metal lines in M 1 layer of SRAM cell 200 (i.e., width W 2 is also less than width W 3 and width W 4 ).
  • width W 2 is greater than width W 3 and/or width W 4 .
  • width W 2 is substantially the same as width W 3 and/or width W 4 .
  • Width W 3 is greater than, less than, or substantially the same as width W 4 .
  • word line landing pad 280 D and word line landing pad 280 E have different widths.
  • 1 st Vss landing pad 280 F and 2 nd V SS landing pad 280 G have different widths.
  • bit line resistance reduction provided by configuring bit line 280 A and/or bit line bar 280 B as the widest metal lines of M 1 layer reduces bit line IR drop (i.e., a voltage drop across a bit line as current flows through the bit line), which increases SRAM read/write speed and/or reduces a minimum operating voltage (V min ) needed for SRAM read/write.
  • pass-gate transistor PG- 1 needs to dominate over pull-up transistor PU- 1 to sink a voltage on bit line BL ( 0 ), rather than staying at power supply voltage V DD .
  • a bit line with a large IR drop lowers a driving ability of pass-gate transistor PG- 1 and thereby necessitates higher minimum operating voltages.
  • bit line 280 A and/or bit line bar 280 B are placed and configured within SRAM cell 200 to exhibit minimum resistance, bit line 280 A and/or bit line bar 280 B exhibit lower IR drops than those observed in bit lines of conventional SRAM cells, thereby improving SRAM performance.
  • Vias of SRAM cell 200 are substantially square-shaped and/or circle-shaped (i.e., each has a dimension along the x-direction that is substantially the same as a dimension along the y-direction). Vias of SRAM cell 200 can have different dimensions, different shapes, and/or combinations of dimensions and/or shapes to optimize and/or improve performance (e.g., reduce resistance) and/or layout footprint (e.g., reduce density and/or size of SRAM cell 200 ).
  • source/drain vias corresponding with Vss lines of SRAM cell 200 are substantially rectangular-shaped and/or oval-shaped (i.e., each has a dimension D 1 along the x-direction that is different than a dimension D 2 along the y-direction) to reduce contact resistance associated with interconnection structures from sources of pull-down transistors PD- 1 , PD- 2 to M 1 layer (i.e., source/drain contact 250 C and source/drain via 270 B connecting epitaxial source/drain feature 230 C to 1 st Vss landing pad 280 F and source/drain contact 250 F and source/drain via 270 E connecting epitaxial source/drain feature 230 H to 2 nd V SS landing pad 280 G).
  • Such source/drain vias can also be referred to as slot-shaped vias.
  • a ratio of dimension D 1 to dimension D 2 i.e., D 1 :D 2
  • D 1 :D 2 is about 1.5 to about 3.
  • a longest dimension/shortest dimension ratio for source/drain vias corresponding with Vss lines that is less than about 1.5 provides source/drain vias with circular shapes or circular-like shapes, which can increase resistance and/or negatively impact critical dimension accuracy.
  • a longest dimension/shortest dimension ratio for source/drain vias corresponding with Vss lines that is greater than about 3 can negatively impact bit line width.
  • source/drain vias 270 B, 270 E will begin to extend into and overlap bit line areas/regions of M 1 layer, such as areas/regions where bit line 280 A and bit line bar 280 B are located within M 1 layer.
  • Bit line widths e.g., width W 1
  • width W 1 will thus be undesirably reduced to accommodate longest dimension/shortest dimension ratios greater than about 3, for example, to prevent undesired electrical connection of source/drain via 270 B and bit line 280 A and/or source/drain via 270 E and bit line bar 280 B.
  • widths of M 1 landing pads may be increased to ensure proper electrical connection of the M 1 landing pads and source/drain vias 270 B, 270 E or improve overlay of the M 1 landing pads and source/drain vias 270 B, 270 E when longest dimension/shortest dimension ratios are greater than about 3, which may also necessitate undesirable reduction of bit line widths.
  • Longest dimension/shortest dimension ratios for source/drain vias that are less than about 3 thus minimizes source/drain via resistance while accommodating for bit line widths, such as disclosed herein, that maximize bit line resistance reduction.
  • source/drain contacts corresponding with Vss lines of SRAM cell 200 are also configured with a ratio of a length to a width that can further reduce contact resistance associated with the interconnection structures from sources of pull-down transistors PD- 1 , PD- 2 to M 1 layer.
  • the ratio of the length along the x-direction of source/drain contact 250 C and/or source/drain contact 250 F to a width along the y-direction of source/drain contact 250 C and/or source/drain contact 250 F is greater than about 3.
  • adjacent SRAM cells may share interconnections with Vss lines, such as source/drain contacts (e.g., source/drain contacts 250 C, 250 F and/or source/drain vias 270 B, 270 E corresponding with Vss lines.
  • source/drain contacts e.g., source/drain contacts 250 C, 250 F and/or source/drain vias 270 B, 270 E corresponding with Vss lines.
  • a length/width ratio for source/drain contacts corresponding with Vss lines that is less than about 3 may not extend to cell boundary MC, which is shared with adjacent SRAM cells.
  • a length/width ratio for source/drain contacts corresponding with Vss lines that is greater than about 3 can ensure that source/drain contacts 250 C, 250 F extend beyond cell boundary MC into adjacent SRAM cells.
  • bit line 280 A, bit line bar 280 B, and V DD line 280 C in M 1 layer span cell height H and overlap and extend beyond the upper edge and the lower edge of cell boundary MC;
  • 1 st word line 290 B in M 2 layer spans cell width W and overlaps and extends beyond the left edge and the right edge of cell boundary MC;
  • 1 st Vss line 300 A and 2 nd V SS line 300 B in M 3 layer span cell height H and overlap and extend beyond the upper edge and the lower edge of cell boundary MC;
  • 2 nd word line 310 in M 4 layer spans cell width W and overlaps and extends beyond the left edge and the right edge of cell boundary MC.
  • bit line 280 A, bit line bar 280 B, V DD line 280 C, 1 st V SS line 300 A, and 2 nd V SS line 300 B may overlap three memory cells, such as SRAM cell 200 , an SRAM cell directly above and adjacent to SRAM cell 200 , and an SRAM cell directly below and adjacent to SRAM cell 200 .
  • 1 st word line 290 B and 2 nd word line 310 may overlap three memory cells, such as SRAM cell 200 , an SRAM cell directly left and adjacent to SRAM cell 200 , and an SRAM cell directly right and adjacent to SRAM cell 200 .
  • source/drain via 270 A, source/drain via 270 C, source/drain via 270 E, 2 nd Vss landing pad 280 G, via 285 D, 2 nd V SS landing pad 290 C, and via 295 B overlap the upper edge of cell boundary MC
  • source/drain via 270 B, source/drain via 270 D, source/drain via 270 F, 1 st Vss landing pad 280 F, via 285 C, 1 st Vss landing pad 290 B, and via 295 A overlap the lower edge of cell boundary MC
  • gate via 260 A, source/drain via 270 B, word line landing pad 280 D, 1 st Vss landing pad 280 F, via 285 A, 1 st Vss landing pad 290 B, and via 295 A overlap the left edge of cell boundary MC
  • source/drain via 270 A and source/drain via 270 C may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly above and adjacent to SRAM cell 200 ;
  • source/drain via 270 D and source/drain via 270 F may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly below and adjacent to SRAM cell 200 ;
  • gate via 260 A, word line landing pad 280 D, and via 285 A may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly left and adjacent to SRAM cell 200 ;
  • gate via 260 B, word line landing pad 280 E, and via 285 B may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly right and adjacent to SRAM cell 200 .
  • source/drain via 270 E, 2 nd V SS landing pad 280 G, via 285 D, 2 nd V SS landing pad 290 C, and via 295 B may overlap four memory cells, such as SRAM cell 200 , an SRAM cell directly right and adjacent to SRAM cell 200 , an SRAM cell directly above and adjacent to SRAM cell 200 , and an SRAM cell directly diagonal and adjacent to SRAM cell 200 (e.g., an SRAM cell that shares a cell boundary with the directly right SRAM cell and the directly above SRAM cell).
  • source/drain via 270 B, 1 st Vss landing pad 280 F, via 285 C, 1 st V SS landing pad 290 B, and via 295 A may overlap four memory cells, such as SRAM cell 200 , an SRAM cell directly left and adjacent to SRAM cell 200 , an SRAM cell directly below and adjacent to SRAM cell 200 , and an SRAM cell directly diagonal and adjacent to SRAM cell 200 (e.g., an SRAM cell that shares a cell boundary with the directly left SRAM cell and the directly below SRAM cell).
  • Configuring SRAM cell 200 with a double word line structure i.e., 1 st word line 290 A in M 2 layer and 2 nd word line 310 in M 4 layer, both of which are electrically connected to pass-gate transistor PG- 1 and pass-gate transistor PG- 2
  • a double word line structure i.e., 1 st word line 290 A in M 2 layer and 2 nd word line 310 in M 4 layer, both of which are electrically connected to pass-gate transistor PG- 1 and pass-gate transistor PG- 2
  • word lines can reduce word line resistance at least 50%.
  • st word line 290 A in M 2 layer is provided by 1X and resistance of 2 nd word line 310 in M 4 layer is provided by 0.8X
  • stacking and connecting 1 st word line 290 A in M 2 layer and 2 nd word line 310 in M 4 layer to provide the double word line structure can provide an equivalent word line resistance that is about 0.444X (e.g., 1/(1/1+1/0.8)X ⁇ 0.444X).
  • SRAM cell 200 includes a single word line strap in a central region of SRAM cell 200 above V DD line 280 C.
  • SRAM cell 200 includes multiple word line straps connecting 1 st word line 290 A and 2 nd word line 310 .
  • the word line strap is not located in SRAM cell 200 but is instead located in an SRAM cell with which SRAM cell 200 shares the double word line structure.
  • the word line strap may be located in an SRAM cell in a same row as SRAM cell 200 .
  • FIG. 7 is a fragmentary diagrammatic plan view of an SRAM-based memory 400 having a double word line structure according to various aspects of the present disclosure. For clarity and simplicity, similar features of memory 100 in FIG. 1 and memory 400 in FIG. 7 are identified by the same reference numerals. In FIG. 7
  • memory array 12 is a 4 ⁇ 8 SRAM array (i.e., four columns, eight rows), where each row has four SRAM cells 200 between a pair of edge cells 30 and each column has eight SRAM cells 200 between a pair of edge cells 30 .
  • Each row of SRAM cells 200 shares a 1 st word line, such as 1 st word line 290 A at M 2 layer, and a 2 nd word line, such as 2 nd word line 310 at M 4 layer, where each row has a word line strap located in at least one SRAM cell 200 (i.e., bit cell) of the row and a word line strap located in at least one edge cell 30 of the row.
  • each of rows R 1 —R 8 has a respective word line strap 410 A located in one of its SRAM cells 200 (i.e., four SRAM cells 200 in a row share a 1 st word line, a 2 nd word line, and a respective word line strap 410 A) and a respective word line strap 410 B located in one of its edge cells 30 .
  • each of rows R 1 —R 8 has respective word lines straps 410 B located in both respective edge cells 30 .
  • a word line strap can be formed and shared by every four SRAM cells in a row, every eight SRAM cells in a row, or other number of SRAM cells in a row.
  • word line straps 410 A are arranged in different columns. In some embodiments, word line straps 410 A are arranged in the same columns. In FIG. 7 , column C 1 has one word line strap 410 A, column C 2 has two word line straps 410 A, column C 3 has three word line straps 410 A, and column C 4 has two word line straps 410 A, where word lines straps 410 A are not located in directly adjacent SRAM cells 200 along the y-direction. Any configuration of word line straps 410 A in memory array 12 is contemplated by the present disclosure. FIG. 7 has been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in memory 400 , and some of the features described above can be replaced, modified, or eliminated in other embodiments of memory 400 .
  • FIGS. 8 A- 8 C are various top, plan views of various layers of an SRAM-based memory having a double Vss line structure according to various aspects of the present disclosure. For example, FIG.
  • FIG. 8 A is a top, plan view of conductive features in M 2 layer, V 2 layer, M 3 layer, V 3 layer, and M 4 layer (e.g., M 2 /V 2 /M 3 /V 3 /M 4 ), in portion or entirety, of the SRAM-based memory according to various aspects of the present disclosure
  • FIG. 8 B is a top, plan view of conductive features in M 2 layer, V 2 layer, and M 3 layer (e.g., M 2 /V 2 /M 3 ), in portion or entirety, of the SRAM-based memory according to various aspects of the present disclosure
  • FIGS. 8 A- 8 C is a top, plan view of conductive features in M 3 layer, V 3 layer, and M 4 layer (e.g., M 3 /V 3 /M 4 ), in portion or entirety, of the SRAM-based memory according to various aspects of the present disclosure.
  • FIGS. 8 A- 8 C have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in the SRAM-based memory, and some of the features described below can be replaced, modified, or eliminated in other embodiments of the SRAM-based memory.
  • SRAM cell 200 is a portion of a memory array that further includes an SRAM cell 200 - 1 , an SRAM cell 200 - 2 , an SRAM cell 200 - 3 , an SRAM cell 200 - 4 , an SRAM cell 200 - 5 , an SRAM cell 200 - 6 , and an SRAM cell 200 - 7 , each of which can be configured similar to SRAM cell 200 .
  • Two directly adjacent columns e.g., a column N and a column N+1, where N is a number of a column within the memory array
  • four directly adjacent rows e.g., a row M, a row M+1, a row M+2, and a row M+3, where M is a number of a row within the memory array
  • SRAM cell 200 is at row M, column N.
  • M 2 layer includes 1 st word line 290 A of SRAM cell 200 , which extends continuously along row M, such that 1 st word line 290 A is shared by SRAM cell 200 and SRAM cell 200 - 4 ; a 1 st word line 290 D that extends continuously along row M+1, such that 1 st word line 290 D is shared by SRAM cell 200 - 1 and SRAM cell 200 - 5 ; a 1 st word line 290 E that extends continuously along row M+2, such that 1 st word line 290 E is shared by SRAM cell 200 - 2 and SRAM cell 200 - 6 ; and a 1 st word line 290 F that extends continuously along row M+3, such that 1 st word line 290 F is shared by SRAM cell 200 - 3 and SRAM cell 200 - 7 .
  • each SRAM cell of the memory array has a respective 1 st word line (e.g., 1 st word line 290 A, 1 st word line 290 D, 1 st word line 290 E, or 1 st word line 290 F) electrically connected to a gate of a respective pull-down transistor PD- 1 and a gate of a respective pull-down transistor PD- 2 by a respective 1 st word line interconnect structure underlying M 2 layer, which includes a gate via in V 0 layer, a word line landing pad in M 1 layer, and a via in V 1 layer.
  • a respective 1 st word line e.g., 1 st word line 290 A, 1 st word line 290 D, 1 st word line 290 E, or 1 st word line 290 F
  • M 3 layer includes 1 st Vss line 300 A of SRAM cell 200 , which extends continuously along the y-direction, such that 1 st Vss line 300 A is shared by SRAM cells of column N (here, SRAM cell 200 , SRAM cell 200 - 1 , SRAM cell 200 - 2 , and SRAM cell 200 - 3 ); 2 nd V SS line 300 B of SRAM cell 200 , which extends continuously along the y-direction, such that 2 nd V SS line 300 B is shared by SRAM cells of column N and SRAM cells of column N+1 (here, SRAM cell 200 - 4 , SRAM cell 200 - 5 , SRAM cell 200 - 6 , and SRAM cell 200 - 7 ; and 1 st V SS line 300 D, which extends continuously along the y-direction, such that 1 st V SS line 300 D is shared by SRAM cells of column N+1.
  • each SRAM cell of the memory array has a respective Pt Vss line (e.g., Pt Vss line 300 A or Pt Vss line 300 D) and a respective 2 nd V SS line (e.g., 2 nd V SS line 300 B) electrically connected to a source of a respective pull-down transistor PD- 1 and a source of a respective pull-down transistor PD- 2 , respectively, by Vss interconnect structures underlying M 3 layer, each of which includes a source/drain contact in CO layer, a source/drain via in V 0 layer, a first Vss landing pad in M 1 layer, a via in V 1 layer, a second Vss landing pad in M 2 layer, and a via in V 2 layer.
  • Pt Vss line e.g., Pt Vss line 300 A or Pt Vss line 300 D
  • a respective 2 nd V SS line e.g., 2 nd V SS line 300 B
  • FIG. 8 A- 8 C portions of the Vss interconnect structures in M 2 layer through V 2 layer are depicted, such as a 1 st V SS interconnect structure shared by SRAM cell 200 and SRAM cell 200 - 1 that includes 1 st Vss landing pad 290 B (1 st V SS LP 2 ) and via 295 A, a 2 nd V SS interconnect structure shared by SRAM cell 200 and SRAM cell 200 - 4 that includes 2 nd V SS landing pad 290 C (2 nd V SS LP 2 ) and via 295 B, a 1 st V SS interconnect structure shared by SRAM cell 200 - 2 and SRAM cell 200 - 3 that includes a 1 st Vss landing pad 290 G (1 st V SS LP 2 ) and a via 295 D, a 2 nd V SS interconnect structure shared by SRAM cell 200 - 3 and SRAM cell 200 - 7 that includes a 2 nd V SS landing pad 290 H
  • M 4 layer includes 2 nd word line 310 of SRAM cell 200 , which extends continuously along row M, such that 2 nd word line 310 is shared by SRAM cell 200 and SRAM cell 200 - 4 ; a 2 nd word line 310 A that extends continuously along row M+ 1 , such that 2 nd word line 310 A is shared by SRAM cell 200 - 1 and SRAM cell 200 - 5 ; a 2 nd word line 310 B that extends continuously along row M+2, such that 2 nd word line 310 B is shared by SRAM cell 200 - 2 and SRAM cell 200 - 6 ; and a 2 nd word line 310 C that extends continuously along row M+3, such that 2 nd word line 310 C is shared by SRAM cell 200 - 3 and SRAM cell 200 - 7 .
  • each SRAM cell of the memory array has a respective 2 nd word line (e.g., 2 nd word line 310 , 2 nd word line 310 A, 2 nd word line 310 B, or 2 nd word line 310 C) electrically connected to a respective 1 st word line (e.g., 1 st word line 290 A, 1 st word line 290 D, 1 st word line 290 E, or 1 st word line 290 F) by word line straps between M 2 layer and M 4 layer, each of which includes a via in V 2 layer, a word line landing pad in M 3 layer, and a via in V 3 layer.
  • a respective 2 nd word line e.g., 2 nd word line 310 , 2 nd word line 310 A, 2 nd word line 310 B, or 2 nd word line 310 C
  • 1 st word line e.g., 1 st word line 290 A, 1 st word line 290 D, 1
  • a word line strap is shared by SRAM cell 200 and SRAM cell 200 - 4 that includes via 295 C, word line landing pad 300 C, and via 305 ; a word line strap is shared by SRAM cell 200 - 1 and SRAM cell 200 - 5 that includes a via 2951 , a word line landing pad 300 E, and a via 305 A; a word line strap is shared by SRAM cell 200 - 2 and SRAM cell 200 - 6 that includes a via 295 J, a word line landing pad 300 F, and a via 305 B; and a word line strap is shared by SRAM cell 200 - 3 and SRAM cell 200 - 7 that includes a via 295 K, a word line landing pad 300 G, and a via 305 C.
  • Word line landing pad 300 E, word line landing pad 300 F, and word line landing pad 300 G form a portion of M 3 layer.
  • Via 305 A, via 305 B, and via 305 C form a portion of V 3 layer.
  • each SRAM cell further has a 3 rd V SS line in M 4 layer that is electrically connected to its respective Pt Vss line and its respective 2 nd V SS line.
  • M 4 layer includes a 3 rd V SS line 320 electrically connected to Pt V SS line 300 A by a Vss well strap (here, a via 305 D), 1 st V SS line 300 D by a V SS well strap (here, a via 305 E), and 2 nd V SS line 300 B by a V SS well strap (here, a via 305 F).
  • Via 305 D, via 305 E, and via 305 F form a portion of V 3 layer.
  • Vss lines in M 3 layer e.g., 1 st V SS line 300 A, 2 nd V SS line 300 B, and 1 st V SS line 300 D
  • V SS line in M 4 layer e.g., 3 rd V SS line 320
  • vias 305 D- 305 E provides a double V SS line structure (also referred to as a power mesh) that can reduce V SS line resistance.
  • 3 rd V SS line 320 is routed and extends continuously along the x-direction (i.e., the first routing direction), such that 3 rd V SS line 320 has a longitudinal direction substantially along the x-direction (and substantially parallel with 2 nd word lines of M 4 layer).
  • 3 rd V SS line 320 overlaps an interface between cell boundaries of SRAM cells in row M+1 and cell boundaries of SRAM cells in row M+2, and is shared by eight SRAM cells (i.e., SRAM cell 200 , SRAM cell 200 - 1 , SRAM cell 200 - 2 , SRAM cell 200 - 3 , SRAM cell 200 - 4 , SRAM cell 200 - 5 , SRAM cell 200 - 6 , and SRAM cell 200 - 7 ).
  • 3 rd V SS line 320 is located between 2 nd word line 310 A and 2 nd word line 310 B, such that 3 rd V SS line 320 is arranged between every two 2 nd word lines.
  • a 3 rd V SS line is placed between every pair of 2 nd word lines, every two 2 nd word lines, every four 2 nd word lines, every eight 2 nd wordlines, or other number of 2 nd wordlines.
  • four SRAM cells in a column e.g., column N or column N+1
  • two SRAM cells in a column share a 3 rd V SS line.
  • a width of 3 rd V SS line 320 is less than widths of 2 nd word lines. In some embodiments, the width of 3 rd V SS line 320 is the narrowest of metal lines in M 4 layer. In some embodiments, a width of 3 rd V SS line 320 is greater than widths of 2 nd word lines.
  • SRAM cell 200 is fabricated on a same wafer as a logic cell (often referred to as a standard cell).
  • M 1 layer of SRAM cell 200 and M 1 layer of the logic cell can be configured to optimize both SRAM performance and logic density (co-optimization).
  • FIG. 9 A is a top, plan view of conductive features in M 1 layer of SRAM cell 200 and a cross-sectional view of the conductive features in M 1 layer of SRAM cell 200 along line A-A of FIG. 9 A , in portion or entirety, according to various aspects of the present disclosure; and FIG.
  • the logic cell has a cell boundary LC, which has a first dimension, such as a cell width CW, along a first direction (e.g., x-pitch along an x-direction) and a second dimension, such as a cell height CH, along a second direction (e.g., y-pitch along a y-direction).
  • a first dimension such as a cell width CW
  • a second dimension such as a cell height CH
  • cell width CW is less than cell width W
  • cell height CH is greater than cell height H.
  • M 1 layer of the logic cell includes metal lines electrically connected to a device layer, such as a V DD line 410 A, a V SS line 410 B, metal line 410 C, metal line 410 D, metal line 410 E, and a metal line 410 F.
  • the device layer of the logic cell includes transistors, such as NFETs and PFETs, each of which has a gate disposed between a source and a drain, where M 1 layer of the logic cell is electrically connected to at least one gate, at least one source, and/or at least one drain of the transistors.
  • gates of the transistors of the logic cell extend longitudinally along the same direction as gates in SRAM cell 200 (i.e., the x-direction), and metal lines of M 1 layer of the logic cell have a routing direction that is substantially perpendicular to the gate lengthwise direction (i.e., VDD line 410 A, V SS line 410 B, and metal lines 410 C- 410 F extend longitudinally along the y-direction).
  • Metal lines 410 C- 410 F (also referred to as intracell M 1 lines) have a pitch P, which is a minimum (smallest) pitch of metal lines in M 1 layer of the logic cell.
  • Metal lines of M 1 layer (e.g., VDD line 410 A, V SS line 410 B, and metal lines 410 C- 410 F) of the logic cell have a thickness T 1 along the z-direction. Thickness T 1 is greater than pitch P to reduce and minimize resistance of metal lines of M 1 layer in the logic cell.
  • a ratio of thickness T 1 to pitch P i.e., T 1 :P
  • a thickness/pitch ratio that is less than about 1.05 may not provide desired metal resistance reduction, while a thickness/pitch ratio that is greater than about 2 may provide metal aspect ratios (i.e., ratios of metal thickness to metal width) that are too large for seamlessly integrating with conventional contact damascene fabrication processes.
  • Metal lines of M 1 layer e.g., bit line 280 A, bit line bar 280 B, V DD line 280 C, word line landing pad 280 D, word line landing pad 280 E, 1 st V SS landing pad 280 F, and/or 2 nd V SS landing pad
  • M 1 layer e.g., bit line 280 A, bit line bar 280 B, V DD line 280 C, word line landing pad 280 D, word line landing pad 280 E, 1 st V SS landing pad 280 F, and/or 2 nd V SS landing pad
  • M 1 layer e.g., bit line 280 A, bit line bar 280 B, V DD line 280 C, word line landing pad 280 D, word line landing pad 280 E, 1 st V SS landing pad 280 F, and/or 2 nd V SS landing pad
  • M 1 layer of SRAM cell 200 and M 1 layer of the logic cell can be fabricated simultaneously, where in some embodiments, any difference between thickness T 2 and thickness T 1 that may result from loading effects, such as those associated with etching, planarizing, etc., is less than about 10%
  • thickness T 2 is less than a minimum pitch of metal lines in M 1 layer of SRAM cell 200 .
  • thickness T 2 is greater than a minimum pitch of metal lines in M 1 layer of SRAM cell 200 .
  • thickness T 2 is substantially the same as a minimum pitch of metal lines in M 1 layer of SRAM cell 200 .
  • FIG. 9 A and FIG. 9 B have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM cell 200 and/or the logic cell, and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM cell 200 and/or the logic cell.
  • jogs can be added to a layout of SRAM cell 200 to further optimize SRAM performance by increasing cross-sectional areas of portions of metal lines in M 1 layer to M 4 layer and thereby reducing resistance of such metal lines.
  • jogs can be added to a V DD line at M 1 layer and/or a 1 st word line at M 2 layer, such that the V DD line and/or the 1 st word line have a varying width along its length and exhibit less resistance (because of its greater cross-sectional area) than a V DD line and/or a 1 st word line having a substantially uniform width along its length.
  • FIG. 10 is a top, plan view of an SRAM cell 500 , in portion or entirety, having a V DD line and a word line with varying width according to various aspects of the present disclosure.
  • FIGS. 11 A- 11 E are various top, plan views of various layers of SRAM cell 500 of FIG. 10 according to various aspects of the present disclosure.
  • FIG. 11 A is a top, plan view of a device layer and conductive features in a CO layer and a V 0 layer (e.g., DL/CO/VO), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure;
  • FIG. 11 A is a top, plan view of a device layer and conductive features in a CO layer and a V 0 layer (e.g., DL/CO/VO), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure;
  • FIG. 11 A is a top, plan view of a device layer and conductive features in a CO layer and a V 0 layer (e.
  • FIG. 11 B is a top, plan view of conductive features in V 0 layer, a M 1 layer, and a V 1 layer (e.g., V 0 /M 1 /V 1 ), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure
  • FIG. 11 C is a top, plan view of conductive features in V 1 layer, a M 2 layer, and a V 2 layer (e.g., V 1 /M 2 /V 2 ), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure
  • FIG. 11 B is a top, plan view of conductive features in V 0 layer, a M 1 layer, and a V 1 layer (e.g., V 0 /M 1 /V 1 ), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure
  • FIG. 11 C is a top, plan view of conductive features in V 1 layer, a M 2 layer, and a V 2 layer (e.g., V 1
  • FIG. 11 D is a top, plan view of conductive features in V 2 layer, a M 3 layer, and a V 3 layer (e.g., V 2 /M 3 /V 3 ), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure
  • FIG. 11 E is a top, plan view of conductive features in M 3 layer, V 3 layer, and a M 4 layer (e.g., M 3 /V 3 /M 4 ), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure.
  • SRAM cell 500 may be implemented in memory 10 of FIG. 1 and/or memory 400 of FIG. 7 .
  • the features of SRAM cell 500 are configured to provide an SRAM circuit, such as depicted in FIG. 2 and/or FIG. 3 .
  • SRAM cell 500 is configured to have a power mesh, such as depicted and described with reference to FIGS. 8 A- 8 C , and/or have dimensions relative to a logic cell, such as depicted and described with reference to FIG. 9 A and FIG. 9 B .
  • FIG. 10 and FIGS. 11 A- 11 E have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM cell 500 , and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM cell 500 .
  • SRAM cell 500 includes a VDD line 580 C in M 1 layer formed by a strip portion 582 A having width W 2 , which corresponds with VDD line 280 C as designed in SRAM cell 200 , a jog portion 582 B having a width W 5 , which corresponds with a jog added to a V DD line of an SRAM design layout of an SRAM cell (for example, for SRAM cell 200 ), and a jog portion 582 C having a width W 6 , which corresponds with a jog added to the V DD line of the SRAM design layout of the SRAM cell.
  • width W 5 and width W 6 are the same.
  • width W 5 and width W 6 are different.
  • the jogs are added to interconnection regions (areas) of the V DD line to increase cross-sectional areas of the interconnection regions, thereby reducing resistance of the V DD line.
  • jog portion 582 B provides V DD line 580 C with a width W 7 (i.e., a sum of width W 2 and width W 5 ) at an interconnection region at an end of V DD line 580 C located at the upper edge of cell boundary MC
  • jog portion 582 C provides V DD line 580 C with a width W 8 (i.e., a sum of width W 2 and width W 6 ) at an interconnection region at an end of VDD line 580 C located at the lower edge of cell boundary MC.
  • Width W 7 and width W 8 are each greater than width W 2 . In some embodiments, width W 7 and width W 8 are the same. In some embodiments, width W 7 and width W 8 are different. In furtherance of the depicted embodiment, width W 7 and width W 8 are each less than width W 1 to ensure that bit line 280 A and bit line bar 280 B have a greatest width of metal lines of M 1 layer. Increasing cross-sectional areas of the interconnection regions of the V DD line allows for increasing cross-sectional areas of the source/drain vias in VO layer that connect the V DD line to source/drain contacts (and thus to underlying source/drain regions).
  • SRAM cell 500 can include a source/drain via 570 C (instead of source/drain via 270 C) and a source/drain via 570 D (instead of source/drain via 270 D) that are substantially rectangular-shaped and/or oval-shaped (i.e., each has a dimension D 3 along the x-direction that is different than a dimension D 4 along the y-direction) to reduce contact resistance associated with interconnection structures from sources of pull-up transistors PU- 1 , PU- 2 to VDD line 580 C.
  • Such source/drain vias can also be referred to as slot-shaped vias.
  • a ratio of dimension D 3 to dimension D 4 is about 1.1 to about 2.
  • a longest dimension/shortest dimension ratio for source/drain vias corresponding with the V DD line that is less than about 1.1 may not provide desired via resistance reduction, while a longest dimension/shortest dimension ratio for source/drain vias corresponding with the V DD line that is greater than about 2 may be too large and negatively impact widths of adjacent bit lines (for example, by necessitating wider VDD line widths and/or thinner bit line widths to accommodate larger source/drain vias).
  • SRAM cell 500 further includes a 1 st word line 590 A in M 2 layer formed by a strip portion 592 A having a width W 9 , which corresponds with 1 st word line 290 A as designed in SRAM cell 200 , a jog portion 592 B having a width W 10 , which corresponds with a jog added to a 1 st word line of an SRAM design layout of an SRAM cell (for example, for SRAM cell 200 ), and a jog portion 592 C having a width W 11 , which corresponds with a jog added to the 1 st word line of the SRAM design layout of the SRAM cell.
  • width W 10 and width W 11 are the same.
  • width W 10 and width W 11 are different.
  • the jogs are added to interconnection regions of the 1 st word line to increase cross-sectional areas of the interconnection regions, thereby reducing resistance of the 1 st word line and reducing word line delay.
  • jog portion 592 B extends from a first end of strip portion 592 A along a top portion of the length of strip portion 592 A
  • jog portion 592 C extends from a second, opposite end of strip portion 592 A along a bottom portion of the length of strip portion 592 A
  • jog portion 592 B and jog portion 592 C both extend overlap a central portion of strip portion 592 A.
  • Such configuration provides 1 st word line 590 A with a central portion having a width W 12 (i.e., a sum of width W 9 , width W 10 , and width W 11 ) at a center interconnection region of 1 st word line 590 A located within cell boundary MC, an end portion having a width W 13 (i.e., a sum of width W 9 and width W 10 ) at an end interconnection region of 1 st word line 590 A located at a left edge of cell boundary MC, and an end portion having a width W 14 (i.e., a sum of width W 9 and width W 11 ) at an end interconnection region of 1 st word line 590 A located at a right edge of cell boundary MC.
  • a width W 12 i.e., a sum of width W 9 , width W 10 , and width W 11
  • Width W 13 and width W 14 are each less than width W 12 , such that the central portion of 1 st word line 590 A is wider than end portions (e.g., cell boundary portions) of 1 st word line 590 A.
  • width W 13 and width W 14 are the same.
  • width W 13 and width W 14 are different.
  • a ratio of center width (i.e., width W 12 ) to edge width (i.e., width W 13 and/or width W 14 ) is about 1.1 to about 2.
  • a center width/edge width ratio that is less than about 1.1 may not provide desired word line resistance reduction (e.g., word line resistance reduction may be negligible), while a center width/edge width ratio that is greater than about 2 may provide word lines with center widths that are too wide to provide sufficient isolation between adjacent metal lines and/or adjacent metal lines in M 2 layer (i.e., insufficient metal isolation margins).
  • Various conductive features of the MLI features can include tungsten, ruthenium, cobalt, copper, aluminum, iridium, palladium, platinum, nickel, other low resistivity metal constituent, alloys thereof, or combinations thereof.
  • a conductive material of conductive features of VO layer is different than a conductive material of conductive features of M 1 layer.
  • conductive features of M 1 layer include copper
  • conductive feature of VO layer include tungsten or ruthenium.
  • a conductive material of conductive features of VO layer are the same as a conductive material of conductive features of M 1 layer.
  • various layers of the MLI features can be fabricated by depositing a dielectric layer (e.g., an ILD layer and/or a CESL) over a substrate; performing a lithography and etching process to form one or more openings in the dielectric layer that expose one or more conductive features in an underlying layer, filling the one or more openings with a conductive material, and performing a planarization process that removes excess conductive material, such that conductive features and the dielectric layer have substantially planar surfaces.
  • a dielectric layer e.g., an ILD layer and/or a CESL
  • the conductive material is formed by a deposition process (for example, PVD, CVD, ALD, or other suitable deposition process) and/or annealing process.
  • the conductive features include a bulk layer (also referred to as a conductive plug).
  • the conductive features include a barrier layer, an adhesion layer, and/or other suitable layer disposed between the bulk layer and dielectric layer.
  • the barrier layer, the adhesion layer, and/or other suitable layer include titanium, titanium alloy (e.g., TiN), tantalum, tantalum alloy (e.g., TaN), other suitable constituent, or combinations thereof.
  • a via layer (e.g., VO layer) and a metallization layer (e.g., M 1 layer) of the MLI features can be formed by a single damascene or a dual damascene process.
  • Configurations of metal layers of interconnect structures are disclosed herein that can improve memory performance, such as SRAM memory performance, and/or logic performance.
  • embodiments herein place bit lines in M 1 layer, which is a lowest metallization level of an interconnect structure of a memory cell, to minimize bit line capacitance, and configure bit lines as the widest metal lines of the metal one layer to minimize bit line resistance.
  • the interconnect structure has a double word line structure to reduce word line resistance.
  • the interconnect structure has a double voltage line structure to reduce voltage line resistance.
  • jogs are added to a word line and/or a voltage line to reduce its respective resistance.
  • via shapes of the interconnect structure are configured to reduce resistance of the interconnect structure.
  • An exemplary integrated circuit structure includes a memory cell connected to a bit line, a bit line bar, a first voltage line for receiving a first voltage, a word line, and a second voltage line for receiving a second voltage that is different than the first voltage.
  • the integrated circuit structure further includes an interconnect structure disposed over the memory cell.
  • the interconnect structure includes the bit line, the bit line bar, the first voltage line, the word line, and the second voltage line.
  • the bit line, the bit line bar, the first voltage line, and the second voltage line extend along a first lengthwise direction.
  • the word line extends along a second lengthwise direction that is different than the first lengthwise direction.
  • the interconnect structure has a bottommost metal layer having metal lines connected to the memory cell.
  • the metal lines include the bit line, the first voltage line, a voltage line landing pad connected to the second voltage line, and a word line landing pad connected to the word line.
  • a width of the bit line is a widest width of the metal lines.
  • the width of the bit line is a first width
  • the first voltage line has a second width
  • a ratio of the first width to the second width is about 1.1 to about 2 (in some embodiments, about 1.1 to about 1.4).
  • the width of the bit line is a first width
  • the voltage line landing pad has a second width
  • a ratio of the first width to the second width is about 1.1 to about 2 (in some embodiments, about 1.1 to about 1.4).
  • the width of the bit line is a first width
  • the word line landing pad has a second width
  • a ratio of the first width to the second width is about 1.1 to about 2 (in some embodiments, about 1.1 to about 1.4).
  • the width of the bit line is a first width
  • the metal lines further include the bit line bar
  • the bit line bar has a second width
  • the second width is the same as the first width.
  • the first voltage line has a first portion having a first width and a second portion having a second width that is greater than the first width. The second portion having the second width is an interconnection region of the first voltage line.
  • the integrated circuit structure further includes a logic cell connected to a third voltage line for receiving a third voltage, where the interconnect structure includes the third voltage line extending along the first lengthwise direction, the metal lines of the bottommost metal layer include the third voltage line, and a first thickness of the bit line is the same as a second thickness of the third voltage line.
  • the bottommost metal layer is a first metal layer and the metal lines are first metal lines
  • the interconnect structure further has a second metal layer over the first metal layer and a third metal layer over the second metal layer, where the second metal layer has second metal lines that include the word line and the third metal layer has third metal lines that include the second voltage line.
  • the word line may be a first word line
  • the word line landing pad may be a first word line landing pad
  • the third metal lines of the third metal layer may further include a second word line landing pad connected to the first word line.
  • the interconnect structure may further have a fourth metal layer over the third metal layer, where the fourth metal layer has fourth metal lines that include a second word line and the second word line is connected to the second word line landing pad.
  • the memory cell further includes a third voltage line for receiving the second voltage
  • the interconnect structure has a fourth metal layer over the third metal layer
  • the fourth metal layer has fourth metal lines that include the third voltage line
  • the third voltage line is connected to the second voltage line.
  • Another exemplary integrated circuit structure includes a memory cell and an interconnect structure disposed over and electrically coupled to the memory cell.
  • the interconnect structure includes a first metal layer electrically coupled to the memory cell, a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, and a fourth metal layer disposed over the third metal layer.
  • the first metal layer includes a bit line, a first voltage line configured to receive a first voltage, a first voltage line landing pad, and a first word line landing pad.
  • the second metal layer includes a first word line electrically coupled to the first word line landing pad and a second voltage line landing pad electrically coupled to the first voltage line landing pad.
  • the third metal layer includes a second voltage line electrically coupled to the second voltage line landing pad, where the second voltage line is configured to receive a second voltage.
  • the fourth metal layer includes a second word line.
  • the bit line, the first voltage line, and the second voltage line extend along a first lengthwise direction
  • the first word line and the second word line extend along a second lengthwise direction that is different than the first lengthwise direction
  • a first width of the bit line is greater than a second width of the first voltage line.
  • the first width of the bit line is greater than a third width of the first voltage line landing pad and a fourth width of the first word line landing pad.
  • the first metal layer further includes a bit line bar that extends along the first lengthwise direction, wherein a third width of the bit line bar is greater than the second width of the first voltage line. In some embodiments, the third width of the bit line bar is the same as the first width of the bit line.
  • the second word line is electrically coupled to the first word line.
  • the integrated circuit structure further includes an edge cell, wherein the second word line is electrically coupled to the first word line by a first connection in the memory cell and a second connection in the edge cell.
  • the fourth metal layer further includes a third voltage line configured to receive the second voltage. In some embodiments, the third voltage line is electrically coupled to the second voltage line.
  • An exemplary method for forming a multilayer interconnect structure of a memory includes forming a first metallization layer that includes a bit line, a bit line bar, and a first voltage line configured to receive a first voltage.
  • the bit line, the bit line bar, and the first voltage line extend along a first routing direction
  • the first metallization layer is a bottommost metallization layer of the multilayer interconnect structure
  • a bit line width of the bit line has a widest width of metal lines of the first metallization layer.
  • the method further includes forming a second metallization layer over the first metallization layer.
  • the second metallization layer includes a first word line that extends along a second routing direction that is different than the first routing direction.
  • the method further includes forming a third metallization layer over the second metallization layer.
  • the third metallization layer includes a second voltage line and a third voltage line configured to receive a second voltage that is different than the first voltage and the second voltage line and the third voltage line extend along the first routing direction.
  • the method further includes forming a fourth metal layer disposed over the third metal layer.
  • the fourth metal layer includes a second word line that extends along the second routing direction.
  • a ratio of the bit line width to a width of any one of the metal lines of the first metallization layer is about 1.1 to about 2 (in some embodiments, about 1.4).

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Configurations of metal layers of interconnect structures, and methods of fabrication thereof, are disclosed for memories, such as a static random-access memory (SRAM). For example, bit lines are placed in a metal one (M1) layer, which is a lowest metallization level of an interconnect structure of a memory cell, to minimize bit line capacitance, and configure bit lines as the widest metal lines of the metal one layer to minimize bit line resistance. In some embodiments, the interconnect structure has a double word line structure to reduce word line resistance. In some embodiments, the interconnect structure has a double voltage line structure to reduce voltage line resistance. In some embodiments, jogs are added to a word line and/or a voltage line to reduce its respective resistance. In some embodiments, via shapes of the interconnect structure are configured to reduce resistance of the interconnect structure.

Description

  • This is a continuation application of U.S. patent application Ser. No. 17/343,335, filed Jun. 9, 2021, which is a non-provisional application of and claims benefit of U.S. Provisional Patent Application Ser. No. 63/148,863, filed Feb. 12, 2021, the entire disclosures of which are incorporated herein by reference.
  • BACKGROUND
  • The integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs, where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. However, such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing are needed. For example, as multilayer interconnect (MLI) features become more compact with ever-shrinking IC feature size, interconnects of the MLI features are exhibiting increased resistance and exhibiting increased capacitance, which presents performance, yield, and cost challenges. It has been observed that these higher resistances and/or higher capacitances exhibited by interconnects in advanced IC technology nodes can significantly delay (and, in some situations, prevent) signals from being routed efficiently to and from IC devices, such as transistors, negating any improvements in performance of such IC devices in the advanced technology nodes. Performance of advanced memories, such as static random-access memory (“SRAM”), is especially sensitive to these delays, where the advanced memories are requiring ever faster speeds (e.g., fast write/read). Accordingly, although existing MLI features for memory-based ICs and their interconnects have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a fragmentary diagrammatic plan view of a memory, such as a static random-access memory (SRAM), according to various aspects of the present disclosure.
  • FIG. 2 is a circuit diagram of a memory cell, such as an SRAM cell, that can be implemented in the memory of FIG. 1 , according to various aspects of the present disclosure.
  • FIG. 3 is another circuit diagram of a memory cell, such as an SRAM cell, that can be implemented in the memory of FIG. 1 , according to various aspects of the present disclosure.
  • FIG. 4 is a fragmentary diagrammatic cross-sectional view of various layers of a memory, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 5A and FIG. 5B are fragmentary diagrammatic views of a memory cell, such as an SRAM cell, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 6A, FIG. 6B, FIG. 6C, FIG. 6D, and FIG. 6E are various top, plan views of various layers of the memory cell of FIG. 5A and FIG. 5B, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 7 is a fragmentary diagrammatic plan view of a memory having a double word line structure, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 8A, FIG. 8B, and FIG. 8C are various top, plan views of various layers of a memory having a double voltage line structure, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 9A and FIG. 9B are fragmentary, diagrammatic views of a bottommost metal layer of an interconnect structure of an SRAM cell and a logic cell, respectively, in portion or entirety, according to various aspects of the present disclosure.
  • FIG. 10 is a top, plan view of an SRAM cell, in portion or entirety, having a voltage line and a word line with varying width according to various aspects of the present disclosure.
  • FIG. 11A, FIG. 11B, FIG. 11C, FIG. 11D, and FIG. 11E are various top, plan views of various layers of the memory cell of FIG. 10 , in portion or entirety, according to various aspects of the present disclosure.
  • DETAILED DESCRIPTION
  • The present disclosure relates generally to integrated circuit (IC) devices, and more particularly, to interconnect structures for memory-based IC devices.
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.
  • In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one features relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features.
  • Configurations of metal layers of interconnect structures are disclosed herein that can improve memory performance, such as static random-access memory (SRAM) memory performance, and/or logic performance. For example, embodiments herein place bit lines in a metal one layer, which is a lowest metallization level of an interconnect structure of a memory cell, to minimize bit line capacitance, and configure bit lines as the widest metal lines of the metal one layer to minimize bit line resistance. In some embodiments, the interconnect structure has a double word line structure to reduce word line resistance. In some embodiments, word line straps (i.e., connections) in the double word line structure are configured and placed within a memory to reduce word line resistance. In some embodiments, the interconnect structure has a double voltage line structure to reduce voltage line resistance. In some embodiments, jogs are added to a word line and/or a voltage line to reduce its respective resistance. In some embodiments, via shapes of the interconnect structure are configured to reduce resistance of the interconnect structure. In some embodiments, dimensions of metal lines of the metal one layer in a memory region are configured relative to metal lines of the metal one layer in a logic region to co-optimize memory performance and logic performance, for example, by minimizing resistance. SRAM configurations disclosed herein thus optimize electrical characteristics and SRAM density, as described below. Different embodiments may have different advantages, and no particular advantage is required of any embodiment.
  • FIG. 1 is a fragmentary diagrammatic plan view of a memory 10 according to various aspects of the present disclosure. Memory 10 may be included in a microprocessor, a memory, and/or other IC device. In some embodiments, memory 10 may be a portion of an IC chip, a system on chip (SoC), or portion thereof, that includes various passive and active electronic devices such as resistors, capacitors, inductors, diodes, p-type FETs (PFETs), n-type FETs (NFETs), metal-oxide-semiconductor FETs (MOSFETs), complementary MOS (CMOS) transistors, bipolar junction transistors (BJTs), laterally diffused MOS (LDMOS) transistors, high voltage transistors, high frequency transistors, other suitable components, or combinations thereof. The various transistors may be planar transistors or multi-gate transistors, such as FinFETs or GAA transistors, depending on design requirements of memory 10. FIG. 1 has been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in memory 10, and some of the features described below can be replaced, modified, or eliminated in other embodiments of memory 10.
  • Memory 10 includes a memory array 12 that includes memory cells 15 (also referred to as bit cells) for storing data. In some embodiments, memory 10 is configured as a static random-access memory (SRAM) and memory cells 15 are SRAM cells. Memory cells 15 include various transistors, such as p-type transistors and/or n-type transistors, configured to facilitate reading and writing of data to memory cells 15. Memory cells 15 are arranged in a column 1 (C1) to a column N (CN) extending along a first direction (e.g., a y-direction) and a row 1 (R1) to a row M (RM) extending along a second direction (e.g., an x-direction), where N and M are positive integers. Column C1 to column CN each include a bit line pair extending along the first direction, such as a bit line (BL) and a bit line bar (BLB) (also referred to as a complementary bit line), that facilitate reading data from and/or writing data to respective memory cells 15 in true form and complementary form on a column-by-column basis. Row R1 to row RM each include a word line (WL) that facilitates access to respective memory cells 15 on a row-by-row basis. Each memory cell 15 is electrically connected to a respective BL, a respective BLB, and a respective WL. BLs and BLBs are electrically connected to a controller 20, and WLs are electrically connected to a controller 25. Controller 20 and controller 25 are configured to generate one or more signals to select at least one WL and at least one bit line pair (here, BL and BLB) to access at least one of memory cells 15 for read operations and/or write operations. Controller 20 and controller 25 each include circuitry for facilitating read/write operations, such as a column decoder circuit, a row decoder circuit, a column selection circuit, a row selection circuit, a read/write circuit (for example, configured to read data from and/or write data to memory cells 15 corresponding to a selected bit line pair (in other words, a selected column)), other suitable circuitry, or combinations thereof. In some embodiments, controller 20 and/or controller 25 include at least one sense amplifier configured to detect and/or amplify a voltage differential of a selected bit line pair. In some embodiments, the sense amplifier is configured to latch or otherwise store data values of the voltage differential.
  • A perimeter of memory 10 is configured with dummy cells, such as edge dummy cells and/or well strap cells, to facilitate uniformity in fabrication and/or performance of memory cells 15. Dummy cells are configured physically and/or structurally similar to memory cells 15, but do not store data. For example, dummy cells can include p-type wells, n-type wells, channels (e.g., formed in one or more fins or one or more suspended channel layers (e.g., nanowires or nanosheets)), gate structures, source/drains, and/or interconnects (e.g., contacts, vias, and/or metal lines). Well strap cells generally refer to dummy cells that are configured to electrically connect a voltage to an n-well of memory cells 15, a p-well of memory cells 15, or both. For example, an n-type well strap is configured to electrically couple an n-well that corresponds with at least one p-type transistor of memory cells 15 to a voltage source, and a p-type well strap is configured to electrically couple a p-well that corresponds with at least one n-type transistor of memory cells 15 to a voltage source. In the depicted embodiment, memory 10 includes edge cells 30 (which collectively refers to edge cells, well strap cells, and/or other dummy cells) arranged along the first direction (e.g., y-direction) into an edge cell column 35A and an edge cell column 35B, where each of row R1 to row RM of memory cells 15 is disposed between one of edge dummy cells 30 in edge dummy cell column 35A and one of edge dummy cells 30 in edge dummy cell column 35B. In furtherance of the depicted embodiment, each of column Cl to column CN of memory cells 15 is disposed between a respective pair of edge cells 30. In some embodiments, edge cell column 35A and/or edge cell column 35B extend substantially parallel to at least one bit line pair (here, BL and BLB) of memory 10. In some embodiments, edge cells 30 connect respective memory cells 15 to respective WLs. In some embodiments, edge cells 30 include circuitry for driving WLs. In some embodiments, edge cells 30 are electrically connected to a power supply voltage VDD (for example, a positive power supply voltage) and/or a power supply voltage Vss (for example, an electrical ground).
  • FIG. 2 is a circuit diagram of an SRAM circuit 90, which can be implemented in a memory cell of an SRAM, according to various aspects of the present disclosure. FIG. 3 is an alternative circuit diagram of SRAM circuit 90 according to various aspects of the present disclosure, which will be discussed concurrently with FIG. 2 . In some embodiments, one or more of memory cells 15 is configured as SRAM circuit 90. SRAM circuit 90 includes six transistors: a pass-gate transistor PG-1, a pass-gate transistor PG-2, a pull-up transistor PU-1, a pull-up transistor PU-2, a pull-down transistor PD-1, and a pull-down transistor PD-2. SRAM circuit 90 is thus alternatively referred to as a 6T SRAM cell. A storage portion of SRAM circuit 90 includes a cross-coupled pair of inverters (which can be referred to as a latch), such as an Inveter-1 and an Inverter-2 (FIG. 3 ). Inverter-1 includes pull-up transistor PU-1 and pull-down transistor PD-1, and Inverter-2 includes pull-up transistor PU-2 and pull-down transistor PD-2. Pass-gate transistor PG-1 is connected to an output of Inverter-1 and an input of Inveter-2, and pass-gate transistor PG-2 is connected to an output of Inverter-2 and an input of Inverter-1. In operation, pass-gate transistor PG-1 and pass-gate transistor PG-2 provide access to the storage portion of SRAM circuit 90 (i.e., Inverter-1 and Invereter-2) and can alternatively be referred to as access transistors of SRAM circuit 90. In the depicted embodiment, SRAM circuit 90 is a single-port SRAM cell. The present disclosure contemplates embodiments where SRAM circuit 90 is a multi-port SRAM cell, such as a dual-port SRAM cell, and/or includes more or less transistors, such as an 8T SRAM cell. FIG. 2 and FIG. 3 have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM circuit 90, and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM circuit 90.
  • SRAM circuit 90 is connected to and powered through a first power supply voltage, such as a positive power supply voltage, and a second power supply voltage, such as a ground voltage or a reference voltage (which can be an electrical ground). A gate of pull-up transistor PU-1 interposes a source, which is electrically coupled to the first supply voltage via voltage node VDD, and a first common drain (CD1) (i.e., a drain of pull-up transistor PU-1 and a drain of pull-down transistor PD-1). A gate of pull-down transistor PD-1 interposes a source, which is electrically coupled to the second power supply voltage via a 1st VSS node, and the first common drain. A gate of pull-up transistor PU-2 interposes a source, which is electrically coupled the first supply voltage via voltage node VDD, and a second common drain (CD2) (i.e., a drain of pull-up transistor PU-2 and a drain of pull-down transistor PD-2). A gate of pull-down transistor PD-2 interposes a source, which is electrically coupled to the second power supply voltage via a 2nd VSS node, and the second common drain. In some embodiments, the first common drain is a storage node SN that stores data in true form, and the second common drain is a storage node SNB that stores data in complementary form. The gate of pull-up transistor PU-1 and the gate of pull-down transistor PD-1 are coupled together and to the second common drain, and the gate of pull-up transistor PU-2 and the gate of pull-down transistor PD-2 are coupled together and to the first common drain. A gate of pass-gate transistor PG-1 interposes a drain connected to a bit line node (BLN), which is electrically coupled to a bit line BL, and a source, which is electrically coupled to the first common drain. A gate of pass-gate transistor PG-2 interposes a drain connected to a complementary bit line node (BLBN), which is electrically coupled to a complementary bit line BLB, and a source, which is electrically coupled to the second common drain. Gates of pass-gate transistors PG-1, PG-2 are connected to and controlled by a word line WL, which allows selection of SRAM circuit 90 for reading/writing. In some embodiments, pass-gate transistors PG-1, PG-2 provide access to storage nodes SN, SNB, which can store a bit (e.g., a logical 0 or a logical 1), during read operations and/or write operations. For example, pass-gate transistors PG-1, PG-2 couple storage nodes SN, SNB respectively to bit lines BL, BLB in response to voltage applied to gates of pass-gate transistors PG-1, PG-2 by WLs.
  • In some embodiments, pull-up transistors PU-1, PU-2 are configured as p-type multigate devices, such as p-type FinFETs or p-type GAA transistors, and pull-down transistors PD-1, PD-2 are configured as n-type multigate devices, such as n-type FinFETs or n-type GAA transistors. For example, pull-up transistors PU-1, PU-2 each include a gate structure disposed over a channel region of an n-type fin structure (including one or more n-type fins), such that the gate structure interposes p-type source/drain regions of the n-type fin structure (for example, p-type epitaxial source/drain features), where the gate structure and the n-type fin structure are disposed over an n-type well; and pull-down transistors PD-1, PD-2 each include a gate structure disposed over a channel region of a p-type fin structure (including one or more p-type fins), such that the gate structure interposes n-type source/drain regions of the p-type fin structure (for example, n-type epitaxial source/drain features), where the gate structure and the p-type fin structure are disposed over a p-type well. In some embodiments, pass-gate transistors PG-1, PG-2 are also configured as n-type FinFETs. For example, pass-gate transistors PG-1, PG-2 each include a gate structure disposed over a channel region of a p-type fin structure (including one or more p-type fins), such that the gate structure interposes n-type source/drain regions of the p-type fin structure (for example, n-type epitaxial source/drain features), where the gate structure and the p-type fin structure are disposed over a p-type well.
  • FIG. 4 is a fragmentary diagrammatic cross-sectional view of various layers (levels) that can be fabricated over a semiconductor substrate (or wafer) 105 to form a portion of a memory, such as memory 10 of FIG. 1 , and/or a portion of an SRAM cell, such as SRAM circuit 90 of FIG. 2 and FIG. 3 , according to various aspects of the present disclosure. In FIG. 4 , the various layers include a device layer DL and a multilayer interconnect MLI disposed over the device layer DL. Device layer DL includes devices (e.g., transistors, resistors, capacitors, and/or inductors) and/or device components (e.g., doped wells, gate structures, and/or source/drain features). In some embodiments, device layer DL includes substrate 105, doped regions 110 disposed in substrate 105 (e.g., n-wells and/or p-wells), isolation features 115, and transistors T. In the depicted embodiment, transistors T include suspended channel layers 120 and gate structures 125 disposed between source/drains 130, where gate structures 125 wrap and/or surround suspended channel layers 120. Each gate structure 125 has a metal gate stack formed from a gate electrode 134 disposed over a gate dielectric 136 and gate spacers 138 disposed along sidewalls of the metal gate stack. Multilayer interconnect MLI electrically couples various devices and/or components of device layer DL, such that the various devices and/or components can operate as specified by design requirements for the memory. In the depicted embodiment, multilayer interconnect MLI includes a contact layer (CO level or metal zero (M0) level), a via zero layer (V0 level), a metal one layer (M1 level), a via one layer (V1 level), a metal two layer (M2 level), a via two layer (V2 level), a metal three layer (M3 level), a via three layer (V3 level), and a metal four layer (M4 level). The present disclosure contemplates multilayer interconnect MLI having more or less layers and/or levels, for example, up to an MX level and a V(X−1) level, where X is a total number of metal layers (levels) of the multilayer interconnect MLI. Each level of multilayer interconnect MLI includes conductive features (e.g., metal lines, metal vias, and/or metal contacts) disposed in one or more dielectric layers (e.g., an interlayer dielectric (ILD) layer and a contact etch stop layer (CESL)). In some embodiments, conductive features at a same level of multilayer interconnect MLI, such as M1 level, are formed simultaneously. In some embodiments, conductive features at a same level of multilayer interconnect MLI have top surfaces that are substantially planar with one another and/or bottom surfaces that are substantially planar with one another. CO level includes source/drain contacts (MD) disposed in a dielectric layer 150; V0 level includes gate vias VG, source/drain vias VO, and butted contacts disposed in dielectric layer 150; M1 level includes M1 metal lines disposed in dielectric layer 150, where gate vias VG connect gate structures 125 to M1 metal lines, source/drain vias VO connect source/drains 130 to M1 metal lines, and butted contacts connect gate structures 125 and source/drains 130 together and to M1 metal lines; V1 level includes V1 vias disposed in dielectric layer 150, where V1 vias connect M1 metal lines to M2 metal lines; M2 level includes M2 metal lines disposed in dielectric layer 150; V2 level includes V2 vias disposed in dielectric layer 150, where V2 vias connect M2 lines to M3 lines; M3 level includes M3 metal lines disposed in dielectric layer 150; V3 level includes V3 vias disposed in dielectric layer 150, where V3 vias connect M3 lines to M4 lines. FIG. 4 has been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in the various layers of the memory, and some of the features described can be replaced, modified, or eliminated in other embodiments of the memory. FIG. 4 is merely an example and may not reflect an actual cross-sectional view of memory 10 and/or SRAM circuit 90.
  • FIG. 5A and FIG. 5B are fragmentary diagrammatic views of an SRAM cell 200, in portion or entirety, according to various aspects of the present disclosure. In particular, FIG. 5A is a top, plan view of SRAM cell 200, and FIG. 5B is a diagrammatic cross-sectional view of SRAM cell 200 along line A-A of FIG. 5A. FIGS. 6A-6E are various top, plan views of various layers of SRAM cell 200 of FIG. 5A and FIG. 5B according to various aspects of the present disclosure. For example, FIG. 6A is a top, plan view of a device layer (DL) and conductive features in a contact (CO) layer and a via zero (V0) layer (e.g., DL/CO/V0), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure; FIG. 6B is a top, plan view of conductive features in VO layer, a metal one (M1) layer and a via one (V1) layer (e.g., V0/M1/V1), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure; FIG. 6C is a top, plan view of conductive features in V1 layer, a metal two (M2) layer and a via two (V2) layer (e.g., V1/M2/V2), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure; FIG. 6D is a top, plan view of conductive features in V2 layer, a metal three (M3) layer, and a via three (V3) layer (e.g., V2/M3/V3), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure; and FIG. 6E is a top, plan view of conductive features in M3 layer, V3 layer, and a metal four (M4) layer (e.g., M3/V3/M4), in portion or entirety, of SRAM cell 200 according to various aspects of the present disclosure. CO layer connects device layer to V0 layer, V0 layer connects CO layer to M1 layer, V1 layer connects M1 layer to M2 layer, V2 layer connects M2 layer to M3 layer, and V3 layer connects M3 layer to M4 layer. SRAM cell 200 may be implemented in memory 10 of FIG. 1 . In some embodiments, the features of SRAM cell 200 are configured to provide an SRAM circuit, such as depicted in FIG. 2 and/or FIG. 3 . FIG. 5A, FIG. 5B, FIG. 6A, FIG. 6B, FIG. 6C, FIG. 6D, and FIG. 6E have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM cell 200, and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM cell 200.
  • SRAM cell 200 has a cell boundary MC, which has a first dimension, such as a cell width W, along a first direction (e.g., x-pitch along an x-direction) and a second dimension, such as a cell height H, along a second direction (e.g., y-pitch along a y-direction). In some embodiments, such as depicted, cell width W is greater than cell height H. For example, a ratio of cell width W to a ratio of cell height H is greater than one. Where SRAM cell 200 is repeated in a memory array, such as memory array 12, cell width W may represent and be referred to as a memory cell pitch in the memory array along an x-direction and cell height H may represent and be referred to as a memory cell pitch in the memory array along a y-direction.
  • Device layer includes device components and/or device features, such as a substrate (wafer) 202, an n-well 204 disposed in substrate 202, a p-well 206A and a p-well 206B disposed in substrate 202, fins 210A-210F (also referred to as fin structures or active fin regions) disposed over and/or extending from substrate 202, isolation features 215 disposed in and/or over substrate 202, gate structures 220A-220D disposed over substrate 202 and isolation features 215, and epitaxial source/drain features 230A-230J. Fins 210A-210F are oriented substantially parallel to one another and extend lengthwise along the y-direction (i.e., length is defined in the y-direction, width is defined in the x-direction, and height is defined in the z-direction), and gate structures 220A-220D are oriented substantially parallel to one another and extend lengthwise along the x-direction (i.e., length is defined in the x-direction, width is defined in the y-direction, and height is defined in the z-direction, such that gate structures 220A-220D are oriented substantially orthogonal to fins 210A-210F). Gate structure 220A wraps a first channel region of fin 210A and a first channel region of fin 210B and is disposed between epitaxial source/drain feature 230A and epitaxial source/drain feature 230B, both of which are disposed over and/or in source/drain regions of fin 210A and source/drain regions of fin 210B. Gate structure 220B wraps a second channel region of fin 210A, a second channel region of fin 210B, and a channel region of fin 210C, is disposed between epitaxial source/drain feature 230B and epitaxial source/drain feature 230C, both of which are disposed over and/or in source/drain regions of fin 210A and source/drain regions of fin 210B, and is disposed between epitaxial source/drain feature 230D and epitaxial source/drain feature 230E, both of which are disposed over and/or in source/drain regions of fin 210C. Gate structure 220C wraps a channel region of fin 210D, a first channel region of fin 210E, and a first channel region of fin 210F, is disposed between epitaxial source/drain feature 230F and epitaxial source/drain feature 230G, both of which are disposed over and/or in source/drain regions of fin 210D, and is disposed between epitaxial source/drain feature 230H and epitaxial source/drain feature 2301, both of which are disposed over and/or in source/drain regions of fin 210E and source/drain regions of fin 210F. Gate structure 220C further wraps an end region of fin 210C, such that gate structure 220C is disposed adjacent to epitaxial source/drain feature 230D. Gate structure 220D wraps a second channel region of fin 210E and a second channel region of fin 210F and is disposed between epitaxial source/drain feature 2301 and epitaxial source/drain feature 230J, both of which are disposed over and/or in source/drain regions of fin 210E and source/drain regions of fin 210F. Gate structures 220A-220D engage respective channel regions of fins 210A-210F, such that current can flow between respective epitaxial source/drain features 230A-230J and/or respective source/drain regions of fins 210A-210F during operation. Gate structures 220A-220D each include a metal gate stack and gate spacers. For example, gate structure 220A has a metal gate stack that includes a gate dielectric 222A, a gate electrode 224A, and a hard mask 226A and gate spacers 228A disposed along sidewalls of the metal gate stack.
  • Device components and/or device features at device layer combine to form electronic devices. For example, SRAM cell 200 includes six transistors formed at device layer from the device components and/or device features, such as a pass-gate transistor PG-1, a pass-gate transistor PG-2, a pull-up transistor PU-1, a pull-up transistor PU-2, a pull-down transistor PD-1, and a pull-down transistor PD-2. Pull-down transistor PD-1 and pass-gate transistor PG-1 are multi-fin FinFETs (including, for example, fin 210A and fin 210B disposed over and electrically connected to p-well 206A), pull-up transistor PU-1 is a single fin FinFET (including, for example, fin 210C disposed over and electrically connected to n-well 204), pull-up transistor PU-2 is a single fin FinFET (including, for example, fin 210D disposed over and electrically connected to n-well 204), and pull-down transistor PD-2 and pass-gate transistor PG-2 are multi-fin FinFETs (including, for example, fin 210E and fin 210F disposed over and electrically connected to p-well 206B). Pass-gate transistor PG-1 has a gate (e.g., gate structure 220A) disposed between a source (e.g., epitaxial source/drain feature 230B) and a drain (e.g., epitaxial source/drain feature 230A). Pull-down transistor PD-1 has a gate (e.g., gate structure 220B) disposed between a source (e.g., epitaxial source/drain feature 230C) and a drain (e.g., epitaxial source/drain feature 230B). Pull-up transistor PU-1 has a gate (e.g., gate structure 220B) disposed between a source (e.g., epitaxial source/drain feature 230E) and a drain (e.g., epitaxial source/drain feature 230D). Pull-up transistor PU-2 includes a gate (e.g., gate structure 220C) disposed between a source (e.g., epitaxial source/drain feature 230F) and a drain (e.g., epitaxial source/drain feature 230G). Pull-down transistor PD-2 includes a gate (e.g., gate structure 220C) disposed between a source (e.g., epitaxial source/drain feature 230H) and a drain (e.g., epitaxial source/drain feature 2301). Pass-gate transistor PG-2 includes a gate (e.g., gate structure 220D) disposed between a source (e.g., epitaxial source/drain feature 2301) and a drain (e.g., epitaxial source/drain feature 230J). Sources/drains of pull-down transistors PD-1, PD-2, pass-gate transistors PG-1, PG-2, and/or pull-up transistors PU-1, PU-2 are also formed from respective source/drain regions of fins 210A-210F underlying epitaxial source/drain features 230A-230J. With such configuration, pull-down transistor PD-1 and pull-up transistor PU-1 share a gate (i.e., a gate of pull-down transistor PD-1 and a gate of pull-up transistor PU-1 are formed from respective portions of gate structure 220B), pull-down transistor PD-2 and pull-up transistor PU-2 share a gate (i.e., a gate of pull-down transistor PD-2 and a gate of pull-up transistor PU-2 are formed from respective portions of gate structure 220C), pass-gate transistor PG-1 and pull-down transistor PD-1 share epitaxial source/drain feature 230B (i.e., a source of pass-gate transistor PG-1 and a drain of pull-down transistor PD-1 are formed from epitaxial source/drain feature 230B), and pass-gate transistor PG-2 and pull-down transistor PD-2 share epitaxial source/drain feature 2301 (i.e., a source of pass-gate transistor PG-2 and a drain of pull-down transistor PD-2 are formed from epitaxial source/drain feature 2301). In the depicted embodiment, pull-up transistors PU-1, PU-2 are configured as p-type FinFETs, and pull-down transistors PD-1, PD-2 and pass-gate transistors PG-1, PG-2 are configured as n-type FinFETs. In some embodiments, fin 210A, fin 210B, fin 210E, and fin 210F are p-doped (e.g., p-doped silicon fins); fin 210C and fin 210D are n-doped (e.g., n-doped silicon fins); epitaxial source/drain features 230A-230C and epitaxial source/drain features 230H-230J are n-doped (e.g., silicon or silicon carbon epitaxial source/drains doped with phosphorous, arsenic, and/or other n-type dopant); and epitaxial source/drain features 230D-230G are p-doped (e.g., silicon germanium epitaxial source/drains doped with boron, indium, and/or other p-type dopant).
  • CO layer includes conductive features, such as source/drain contacts 250A-250H (collectively referred to as device-level contacts), that connect device layer to conductive features of VO layer, such as a gate via 260A, a gate via 260B, a butted gate contact 265A, and a butted gate contact 265B, and source/drain vias 270A-270F. Source/drain contact 250A is located between, physically contacts, and connects epitaxial source/drain feature 230A and source/drain via 270A. Source/drain contact 250B is physically contacts and connects epitaxial source/drain feature 230B and butted gate contact 265B. Source/drain contact 250B is further located between, physically contacts, and connects epitaxial source/drain feature 230E and butted gate contact 265B. Source/drain contact 250C is located between, physically contacts, and connects epitaxial source/drain feature 230C and source/drain via 270B. Source/drain contact 250D is located between, physically contacts, and connects epitaxial source/drain feature 230F and source/drain via 270C. Source/drain contact 250E is located between, physically contacts, and connects epitaxial source/drain feature 230E and source/drain via 270D. Source/drain contact 250F is located between, physically contacts, and connects epitaxial source/drain feature 230H and source/drain via 270E. Source/drain contact 250G is located between, physically contacts, and connects epitaxial source/drain feature 230G and butted gate contact 265A. Source/drain contact 250G further physically contacts and connects epitaxial source/drain feature 2301 and butted gate contact 265A. Source/drain contact 250H is located between, physically contacts, and connects epitaxial source/drain feature 230J and source/drain via 270F. Butted gate contact 265A physically contacts gate structure 220B (for example, a gate electrode of gate structure 220B) and source/drain contact 250G, such that gate structure 220B is electrically connected to epitaxial source/drain feature 230G and epitaxial source/drain feature 2301 by butted gate contact 265A and source/drain contact 250G. Butted gate contact 265B physically contacts gate structure 220C (for example, a gate electrode of gate structure 220C) and source/drain contact 250B, such that gate structure 220C is electrically connected to epitaxial source/drain feature 230D and epitaxial source/drain feature 230B by butted contact 265B and source/drain contact 250B. With such contact layer configuration, source/drain contact 250B electrically connects the drain of pull-down transistor PD-1 and the drain of pull-up transistor PU-1, such that a common drain of pull-down transistor PD-1 and pull-up transistor PU-1 can provide a storage node SN, which is electrically connected to the gate of pull-up transistor PU-2 and the gate of pull-down transistor PD-2 by butted gate contact 265B. Further, source/drain contact 250G electrically connects the drain of pull-down transistor PD-2 and the drain of pull-up transistor PU-2, such that a common drain of pull-down transistor PD-2 and pull-up transistor PU-2 form a storage node SNB, which is electrically connected to the gate of pull-up transistor PU-1 and the gate of pull-down transistor PD-1 by butted gate contact 265A and source/drain contact 250G.
  • Conductive features of CO layer, M1 layer, M2 layer, M3 layer, and M4 layer are routed along a first routing direction or a second routing direction that is different than the first routing direction. For example, the first routing direction is the x-direction (and substantially parallel with the lengthwise direction of gate structures 220A-220D) and the second routing direction is the y-direction (and substantially parallel with the lengthwise direction of fins 210A-210F). In the depicted embodiment, source/drain contacts 250A-250H have longitudinal (lengthwise) directions substantially along the x-direction (i.e., first routing direction), and butted gate contacts 265A, 265B have longitudinal directions substantially along the y-direction (i.e., second routing direction). In other words, a longest dimension (e.g., length) of source/drain contacts 250A-250H is along the x-direction, and a longest dimension of butted gate contacts 265A, 265B is along the y-direction. Source/drain contacts 250A-250H and butted gate contacts 265A, 265B are substantially rectangular-shaped (i.e., each has a length greater than its width), but the present disclosure contemplates source/drain contacts 250A-250H and/or butted gate contacts 265A, 265B having different shapes and/or combinations of shapes to optimize and/or improve performance (e.g., reduce resistance) and/or layout footprint (e.g., reduce density). Source/drain contact 250A spans fin 210A and fin 210B; source/drain contact 250B spans fin 210A, fin 210B, and fin 210C; source/drain contact 250C spans fin 210A and fin 210B; source/drain contact 250D spans fin 210D; source/drain contact 250E spans fin 210C; source/drain contact 250F spans fin 210E and fin 210F; source/drain contact 250G spans fin 210D, fin 210E, and fin 210F; and source/drain contact 250H spans fin 210E and fin 210F. In the depicted embodiment, source/drain contact 250A, source/drain contact 250D, and source/drain contact 250F overlap an upper edge of cell boundary MC, and source/drain contact 250C, source/drain contact 250E, and source/drain contact 250H overlap a lower edge of cell boundary MC. In some embodiments, source/drain contact 250A, source/drain contact 250D, and source/drain contact 250F overlap two memory cells, such as SRAM cell 200 and a memory cell directly above and adjacent to the upper edge of SRAM cell 200. In some embodiments, source/drain contact 250C, source/drain contact 250E, and source/drain contact 250H overlap two memory cells, such as SRAM cell 200 and a memory cell directly below and adjacent to the lower edge of SRAM cell 200. In furtherance of the depicted embodiment, source/drain contact 250C also overlaps a left edge of cell boundary MC and source/drain contact 250F also overlaps a right edge of cell boundary MC. In some embodiments, source/drain contact 250C overlaps a third memory cell, such as a memory cell directly adjacent to the left edge of SRAM cell 200, and/or source/drain contact 250F overlaps a third memory cell, such as a memory cell directly adjacent to the right edge of SRAM cell 200.
  • The conductive features of VO layer, such as gate via 260A, gate via 260B, and source/drain vias 270A-270F, connect CO layer to conductive features of M1 layer, such as a bit line 280A, a bit line bar 280B, a first voltage line (e.g., a VDD line 280C) electrically connected to a first voltage (e.g., a positive supply voltage, such as VDD), word line landing pads (e.g., a word line landing pad 280D (WL LP1) and a word line landing pad 280E (WL LP2)) that correspond with a word line of SRAM cell 200, a voltage line landing pad (e.g., a 1st VSS landing pad 280F (1st VSS LP1)) that corresponds with a second voltage line of SRAM cell 200 that is electrically connected to a second voltage (e.g., a ground voltage, such as Vss), and a voltage line landing pad (e.g., a 2nd VSS landing pad 280G (2nd VSS LP1)) that corresponds with a third voltage line of SRAM cell 200 that is also electrically connected to the second voltage (e.g., VSS). Source/drain via 270A is located between, physically contacts, and connects source/drain contact 250A to bit line 280A, and source/drain via 270F is located between, physically contacts, and connects source/drain contact 250H to bit line bar 280B. Source/drain via 270C is located between, physically contacts, and connects source/drain contact 250D to VDD line 280C, and source/drain via 270D is located between, physically contacts, and connects source/drain contact 250E to VDD line 280C. With such configuration, the drain of pass-gate transistor PG-1 is electrically connected to bit line 280A by source/drain contact 250A and source/drain via 270A, the drain of pass-gate transistor PG-2 is electrically connected to bit line bar 280B by source/drain contact 250H and source/drain via 270F, the source of pull-up transistor PU-1 is electrically connected to VDD line 280C by source/drain contact 250E and source/drain via 270D, and the source of pull-up transistor PU-2 is electrically connected to VDD line 280C by source/drain contact 250D and source/drain via 270C. Gate via 260A is located between, physically contacts, and connects gate structure 220A (e.g., a gate electrode thereof) to word line landing pad 280D. Gate via 260B is located between, physically contacts, and connects gate structure 220D (e.g., a gate electrode thereof) to word line landing pad 280E. Source/drain via 270B is located between, physically contacts, and connects source/drain contact 250C to 1st VSS landing pad 280F, and source/drain via 270E is located between, physically contacts, and connects source/drain contact 250F to 2nd VSS landing pad 280G.
  • V1 layer includes conductive features, such as vias 285A-285D, that connect M1 layer to conductive features of M2 layer, such as a 1st word line 290A, a voltage line landing pad (e.g., a 1st VSS landing pad 290B (1st VSS LP2)) that corresponds with the second voltage line, and a voltage line landing pad (e.g., a 2nd VSS landing pad 290C (2nd VSS LP2)) that corresponds with the third voltage line. Via 285A is located between, physically contacts, and connects word line landing pad 280D to word line 290A, and via 285B is located between, physically contacts, and connects word line landing pad 280E to word line 290A. With such configuration, the gate of pass-gate transistor PG-1 is electrically connected to word line 290A by gate via 260A, word line landing pad 280D, and via 285A, and the gate of pass-gate transistor PG-2 is electrically connected to word line 290A by gate via 260B, word line landing pad 280E, and via 285B. Via 285C is located between, physically contacts, and 1st VSS landing pad 280F to 1st VSS landing pad 290B, and via 285D is located between, physically contacts, and connects 2nd VSS landing pad 280G to 2nd VSS landing pad 290C.
  • V2 layer includes conductive features, such as vias 295A-295C, that connect M2 layer to conductive features of M3 layer, such as a 1st VSS line 300A, a 2nd VSS line 300B, and a word line landing pad 300C (WL LP3). V3 layer includes conductive features, such as via 305, that connect M3 layer to conductive features of M4 layer, such as a 2nd word line 310. Via 295A is located between, physically contacts, and connects 1st VSS landing pad 290B to 1st VSS line 300A, and via 295B is located between, physically contacts, and connects 2nd VSS landing pad 290C to 2nd VSS line 300B. With such configuration, the source of pull-down transistor PD-1 is electrically connected to 1st VSS line 300A by source/drain contact 250C, source/drain via 270B, 1st VSS landing pad 280F, via 285A, Pt VSS landing pad 290B, and via 295A, and the source of pull-down transistor PD-2 is electrically connected to 2nd VSS line 300B by source/drain contact 250F, source/drain via 270E, 2nd VSS landing pad 280G, via 285D, 2nd VSS landing pad 290C, and via 295B, such that the source of pull-down transistor PD-1 and the source of pull-down transistor PD-2 are both electrically connected to a ground voltage and/or a reference voltage, such as Vss. Via 295C is located between, physically contacts, and connects 1st word line 290A to word line landing pad 300C, and via 305 is located between, physically contacts, and connects word line landing pad 300C to 2nd word line 310. With such configuration, 1st word line 290A is electrically connected to 2nd word line 310 by via 295C, word line landing pad 300C, and via 305, such that the gate of pass-gate transistor PG-1 and the gate of pass-gate transistor PG-2 are electrically connected to both 1st word line 290A and 2nd word line 310.
  • In SRAM cell 200, metal lines of odd-numbered metal layers (i.e., M1 layer and M3 layer) are routed along the y-direction (i.e., the second routing direction) and metal lines of even-numbered metal layers (i.e., M2 layer and M4 layer) are routed along the x-direction (i.e., the first routing direction). For example, bit line 280A, bit line bar 280B, VDD line 280C, word line landing pad 280D, word line landing pad 280E, 1st VSS landing pad 280F, and 2nd VSS landing pad 280G have longitudinal directions substantially along the y-direction; 1st word line 290A, 1st Vss landing pad 290B, and 2nd VSS landing pad 290C have longitudinal directions substantially along the x-direction; 1st Vss line 300A, 2nd VSS line 300B, and word line landing pad 300C have longitudinal directions substantially along the y-direction; and 2nd word line 310 has a longitudinal direction substantially along the x-direction. In other words, a longest dimension (e.g., length) of bit line 280A, bit line bar 280B, VDD line 280C, word line landing pad 280D, word line landing pad 280E, 1st Vss landing pad 280F, 2nd VSS landing pad 280G, 1st VSS line 300A, 2nd VSS line 300B, and word line landing pad 300C is along the y-direction, and a longest dimension of 1st word line 290A, 1st Vss landing pad 290B, 2nd VSS landing pad 290C, and 2nd word line 310 is along the x-direction. Metal lines of M1 layer, M2 layer, M3 layer, and M4 layer are substantially rectangular-shaped (i.e., each has a length greater than its width), but the present disclosure contemplates metal lines of M1 layer, M2 layer, M3 layer, and/or M4 layer having different shapes and/or combinations of shapes to optimize and/or improve performance (e.g., reduce resistance) and/or layout footprint (e.g., reduce density).
  • “Landing pad” generally refers to metal lines in metal layers that provide intermediate, local interconnection for SRAM cell 200, such as (1) an intermediate, local interconnection between a device-level feature (e.g., gate or source/drain) and a bit line (e.g., bit line 280A and/or bit line bar 280B), a word line (e.g., 1st word line 290A and/or 2nd word line 310), or a voltage line (e.g., VDD line 280C, 1st Vss line 300A, and/or 2nd VSS line 300B) of SRAM cell 200 or (2) an intermediate, local interconnection between bit lines, word lines, or voltage lines. For example, Pt word line 290A in M2 layer is connected to gates of pass-gate transistors PG-1, PG-2 in device layer DL by word line landing pad 280D (in M1 layer) and word line landing pad 280E (in M1 layer), respectively; 1st Vss line 300A in M3 layer is connected to source of pull-down transistor PD-1 in device layer DL by Pt Vss landing pad 290B (in M2 layer) and 1st Vss landing pad 280F (in M1 layer); 2nd VSS line 300B in M3 layer is connected to source of pull-down transistor PD-2 in device layer DL by 2nd VSS landing pad 290C (in M2 layer) and 2nd VSS landing pad 280G (in M1 layer); and 2 nd word line 310 in M4 layer is connected to 1st word line 290A in M2 layer by word line landing pad 300C (in M3 layer). Landing pads of SRAM cell 200 have longitudinal dimensions that are large enough to provide a sufficient landing area for their overlying vias (and thus minimize overlay issues and provide greater patterning flexibility) and less than longitudinal dimensions of bit lines, word lines, and/or voltage lines of SRAM cell 200. In the depicted embodiment, landing pads of SRAM cell 200 have dimensions that are less than dimensions of SRAM cell 200, such as dimensions along the x-direction that are less than cell width W and dimensions along the y-direction that are less than cell height H, while bit lines, word line, and voltage lines of SRAM cell 200 have dimensions that are greater than dimensions of SRAM cell 200, such as dimensions along the x-direction that are greater than cell width W and/or dimensions along the y-direction that are greater than cell height H. For example, in M1 layer, bit line 280A, bit line 280B, and VDD line 280C have lengths along the y-direction that are greater than cell height H, while word line landing pad 280D, word line landing pad 280E, 1st Vss landing pad 280F, and 2nd VSS landing pad 280G have lengths along the y-direction that are less than cell height H. In another example, in M2 layer, 1st word line 290A has a length along the x-direction that is greater than cell width W, while 1st Vss landing pad 290B, and 2nd VSS landing pad 290C have lengths along the x-direction that are less than cell width W. In yet another example, in M3 layer, 1st Vss line 300A and 2nd VSS line 300B have lengths along the y-direction that are greater than cell height H, while word line landing pad 300C has a length along the y-direction that is less than cell height H. In some embodiments, a length of bit line 280A and/or a length of bit line bar 280B is sufficient to allow electrical connection of multiple SRAM cells in a column to bit line 280A and/or bit line bar 280B. In some embodiments, a length of VDD line 280C is sufficient to allow electrical connection of multiple SRAM cells in a column to VDD line 280C. In some embodiments, a length of Pt word line 290A and/or a length of 2nd word line 310 is sufficient to allow electrical connection of multiple SRAM cells in a row to 1st word line 290A and/or 2nd word line 310. In some embodiments, a length of 1st VSS line 300A and/or a length of 2nd VSS line 300B is sufficient to allow electrical connection of multiple SRAM cells in a column to 1st Vss line 300A and/or 2nd VSS line 300B.
  • Bit line capacitance and/or bit line resistance have become significant factors in SRAM performance as SRAM cell sizes shrink to achieve SRAM cells with faster operating speeds (e.g., by reducing distances traveled by electrical signals) at scaled IC technology nodes, such as 20 nm node to 10 nm node to 3 nm node and below. For example, shrinking SRAM cell size should lead to decreasing resistance-capacitance (RC) delay, which generally indicates delay in electrical signal speed through an IC resulting from a product of resistance (R) (i.e., a material's opposition to flow of electrical current) and capacitance (C) (i.e., a material's ability to store electrical charge). However, bit line capacitance and/or bit line resistance have been observed to increase as bit line dimensions and/or bit line spacings decrease with shrinking SRAM cell sizes (and increasing SRAM cell density), thereby undesirably increasing RC delay and decreasing SRAM speed, such as write/read speed. Tradeoffs between bit line capacitance and bit line resistance must thus be considered to optimize SRAM performance. For example, since bit line capacitance increases as a number of interconnections (e.g., contacts, vias, and/or metal lines) between a bit line and a device layer increases and routing density typically increases as metallization level of the MLI feature decreases (i.e., a routing density of M1 layer is greater than a routing density of M2 layer or a routing density of M3 layer), a bit line placed in a lowest metallization level of an MLI feature (i.e., M1 layer) may decrease bit line capacitance but increase bit line resistance (for example, by needing only one via to connect the bit line and a drain of a pass-gate transistor, but needing a narrower and/or thinner bit line to meet higher routing specifications), while a bit line placed in a higher metallization level of the MLI feature (e.g., M2 layer or M3 layer) may increase bit line capacitance but decrease bit line resistance (for example, by needing more than one via and at least one landing pad to connect the bit line and a drain of a pass-gate transistor, but allowing for a wider and/or a thicker bit line to meet routing density specifications that are lower than routing density specifications of M1 layer).
  • SRAM cell 200 addresses these challenges by placing bit lines (here, bit line 280A and bit line bar 280B) in M1 layer, which is a lowest metallization level of an MLI feature over substrate 202, to minimize bit line capacitance, and configuring bit lines as the widest metal lines of M1 layer to minimize bit line resistance. For example, bit line 280A and bit line bar 280B each have a width W 1, VDD line 280C has a width W2, word line landing pad 280D and word line landing pad 280E each have a width W3, and 1st Vss landing pad 280F and 2nd VSS landing pad 280G each have a width W4, where width W1 is a widest, greatest width of the metal lines in M1 layer and width W2, width W3, and width W4 are each less than width W1. In some embodiments, a ratio of width W1 to width W2 (i.e., W1:W2) is about 1.1 to about 2, a ratio of width W1 to width W3 (i.e., W1:W3) is about 1.1 to about 2, and/or a ratio of width W1 to width W4 (i.e., W1:W4) is about 1.1 to about 2. A width ratio for bit lines/other M1 lines that is less than about 1.1 may not provide bit lines with sufficient widths for reducing bit line resistance, thereby degrading SRAM performance, such as write capability (e.g., higher bit line resistances induces worse (i.e., greater) bit line IR drops), while a width ratio for bit lines/other M1 lines that is greater than about 2 may provide bit lines with widths that increase bit line resistance (i.e., bit lines are too wide) and/or may impact cell size (i.e., larger cell sizes may be needed to account for larger width ratios), both of which can degrade SRAM performance, such as read speed. In some embodiments, a ratio of width W1 to width W2 is about 1.1 to about 1.4, a ratio of width W1 to width W3 is about 1.1 to about 1.4, and/or a ratio of width W1 to width W4 (i.e., W1:W4) is about 1.1 to about 1.4 to optimize SRAM performance. In furtherance of the depicted embodiment, VDD line 280C has a smallest width of the metal lines in M1 layer of SRAM cell 200 (i.e., width W2 is also less than width W3 and width W4). In some embodiments, width W2 is greater than width W3 and/or width W4. In some embodiments, width W2 is substantially the same as width W3 and/or width W4. Width W3 is greater than, less than, or substantially the same as width W4. In some embodiments, word line landing pad 280D and word line landing pad 280E have different widths. In some embodiments, 1st Vss landing pad 280F and 2nd VSS landing pad 280G have different widths.
  • Reducing bit line capacitance by placing bit line 280A and bit line bar 280B in M1 layer while reducing bit line resistance by configuring bit line 280A and bit line bar 280B as the widest metal lines of M1 layer provides SRAM cell 200 with optimized electrical characteristics and SRAM cell density compared to conventional SRAM cells. In some embodiments, bit line resistance reduction provided by configuring bit line 280A and/or bit line bar 280B as the widest metal lines of M1 layer reduces bit line IR drop (i.e., a voltage drop across a bit line as current flows through the bit line), which increases SRAM read/write speed and/or reduces a minimum operating voltage (Vmin) needed for SRAM read/write. For example, during a write, such as that used to write a logical 0 to storage node SN, pass-gate transistor PG-1 needs to dominate over pull-up transistor PU-1 to sink a voltage on bit line BL (0), rather than staying at power supply voltage VDD. A bit line with a large IR drop lowers a driving ability of pass-gate transistor PG-1 and thereby necessitates higher minimum operating voltages. In contrast, because bit line 280A and/or bit line bar 280B are placed and configured within SRAM cell 200 to exhibit minimum resistance, bit line 280A and/or bit line bar 280B exhibit lower IR drops than those observed in bit lines of conventional SRAM cells, thereby improving SRAM performance.
  • Vias of SRAM cell 200, such as gate via 260A, gate via 260B, source/drain vias 270A-270F, vias 285A-285D, vias 295A-295C, and via 305, are substantially square-shaped and/or circle-shaped (i.e., each has a dimension along the x-direction that is substantially the same as a dimension along the y-direction). Vias of SRAM cell 200 can have different dimensions, different shapes, and/or combinations of dimensions and/or shapes to optimize and/or improve performance (e.g., reduce resistance) and/or layout footprint (e.g., reduce density and/or size of SRAM cell 200). In the depicted embodiment, source/drain vias corresponding with Vss lines of SRAM cell 200, such as source/drain via 270B and source/drain via 270E, are substantially rectangular-shaped and/or oval-shaped (i.e., each has a dimension D1 along the x-direction that is different than a dimension D2 along the y-direction) to reduce contact resistance associated with interconnection structures from sources of pull-down transistors PD-1, PD-2 to M1 layer (i.e., source/drain contact 250C and source/drain via 270B connecting epitaxial source/drain feature 230C to 1st Vss landing pad 280F and source/drain contact 250F and source/drain via 270E connecting epitaxial source/drain feature 230H to 2nd VSS landing pad 280G). Such source/drain vias can also be referred to as slot-shaped vias. In some embodiments, a ratio of dimension D1 to dimension D2 (i.e., D1:D2) is about 1.5 to about 3. A longest dimension/shortest dimension ratio for source/drain vias corresponding with Vss lines that is less than about 1.5 provides source/drain vias with circular shapes or circular-like shapes, which can increase resistance and/or negatively impact critical dimension accuracy. A longest dimension/shortest dimension ratio for source/drain vias corresponding with Vss lines that is greater than about 3 can negatively impact bit line width. For example, as dimension D1 increases to provide longest dimension/shortest dimension ratios for source/ drain vias 270B, 270E that are greater than about 3, source/ drain vias 270B, 270E will begin to extend into and overlap bit line areas/regions of M1 layer, such as areas/regions where bit line 280A and bit line bar 280B are located within M1 layer. Bit line widths (e.g., width W1) will thus be undesirably reduced to accommodate longest dimension/shortest dimension ratios greater than about 3, for example, to prevent undesired electrical connection of source/drain via 270B and bit line 280A and/or source/drain via 270E and bit line bar 280B. Further, widths of M1 landing pads, such as width W4 of 1st VSS landing pad 280F and 2nd VSS landing pad 280G, may be increased to ensure proper electrical connection of the M1 landing pads and source/ drain vias 270B, 270E or improve overlay of the M1 landing pads and source/ drain vias 270B, 270E when longest dimension/shortest dimension ratios are greater than about 3, which may also necessitate undesirable reduction of bit line widths. Longest dimension/shortest dimension ratios for source/drain vias that are less than about 3 thus minimizes source/drain via resistance while accommodating for bit line widths, such as disclosed herein, that maximize bit line resistance reduction. In some embodiments, source/drain contacts corresponding with Vss lines of SRAM cell 200, such as source/drain contact 250C and source/drain contact 250F, are also configured with a ratio of a length to a width that can further reduce contact resistance associated with the interconnection structures from sources of pull-down transistors PD-1, PD-2 to M1 layer. For example, the ratio of the length along the x-direction of source/drain contact 250C and/or source/drain contact 250F to a width along the y-direction of source/drain contact 250C and/or source/drain contact 250F (i.e., L/W) is greater than about 3. In some embodiments, adjacent SRAM cells may share interconnections with Vss lines, such as source/drain contacts (e.g., source/ drain contacts 250C, 250F and/or source/ drain vias 270B, 270E corresponding with Vss lines. In such embodiments, a length/width ratio for source/drain contacts corresponding with Vss lines that is less than about 3 may not extend to cell boundary MC, which is shared with adjacent SRAM cells. In some embodiments, a length/width ratio for source/drain contacts corresponding with Vss lines that is greater than about 3 can ensure that source/ drain contacts 250C, 250F extend beyond cell boundary MC into adjacent SRAM cells.
  • The present disclosure contemplates various placements of vias and metal lines of SRAM cell 200. In the depicted embodiment, bit line 280A, bit line bar 280B, and VDD line 280C in M1 layer span cell height H and overlap and extend beyond the upper edge and the lower edge of cell boundary MC; 1st word line 290B in M2 layer spans cell width W and overlaps and extends beyond the left edge and the right edge of cell boundary MC; 1st Vss line 300A and 2nd VSS line 300B in M3 layer span cell height H and overlap and extend beyond the upper edge and the lower edge of cell boundary MC; and 2nd word line 310 in M4 layer spans cell width W and overlaps and extends beyond the left edge and the right edge of cell boundary MC. In such embodiments, bit line 280A, bit line bar 280B, VDD line 280C, 1st VSS line 300A, and 2nd VSS line 300B may overlap three memory cells, such as SRAM cell 200, an SRAM cell directly above and adjacent to SRAM cell 200, and an SRAM cell directly below and adjacent to SRAM cell 200. In such embodiments, 1st word line 290B and 2nd word line 310 may overlap three memory cells, such as SRAM cell 200, an SRAM cell directly left and adjacent to SRAM cell 200, and an SRAM cell directly right and adjacent to SRAM cell 200. In furtherance of the depicted embodiment, source/drain via 270A, source/drain via 270C, source/drain via 270E, 2nd Vss landing pad 280G, via 285D, 2nd VSS landing pad 290C, and via 295B overlap the upper edge of cell boundary MC; source/drain via 270B, source/drain via 270D, source/drain via 270F, 1st Vss landing pad 280F, via 285C, 1st Vss landing pad 290B, and via 295A overlap the lower edge of cell boundary MC; gate via 260A, source/drain via 270B, word line landing pad 280D, 1st Vss landing pad 280F, via 285A, 1st Vss landing pad 290B, and via 295A overlap the left edge of cell boundary MC; and gate via 260B, source/drain via 270E, word line landing pad 280E, 2nd Vss landing pad 280G, via 285B, 2nd VSS landing pad 290C, and via 295B overlap a right edge of cell boundary MC. In such embodiments, source/drain via 270A and source/drain via 270C may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly above and adjacent to SRAM cell 200; source/drain via 270D and source/drain via 270F may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly below and adjacent to SRAM cell 200; gate via 260A, word line landing pad 280D, and via 285A may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly left and adjacent to SRAM cell 200; and gate via 260B, word line landing pad 280E, and via 285B may overlap two memory cells, such as SRAM cell 200 and an SRAM cell directly right and adjacent to SRAM cell 200. In such embodiments, source/drain via 270E, 2nd VSS landing pad 280G, via 285D, 2nd VSS landing pad 290C, and via 295B may overlap four memory cells, such as SRAM cell 200, an SRAM cell directly right and adjacent to SRAM cell 200, an SRAM cell directly above and adjacent to SRAM cell 200, and an SRAM cell directly diagonal and adjacent to SRAM cell 200 (e.g., an SRAM cell that shares a cell boundary with the directly right SRAM cell and the directly above SRAM cell). In such embodiments, source/drain via 270B, 1st Vss landing pad 280F, via 285C, 1st VSS landing pad 290B, and via 295A may overlap four memory cells, such as SRAM cell 200, an SRAM cell directly left and adjacent to SRAM cell 200, an SRAM cell directly below and adjacent to SRAM cell 200, and an SRAM cell directly diagonal and adjacent to SRAM cell 200 (e.g., an SRAM cell that shares a cell boundary with the directly left SRAM cell and the directly below SRAM cell).
  • Configuring SRAM cell 200 with a double word line structure (i.e., 1st word line 290A in M2 layer and 2nd word line 310 in M4 layer, both of which are electrically connected to pass-gate transistor PG-1 and pass-gate transistor PG-2) can further optimize SRAM performance by reducing word line resistance compared to a single word line structure. In some embodiments, it has been observed that two parallel and electrically connected together metal, word lines can reduce word line resistance at least 50%. For example, if resistance of 1st word line 290A in M2 layer is provided by 1X and resistance of 2nd word line 310 in M4 layer is provided by 0.8X, stacking and connecting 1st word line 290A in M2 layer and 2nd word line 310 in M4 layer to provide the double word line structure can provide an equivalent word line resistance that is about 0.444X (e.g., 1/(1/1+1/0.8)X≈0.444X). An interconnection structure between 1st word line 290A and 2nd word line 310 (here, formed by via 295C, word line landing pad 300C, and via 305) is referred to hereinafter as a word line strap, a word line strap module, and/or a word line pick-up region. In the depicted embodiment, SRAM cell 200 includes a single word line strap in a central region of SRAM cell 200 above VDD line 280C. In some embodiments, SRAM cell 200 includes multiple word line straps connecting 1st word line 290A and 2nd word line 310. In some embodiments, the word line strap is not located in SRAM cell 200 but is instead located in an SRAM cell with which SRAM cell 200 shares the double word line structure. For example, where SRAM cell 200 is incorporated into a memory array having SRAM cells arranged in rows and columns, the word line strap may be located in an SRAM cell in a same row as SRAM cell 200. Such is depicted in FIG. 7 , which is a fragmentary diagrammatic plan view of an SRAM-based memory 400 having a double word line structure according to various aspects of the present disclosure. For clarity and simplicity, similar features of memory 100 in FIG. 1 and memory 400 in FIG. 7 are identified by the same reference numerals. In FIG. 7 , memory array 12 is a 4×8 SRAM array (i.e., four columns, eight rows), where each row has four SRAM cells 200 between a pair of edge cells 30 and each column has eight SRAM cells 200 between a pair of edge cells 30. Each row of SRAM cells 200 shares a 1st word line, such as 1st word line 290A at M2 layer, and a 2nd word line, such as 2nd word line 310 at M4 layer, where each row has a word line strap located in at least one SRAM cell 200 (i.e., bit cell) of the row and a word line strap located in at least one edge cell 30 of the row. For example, each of rows R1—R8 has a respective word line strap 410A located in one of its SRAM cells 200 (i.e., four SRAM cells 200 in a row share a 1st word line, a 2nd word line, and a respective word line strap 410A) and a respective word line strap 410B located in one of its edge cells 30. In the depicted embodiment, each of rows R1—R8 has respective word lines straps 410B located in both respective edge cells 30. In embodiments where each row has more than four SRAM cells 200, a word line strap can be formed and shared by every four SRAM cells in a row, every eight SRAM cells in a row, or other number of SRAM cells in a row. In some embodiments, word line straps 410A are arranged in different columns. In some embodiments, word line straps 410A are arranged in the same columns. In FIG. 7 , column C 1 has one word line strap 410A, column C2 has two word line straps 410A, column C3 has three word line straps 410A, and column C4 has two word line straps 410A, where word lines straps 410A are not located in directly adjacent SRAM cells 200 along the y-direction. Any configuration of word line straps 410A in memory array 12 is contemplated by the present disclosure. FIG. 7 has been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in memory 400, and some of the features described above can be replaced, modified, or eliminated in other embodiments of memory 400.
  • Configuring SRAM cell 200 with a double Vss line structure can further optimize SRAM performance by reducing Vss line resistance compared to a single Vss line structure. In some embodiments, similar to the double word line structure, it has been observed that two parallel and electrically connected together metal, Vss lines can reduce Vss line resistance at least 50%. FIGS. 8A-8C are various top, plan views of various layers of an SRAM-based memory having a double Vss line structure according to various aspects of the present disclosure. For example, FIG. 8A is a top, plan view of conductive features in M2 layer, V2 layer, M3 layer, V3 layer, and M4 layer (e.g., M2/V2/M3/V3/M4), in portion or entirety, of the SRAM-based memory according to various aspects of the present disclosure; FIG. 8B is a top, plan view of conductive features in M2 layer, V2 layer, and M3 layer (e.g., M2/V2/M3), in portion or entirety, of the SRAM-based memory according to various aspects of the present disclosure; and FIG. 8C is a top, plan view of conductive features in M3 layer, V3 layer, and M4 layer (e.g., M3/V3/M4), in portion or entirety, of the SRAM-based memory according to various aspects of the present disclosure. FIGS. 8A-8C have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in the SRAM-based memory, and some of the features described below can be replaced, modified, or eliminated in other embodiments of the SRAM-based memory.
  • In FIG. 8A-8C, SRAM cell 200 is a portion of a memory array that further includes an SRAM cell 200-1, an SRAM cell 200-2, an SRAM cell 200-3, an SRAM cell 200-4, an SRAM cell 200-5, an SRAM cell 200-6, and an SRAM cell 200-7, each of which can be configured similar to SRAM cell 200. Two directly adjacent columns (e.g., a column N and a column N+1, where N is a number of a column within the memory array) and four directly adjacent rows (e.g., a row M, a row M+1, a row M+2, and a row M+3, where M is a number of a row within the memory array) of the memory array are depicted, where SRAM cell 200 is at row M, column N. M2 layer includes 1st word line 290A of SRAM cell 200, which extends continuously along row M, such that 1st word line 290A is shared by SRAM cell 200 and SRAM cell 200-4; a 1st word line 290D that extends continuously along row M+1, such that 1st word line 290D is shared by SRAM cell 200-1 and SRAM cell 200-5; a 1st word line 290E that extends continuously along row M+2, such that 1st word line 290E is shared by SRAM cell 200-2 and SRAM cell 200-6; and a 1st word line 290F that extends continuously along row M+3, such that 1st word line 290F is shared by SRAM cell 200-3 and SRAM cell 200-7. With such configuration, each SRAM cell of the memory array has a respective 1st word line (e.g., 1st word line 290A, 1st word line 290D, 1st word line 290E, or 1st word line 290F) electrically connected to a gate of a respective pull-down transistor PD-1 and a gate of a respective pull-down transistor PD-2 by a respective 1st word line interconnect structure underlying M2 layer, which includes a gate via in V0 layer, a word line landing pad in M1 layer, and a via in V1 layer.
  • M3 layer includes 1st Vss line 300A of SRAM cell 200, which extends continuously along the y-direction, such that 1st Vss line 300A is shared by SRAM cells of column N (here, SRAM cell 200, SRAM cell 200-1, SRAM cell 200-2, and SRAM cell 200-3); 2nd VSS line 300B of SRAM cell 200, which extends continuously along the y-direction, such that 2nd VSS line 300B is shared by SRAM cells of column N and SRAM cells of column N+1 (here, SRAM cell 200-4, SRAM cell 200-5, SRAM cell 200-6, and SRAM cell 200-7; and 1st VSS line 300D, which extends continuously along the y-direction, such that 1st VSS line 300D is shared by SRAM cells of column N+1. 2nd VSS line 300B overlaps an interface between cell boundaries of SRAM cells in column N and cell boundaries of SRAM cells in column N+1. With such configuration, each SRAM cell of the memory array has a respective Pt Vss line (e.g., Pt Vss line 300A or Pt Vss line 300D) and a respective 2nd VSS line (e.g., 2nd VSS line 300B) electrically connected to a source of a respective pull-down transistor PD-1 and a source of a respective pull-down transistor PD-2, respectively, by Vss interconnect structures underlying M3 layer, each of which includes a source/drain contact in CO layer, a source/drain via in V0 layer, a first Vss landing pad in M1 layer, a via in V1 layer, a second Vss landing pad in M2 layer, and a via in V2 layer.
  • In FIG. 8A-8C, portions of the Vss interconnect structures in M2 layer through V2 layer are depicted, such as a 1st VSS interconnect structure shared by SRAM cell 200 and SRAM cell 200-1 that includes 1st Vss landing pad 290B (1st VSS LP2) and via 295A, a 2nd VSS interconnect structure shared by SRAM cell 200 and SRAM cell 200-4 that includes 2nd VSS landing pad 290C (2nd VSS LP2) and via 295B, a 1st VSS interconnect structure shared by SRAM cell 200-2 and SRAM cell 200-3 that includes a 1st Vss landing pad 290G (1st VSS LP2) and a via 295D, a 2nd VSS interconnect structure shared by SRAM cell 200-3 and SRAM cell 200-7 that includes a 2nd VSS landing pad 290H (2nd VSS LP2) and a via 295E, a 1st VSS interconnect structure shared by SRAM cell 200-4 and SRAM cell 200-5 that includes a 1st Vss landing pad 2901 (1st VSS LP2) and a via 295F, a 2nd VSS interconnect structure shared by SRAM cell 200-5 and SRAM cell 200-6 that includes a 2nd VSS landing pad 290J (2nd VSS LP2) and a via 295G, and a 1st VSS interconnect structure shared by SRAM cell 200-6 and SRAM cell 200-7 that includes a 1st VSS landing pad 290K (1st VSS LP2) and a via 295H.
  • M4 layer includes 2nd word line 310 of SRAM cell 200, which extends continuously along row M, such that 2nd word line 310 is shared by SRAM cell 200 and SRAM cell 200-4; a 2nd word line 310A that extends continuously along row M+1, such that 2nd word line 310A is shared by SRAM cell 200-1 and SRAM cell 200-5; a 2nd word line 310B that extends continuously along row M+2, such that 2nd word line 310B is shared by SRAM cell 200-2 and SRAM cell 200-6; and a 2nd word line 310C that extends continuously along row M+3, such that 2nd word line 310C is shared by SRAM cell 200-3 and SRAM cell 200-7. With such configuration, each SRAM cell of the memory array has a respective 2nd word line (e.g., 2nd word line 310, 2nd word line 310A, 2nd word line 310B, or 2nd word line 310C) electrically connected to a respective 1st word line (e.g., 1st word line 290A, 1st word line 290D, 1st word line 290E, or 1st word line 290F) by word line straps between M2 layer and M4 layer, each of which includes a via in V2 layer, a word line landing pad in M3 layer, and a via in V3 layer. In FIGS. 8A-8C, a word line strap is shared by SRAM cell 200 and SRAM cell 200-4 that includes via 295C, word line landing pad 300C, and via 305; a word line strap is shared by SRAM cell 200-1 and SRAM cell 200-5 that includes a via 2951, a word line landing pad 300E, and a via 305A; a word line strap is shared by SRAM cell 200-2 and SRAM cell 200-6 that includes a via 295J, a word line landing pad 300F, and a via 305B; and a word line strap is shared by SRAM cell 200-3 and SRAM cell 200-7 that includes a via 295K, a word line landing pad 300G, and a via 305C. Word line landing pad 300E, word line landing pad 300F, and word line landing pad 300G form a portion of M3 layer. Via 305A, via 305B, and via 305C form a portion of V3 layer.
  • To provide the memory with a power mesh, each SRAM cell further has a 3rd VSS line in M4 layer that is electrically connected to its respective Pt Vss line and its respective 2nd VSS line. For example, M4 layer includes a 3rd VSS line 320 electrically connected to Pt VSS line 300A by a Vss well strap (here, a via 305D), 1st VSS line 300D by a VSS well strap (here, a via 305E), and 2nd VSS line 300B by a VSS well strap (here, a via 305F). Via 305D, via 305E, and via 305F form a portion of V3 layer. Interconnecting Vss lines in M3 layer (e.g., 1st VSS line 300A, 2nd VSS line 300B, and 1st VSS line 300D) to a VSS line in M4 layer (e.g., 3 rd VSS line 320) with vias 305D-305E provides a double VSS line structure (also referred to as a power mesh) that can reduce VSS line resistance. 3rd VSS line 320 is routed and extends continuously along the x-direction (i.e., the first routing direction), such that 3rd VSS line 320 has a longitudinal direction substantially along the x-direction (and substantially parallel with 2nd word lines of M4 layer). In the depicted embodiment, 3rd VSS line 320 overlaps an interface between cell boundaries of SRAM cells in row M+1 and cell boundaries of SRAM cells in row M+2, and is shared by eight SRAM cells (i.e., SRAM cell 200, SRAM cell 200-1, SRAM cell 200-2, SRAM cell 200-3, SRAM cell 200-4, SRAM cell 200-5, SRAM cell 200-6, and SRAM cell 200-7). In furtherance of the depicted embodiment, 3rd VSS line 320 is located between 2nd word line 310A and 2nd word line 310B, such that 3rd VSS line 320 is arranged between every two 2nd word lines. In some embodiments, a 3rd VSS line is placed between every pair of 2nd word lines, every two 2nd word lines, every four 2nd word lines, every eight 2nd wordlines, or other number of 2nd wordlines. In some embodiments, four SRAM cells in a column (e.g., column N or column N+1) share a 3rd VSS line. In some embodiments, two SRAM cells in a column share a 3rd VSS line. In some embodiments, another number of SRAM cells in a column share a 3rd VSS line. In the depicted embodiment, a width of 3rd VSS line 320 is less than widths of 2nd word lines. In some embodiments, the width of 3rd VSS line 320 is the narrowest of metal lines in M4 layer. In some embodiments, a width of 3rd VSS line 320 is greater than widths of 2nd word lines.
  • In some embodiments, SRAM cell 200 is fabricated on a same wafer as a logic cell (often referred to as a standard cell). In such embodiments, M1 layer of SRAM cell 200 and M1 layer of the logic cell can be configured to optimize both SRAM performance and logic density (co-optimization). For example, FIG. 9A is a top, plan view of conductive features in M1 layer of SRAM cell 200 and a cross-sectional view of the conductive features in M1 layer of SRAM cell 200 along line A-A of FIG. 9A, in portion or entirety, according to various aspects of the present disclosure; and FIG. 9B is a top, plan view of conductive features in a M1 layer of a logic cell and a cross-sectional view of the conductive features in M1 layer of the logic cell along line A-A of FIG. 9B, in portion or entirety, according to various aspects of the present disclosure. The logic cell has a cell boundary LC, which has a first dimension, such as a cell width CW, along a first direction (e.g., x-pitch along an x-direction) and a second dimension, such as a cell height CH, along a second direction (e.g., y-pitch along a y-direction). In some embodiments, such as depicted, cell width CW is less than cell width W, and cell height CH is greater than cell height H. M1 layer of the logic cell includes metal lines electrically connected to a device layer, such as a VDD line 410A, a VSS line 410B, metal line 410C, metal line 410D, metal line 410E, and a metal line 410F. The device layer of the logic cell includes transistors, such as NFETs and PFETs, each of which has a gate disposed between a source and a drain, where M1 layer of the logic cell is electrically connected to at least one gate, at least one source, and/or at least one drain of the transistors. In some embodiments, gates of the transistors of the logic cell extend longitudinally along the same direction as gates in SRAM cell 200 (i.e., the x-direction), and metal lines of M1 layer of the logic cell have a routing direction that is substantially perpendicular to the gate lengthwise direction (i.e., VDD line 410A, VSS line 410B, and metal lines 410C-410F extend longitudinally along the y-direction). Metal lines 410C-410F (also referred to as intracell M1 lines) have a pitch P, which is a minimum (smallest) pitch of metal lines in M1 layer of the logic cell. Metal lines of M1 layer (e.g., VDD line 410A, VSS line 410B, and metal lines 410C-410F) of the logic cell have a thickness T1 along the z-direction. Thickness T1 is greater than pitch P to reduce and minimize resistance of metal lines of M1 layer in the logic cell. In some embodiments, a ratio of thickness T1 to pitch P (i.e., T1:P) is about 1.05 to about 2. A thickness/pitch ratio that is less than about 1.05 may not provide desired metal resistance reduction, while a thickness/pitch ratio that is greater than about 2 may provide metal aspect ratios (i.e., ratios of metal thickness to metal width) that are too large for seamlessly integrating with conventional contact damascene fabrication processes. Metal lines of M1 layer (e.g., bit line 280A, bit line bar 280B, VDD line 280C, word line landing pad 280D, word line landing pad 280E, 1st VSS landing pad 280F, and/or 2nd VSS landing pad) of SRAM cell 200 have a thickness T2 along the z-direction. In some embodiments, thickness T2 is substantially the same as thickness T1 to reduce resistance in M1 layer of SRAM cell 200. In such embodiments, M1 layer of SRAM cell 200 and M1 layer of the logic cell can be fabricated simultaneously, where in some embodiments, any difference between thickness T2 and thickness T1 that may result from loading effects, such as those associated with etching, planarizing, etc., is less than about 10% In some embodiments, thickness T2 is less than a minimum pitch of metal lines in M1 layer of SRAM cell 200. In some embodiments, thickness T2 is greater than a minimum pitch of metal lines in M1 layer of SRAM cell 200. In some embodiments, thickness T2 is substantially the same as a minimum pitch of metal lines in M1 layer of SRAM cell 200. FIG. 9A and FIG. 9B have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM cell 200 and/or the logic cell, and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM cell 200 and/or the logic cell.
  • In some embodiments, jogs can be added to a layout of SRAM cell 200 to further optimize SRAM performance by increasing cross-sectional areas of portions of metal lines in M1 layer to M4 layer and thereby reducing resistance of such metal lines. For example, jogs can be added to a VDD line at M1 layer and/or a 1st word line at M2 layer, such that the VDD line and/or the 1st word line have a varying width along its length and exhibit less resistance (because of its greater cross-sectional area) than a VDD line and/or a 1st word line having a substantially uniform width along its length. FIG. 10 is a top, plan view of an SRAM cell 500, in portion or entirety, having a VDD line and a word line with varying width according to various aspects of the present disclosure. FIGS. 11A-11E are various top, plan views of various layers of SRAM cell 500 of FIG. 10 according to various aspects of the present disclosure. For example, FIG. 11A is a top, plan view of a device layer and conductive features in a CO layer and a V0 layer (e.g., DL/CO/VO), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure; FIG. 11B is a top, plan view of conductive features in V0 layer, a M1 layer, and a V1 layer (e.g., V0/M1/V1), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure; FIG. 11C is a top, plan view of conductive features in V1 layer, a M2 layer, and a V2 layer (e.g., V1/M2/V2), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure; FIG. 11D is a top, plan view of conductive features in V2 layer, a M3 layer, and a V3 layer (e.g., V2/M3/V3), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure; and FIG. 11E is a top, plan view of conductive features in M3 layer, V3 layer, and a M4 layer (e.g., M3/V3/M4), in portion or entirety, of SRAM cell 500 according to various aspects of the present disclosure. For clarity and simplicity, similar features of SRAM cell 200 in FIG. 5A, FIG. 5B, and FIGS. 6A-6E and SRAM cell 500 in FIG. 10 and FIGS. 11A-11E are identified by the same reference numerals. SRAM cell 500 may be implemented in memory 10 of FIG. 1 and/or memory 400 of FIG. 7 . In some embodiments, the features of SRAM cell 500 are configured to provide an SRAM circuit, such as depicted in FIG. 2 and/or FIG. 3 . In some embodiments, SRAM cell 500 is configured to have a power mesh, such as depicted and described with reference to FIGS. 8A-8C, and/or have dimensions relative to a logic cell, such as depicted and described with reference to FIG. 9A and FIG. 9B. FIG. 10 and FIGS. 11A-11E have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in SRAM cell 500, and some of the features described below can be replaced, modified, or eliminated in other embodiments of SRAM cell 500.
  • In FIG. 10 and FIGS. 11A-11E, SRAM cell 500 includes a VDD line 580C in M1 layer formed by a strip portion 582A having width W2, which corresponds with VDD line 280C as designed in SRAM cell 200, a jog portion 582B having a width W5, which corresponds with a jog added to a VDD line of an SRAM design layout of an SRAM cell (for example, for SRAM cell 200), and a jog portion 582C having a width W6, which corresponds with a jog added to the VDD line of the SRAM design layout of the SRAM cell. In some embodiments, width W5 and width W6 are the same. In some embodiments, width W5 and width W6 are different. The jogs are added to interconnection regions (areas) of the VDD line to increase cross-sectional areas of the interconnection regions, thereby reducing resistance of the VDD line. In the depicted embodiment, jog portion 582B provides VDD line 580C with a width W7 (i.e., a sum of width W2 and width W5) at an interconnection region at an end of VDD line 580C located at the upper edge of cell boundary MC, and jog portion 582C provides VDD line 580C with a width W8 (i.e., a sum of width W2 and width W6) at an interconnection region at an end of VDD line 580C located at the lower edge of cell boundary MC. Width W7 and width W8 are each greater than width W2. In some embodiments, width W7 and width W8 are the same. In some embodiments, width W7 and width W8 are different. In furtherance of the depicted embodiment, width W7 and width W8 are each less than width W1 to ensure that bit line 280A and bit line bar 280B have a greatest width of metal lines of M1 layer. Increasing cross-sectional areas of the interconnection regions of the VDD line allows for increasing cross-sectional areas of the source/drain vias in VO layer that connect the VDD line to source/drain contacts (and thus to underlying source/drain regions). For example, SRAM cell 500 can include a source/drain via 570C (instead of source/drain via 270C) and a source/drain via 570D (instead of source/drain via 270D) that are substantially rectangular-shaped and/or oval-shaped (i.e., each has a dimension D3 along the x-direction that is different than a dimension D4 along the y-direction) to reduce contact resistance associated with interconnection structures from sources of pull-up transistors PU-1, PU-2 to VDD line 580C. Such source/drain vias can also be referred to as slot-shaped vias. In some embodiments, a ratio of dimension D3 to dimension D4 (i.e., D3:D4) is about 1.1 to about 2. A longest dimension/shortest dimension ratio for source/drain vias corresponding with the VDD line that is less than about 1.1 may not provide desired via resistance reduction, while a longest dimension/shortest dimension ratio for source/drain vias corresponding with the VDD line that is greater than about 2 may be too large and negatively impact widths of adjacent bit lines (for example, by necessitating wider VDD line widths and/or thinner bit line widths to accommodate larger source/drain vias).
  • SRAM cell 500 further includes a 1st word line 590A in M2 layer formed by a strip portion 592A having a width W9, which corresponds with 1st word line 290A as designed in SRAM cell 200, a jog portion 592B having a width W10, which corresponds with a jog added to a 1st word line of an SRAM design layout of an SRAM cell (for example, for SRAM cell 200), and a jog portion 592C having a width W11, which corresponds with a jog added to the 1st word line of the SRAM design layout of the SRAM cell. In some embodiments, width W10 and width W11 are the same. In some embodiments, width W10 and width W11 are different. The jogs are added to interconnection regions of the 1st word line to increase cross-sectional areas of the interconnection regions, thereby reducing resistance of the 1st word line and reducing word line delay. In the depicted embodiment, jog portion 592B extends from a first end of strip portion 592A along a top portion of the length of strip portion 592A, jog portion 592C extends from a second, opposite end of strip portion 592A along a bottom portion of the length of strip portion 592A, and jog portion 592B and jog portion 592C both extend overlap a central portion of strip portion 592A. Such configuration provides 1st word line 590A with a central portion having a width W12 (i.e., a sum of width W9, width W10, and width W11) at a center interconnection region of 1st word line 590A located within cell boundary MC, an end portion having a width W13 (i.e., a sum of width W9 and width W10) at an end interconnection region of 1st word line 590A located at a left edge of cell boundary MC, and an end portion having a width W14 (i.e., a sum of width W9 and width W11) at an end interconnection region of 1st word line 590A located at a right edge of cell boundary MC. Width W13 and width W14 are each less than width W12, such that the central portion of 1st word line 590A is wider than end portions (e.g., cell boundary portions) of 1st word line 590A. In some embodiments, width W13 and width W14 are the same. In some embodiments, width W13 and width W14 are different. In some embodiments, a ratio of center width (i.e., width W12) to edge width (i.e., width W13 and/or width W14) is about 1.1 to about 2. A center width/edge width ratio that is less than about 1.1 may not provide desired word line resistance reduction (e.g., word line resistance reduction may be negligible), while a center width/edge width ratio that is greater than about 2 may provide word lines with center widths that are too wide to provide sufficient isolation between adjacent metal lines and/or adjacent metal lines in M2 layer (i.e., insufficient metal isolation margins).
  • Various conductive features of the MLI features, such as contacts, vias, and/or metal lines, described herein can include tungsten, ruthenium, cobalt, copper, aluminum, iridium, palladium, platinum, nickel, other low resistivity metal constituent, alloys thereof, or combinations thereof. In some embodiments, a conductive material of conductive features of VO layer is different than a conductive material of conductive features of M1 layer. For example, conductive features of M1 layer include copper, while conductive feature of VO layer include tungsten or ruthenium. In some embodiments, a conductive material of conductive features of VO layer are the same as a conductive material of conductive features of M1 layer. In some embodiments, various layers of the MLI features, such as CO layer, V0 layer, M1 layer, V1 layer, M2 layer, V2 layer, M3 layer, V3 layer, and/or M4 layer, described herein can be fabricated by depositing a dielectric layer (e.g., an ILD layer and/or a CESL) over a substrate; performing a lithography and etching process to form one or more openings in the dielectric layer that expose one or more conductive features in an underlying layer, filling the one or more openings with a conductive material, and performing a planarization process that removes excess conductive material, such that conductive features and the dielectric layer have substantially planar surfaces. The conductive material is formed by a deposition process (for example, PVD, CVD, ALD, or other suitable deposition process) and/or annealing process. In some embodiments, the conductive features include a bulk layer (also referred to as a conductive plug).
  • In some embodiments, the conductive features include a barrier layer, an adhesion layer, and/or other suitable layer disposed between the bulk layer and dielectric layer. In some embodiments, the barrier layer, the adhesion layer, and/or other suitable layer include titanium, titanium alloy (e.g., TiN), tantalum, tantalum alloy (e.g., TaN), other suitable constituent, or combinations thereof. In some embodiments, a via layer (e.g., VO layer) and a metallization layer (e.g., M1 layer) of the MLI features can be formed by a single damascene or a dual damascene process.
  • The present disclosure provides for many different embodiments. Configurations of metal layers of interconnect structures are disclosed herein that can improve memory performance, such as SRAM memory performance, and/or logic performance. For example, embodiments herein place bit lines in M1 layer, which is a lowest metallization level of an interconnect structure of a memory cell, to minimize bit line capacitance, and configure bit lines as the widest metal lines of the metal one layer to minimize bit line resistance. In some embodiments, the interconnect structure has a double word line structure to reduce word line resistance. In some embodiments, the interconnect structure has a double voltage line structure to reduce voltage line resistance. In some embodiments, jogs are added to a word line and/or a voltage line to reduce its respective resistance. In some embodiments, via shapes of the interconnect structure are configured to reduce resistance of the interconnect structure.
  • An exemplary integrated circuit structure includes a memory cell connected to a bit line, a bit line bar, a first voltage line for receiving a first voltage, a word line, and a second voltage line for receiving a second voltage that is different than the first voltage. The integrated circuit structure further includes an interconnect structure disposed over the memory cell. The interconnect structure includes the bit line, the bit line bar, the first voltage line, the word line, and the second voltage line. The bit line, the bit line bar, the first voltage line, and the second voltage line extend along a first lengthwise direction. The word line extends along a second lengthwise direction that is different than the first lengthwise direction. The interconnect structure has a bottommost metal layer having metal lines connected to the memory cell. The metal lines include the bit line, the first voltage line, a voltage line landing pad connected to the second voltage line, and a word line landing pad connected to the word line. A width of the bit line is a widest width of the metal lines. In some embodiments, the width of the bit line is a first width, the first voltage line has a second width, and a ratio of the first width to the second width is about 1.1 to about 2 (in some embodiments, about 1.1 to about 1.4). In some embodiments, the width of the bit line is a first width, the voltage line landing pad has a second width, and a ratio of the first width to the second width is about 1.1 to about 2 (in some embodiments, about 1.1 to about 1.4). In some embodiments, the width of the bit line is a first width, the word line landing pad has a second width, and a ratio of the first width to the second width is about 1.1 to about 2 (in some embodiments, about 1.1 to about 1.4). In some embodiments, the width of the bit line is a first width, the metal lines further include the bit line bar, the bit line bar has a second width, and the second width is the same as the first width. In some embodiments, the first voltage line has a first portion having a first width and a second portion having a second width that is greater than the first width. The second portion having the second width is an interconnection region of the first voltage line. In some embodiments, the integrated circuit structure further includes a logic cell connected to a third voltage line for receiving a third voltage, where the interconnect structure includes the third voltage line extending along the first lengthwise direction, the metal lines of the bottommost metal layer include the third voltage line, and a first thickness of the bit line is the same as a second thickness of the third voltage line.
  • In some embodiments, the bottommost metal layer is a first metal layer and the metal lines are first metal lines, and the interconnect structure further has a second metal layer over the first metal layer and a third metal layer over the second metal layer, where the second metal layer has second metal lines that include the word line and the third metal layer has third metal lines that include the second voltage line. In such embodiments, the word line may be a first word line, the word line landing pad may be a first word line landing pad, and the third metal lines of the third metal layer may further include a second word line landing pad connected to the first word line. In such embodiments, the interconnect structure may further have a fourth metal layer over the third metal layer, where the fourth metal layer has fourth metal lines that include a second word line and the second word line is connected to the second word line landing pad. In some embodiments, the memory cell further includes a third voltage line for receiving the second voltage, the interconnect structure has a fourth metal layer over the third metal layer, the fourth metal layer has fourth metal lines that include the third voltage line, and the third voltage line is connected to the second voltage line.
  • Another exemplary integrated circuit structure includes a memory cell and an interconnect structure disposed over and electrically coupled to the memory cell. The interconnect structure includes a first metal layer electrically coupled to the memory cell, a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, and a fourth metal layer disposed over the third metal layer. The first metal layer includes a bit line, a first voltage line configured to receive a first voltage, a first voltage line landing pad, and a first word line landing pad. The second metal layer includes a first word line electrically coupled to the first word line landing pad and a second voltage line landing pad electrically coupled to the first voltage line landing pad. The third metal layer includes a second voltage line electrically coupled to the second voltage line landing pad, where the second voltage line is configured to receive a second voltage. The fourth metal layer includes a second word line. The bit line, the first voltage line, and the second voltage line extend along a first lengthwise direction, the first word line and the second word line extend along a second lengthwise direction that is different than the first lengthwise direction, and a first width of the bit line is greater than a second width of the first voltage line. In some embodiments, the first width of the bit line is greater than a third width of the first voltage line landing pad and a fourth width of the first word line landing pad. In some embodiments, the first metal layer further includes a bit line bar that extends along the first lengthwise direction, wherein a third width of the bit line bar is greater than the second width of the first voltage line. In some embodiments, the third width of the bit line bar is the same as the first width of the bit line. In some embodiments, the second word line is electrically coupled to the first word line. In some embodiments, the integrated circuit structure further includes an edge cell, wherein the second word line is electrically coupled to the first word line by a first connection in the memory cell and a second connection in the edge cell. In some embodiments, the fourth metal layer further includes a third voltage line configured to receive the second voltage. In some embodiments, the third voltage line is electrically coupled to the second voltage line.
  • An exemplary method for forming a multilayer interconnect structure of a memory includes forming a first metallization layer that includes a bit line, a bit line bar, and a first voltage line configured to receive a first voltage. The bit line, the bit line bar, and the first voltage line extend along a first routing direction, the first metallization layer is a bottommost metallization layer of the multilayer interconnect structure, and a bit line width of the bit line has a widest width of metal lines of the first metallization layer. The method further includes forming a second metallization layer over the first metallization layer. The second metallization layer includes a first word line that extends along a second routing direction that is different than the first routing direction. The method further includes forming a third metallization layer over the second metallization layer. The third metallization layer includes a second voltage line and a third voltage line configured to receive a second voltage that is different than the first voltage and the second voltage line and the third voltage line extend along the first routing direction. The method further includes forming a fourth metal layer disposed over the third metal layer. The fourth metal layer includes a second word line that extends along the second routing direction. In some embodiments, a ratio of the bit line width to a width of any one of the metal lines of the first metallization layer is about 1.1 to about 2 (in some embodiments, about 1.4).
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method comprising:
forming a memory cell; and
forming an interconnect structure over and connected to the memory cell, wherein the forming the interconnect structure includes:
forming a bottommost metal layer of the interconnect structure, wherein the bottommost metal layer has metal lines connected to the memory cell, wherein the metal lines include a bit line, a first voltage line for receiving a first voltage, a voltage line landing pad, and a word line landing pad, wherein a width of the bit line is a widest width of the metal lines,
forming a bit line bar, a word line, and a second voltage line for receiving a second voltage that is different than the first voltage, wherein the second voltage line is connected to the voltage line landing pad and the word line is connected to the word line landing pad,
wherein the bit line, the bit line bar, the first voltage line, and the second voltage line extend along a first lengthwise direction, and
wherein the word line extends along a second lengthwise direction that is different than the first lengthwise direction.
2. The method of claim 1, wherein the width of the bit line is a first width, the first voltage line has a second width, and a ratio of the first width to the second width is about 1.1 to about 2.
3. The method of claim 1, wherein the width of the bit line is a first width, the voltage line landing pad has a second width, and a ratio of the first width to the second width is about 1.1 to about 2.
4. The method of claim 1, wherein the width of the bit line is a first width, the word line landing pad has a second width, and a ratio of the first width to the second width is about 1.1 to about 2.
5. The method of claim 1, wherein the width of the bit line is a first width, the metal lines further include the bit line bar, the bit line bar has a second width, and the second width is the same as the first width.
6. The method of claim 1, wherein the first voltage line has a first portion having a first width and a second portion having a second width that is greater than the first width, wherein the second portion having the second width is an interconnection region of the first voltage line.
7. The method of claim 1, wherein the bottommost metal layer is a first metal layer, the metal lines are first metal lines, and the forming the interconnect structure further includes:
forming a second metal layer over the first metal layer, wherein the second metal layer has second metal lines that include the word line; and
forming a third metal layer over the second metal layer, wherein the third metal layer has third metal lines that include the second voltage line.
8. The method of claim 7, wherein the word line is a first word line, the word line landing pad is a first word line landing pad, the third metal lines of the third metal layer further include a second word line landing pad connected to the first word line, and the forming the interconnect structure further includes:
forming a fourth metal layer over the third metal layer, wherein the fourth metal layer has fourth metal lines that include a second word line, wherein the second word line is connected to the second word line landing pad.
9. The method of claim 7, wherein the memory cell further includes a third voltage line for receiving the second voltage and the forming the interconnect structure further includes:
forming a fourth metal layer over the third metal layer, wherein the fourth metal layer has fourth metal lines that include the third voltage line and the third voltage line is connected to the second voltage line.
10. The method of claim 1, further comprising:
forming a logic cell connected to a third voltage line for receiving a third voltage; and
wherein the interconnect structure includes the third voltage line extending along the first lengthwise direction, the metal lines of the bottommost metal layer include the third voltage line, and a first thickness of the bit line is the same as a second thickness of the third voltage line.
11. A method comprising:
forming a memory cell; and
forming an interconnect structure electrically coupled to the memory cell, wherein the forming the interconnect structure includes:
forming a first metal layer electrically coupled to the memory cell, wherein the first metal layer includes a bit line, a first voltage line configured to receive a first voltage, a first voltage line landing pad, and a first word line landing pad,
forming a second metal layer over the first metal layer, wherein the second metal layer includes a first word line electrically coupled to the first word line landing pad and a second voltage line landing pad electrically coupled to the first voltage line landing pad,
forming a third metal layer over the second metal layer, wherein the third metal layer includes a second voltage line electrically coupled to the second voltage line landing pad and the second voltage line is configured to receive a second voltage,
forming a fourth metal layer over the third metal layer, wherein the fourth metal layer includes a second word line, and
wherein the bit line, the first voltage line, and the second voltage line extend along a first lengthwise direction, the first word line and the second word line extend along a second lengthwise direction that is different than the first lengthwise direction, and a first width of the bit line is greater than a second width of the first voltage line.
12. The method of claim 11, wherein the first width of the bit line is greater than a third width of the first voltage line landing pad and a fourth width of the first word line landing pad.
13. The method of claim 11, wherein the first metal layer further includes a bit line bar that extends along the first lengthwise direction, wherein a third width of the bit line bar is greater than the second width of the first voltage line.
14. The method of claim 13, wherein the third width of the bit line bar is the same as the first width of the bit line.
15. The method of claim 11, wherein the second word line is electrically coupled to the first word line.
16. The method of claim 15, further comprising forming an edge cell, wherein the second word line is electrically coupled to the first word line by a first connection in the memory cell and a second connection in the edge cell.
17. The method of claim 11, wherein the fourth metal layer further includes a third voltage line configured to receive the second voltage.
18. The method of claim 17, wherein the third voltage line is electrically coupled to the second voltage line.
19. A multilayer interconnect structure of a memory comprising:
a first metallization layer that includes a bit line, a bit line bar, and a first voltage line configured to receive a first voltage, wherein the bit line, the bit line bar, and the first voltage line extend along a first routing direction, the first metallization layer is a bottommost metallization layer of the multilayer interconnect structure, and a bit line width of the bit line has a widest width of metal lines of the first metallization layer;
a second metallization layer disposed over the first metallization layer, wherein the second metallization layer includes a first word line that extends along a second routing direction that is different than the first routing direction;
a third metallization layer disposed over the second metallization layer, wherein the third metallization layer includes a second voltage line and a third voltage line configured to receive a second voltage that is different than the first voltage and the second voltage line and the third voltage line extend along the first routing direction; and
a fourth metallization layer disposed over the third metallization layer, wherein the fourth metallization layer includes a second word line that extends along the second routing direction.
20. The multilayer interconnect structure of the memory of claim 19, wherein a ratio of the bit line width to a width of any one of the metal lines of the first metallization layer is about 1.1 to about 2.
US18/170,845 2021-02-12 2023-02-17 Interconnect Structure for Improving Memory Performance and/or Logic Performance Pending US20230207462A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/170,845 US20230207462A1 (en) 2021-02-12 2023-02-17 Interconnect Structure for Improving Memory Performance and/or Logic Performance

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163148863P 2021-02-12 2021-02-12
US17/343,335 US11587872B2 (en) 2021-02-12 2021-06-09 Interconnect structure for improving memory performance and/or logic performance
US18/170,845 US20230207462A1 (en) 2021-02-12 2023-02-17 Interconnect Structure for Improving Memory Performance and/or Logic Performance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US17/343,335 Continuation US11587872B2 (en) 2021-02-12 2021-06-09 Interconnect structure for improving memory performance and/or logic performance

Publications (1)

Publication Number Publication Date
US20230207462A1 true US20230207462A1 (en) 2023-06-29

Family

ID=81769544

Family Applications (2)

Application Number Title Priority Date Filing Date
US17/343,335 Active 2041-06-13 US11587872B2 (en) 2021-02-12 2021-06-09 Interconnect structure for improving memory performance and/or logic performance
US18/170,845 Pending US20230207462A1 (en) 2021-02-12 2023-02-17 Interconnect Structure for Improving Memory Performance and/or Logic Performance

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US17/343,335 Active 2041-06-13 US11587872B2 (en) 2021-02-12 2021-06-09 Interconnect structure for improving memory performance and/or logic performance

Country Status (5)

Country Link
US (2) US11587872B2 (en)
KR (1) KR102631116B1 (en)
CN (1) CN114582874A (en)
DE (1) DE102021115959A1 (en)
TW (1) TWI773625B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN117395984A (en) * 2022-06-29 2024-01-12 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof
US20240049451A1 (en) * 2022-08-03 2024-02-08 Nanya Technology Corporation Semiconductor structure and method of manufacturing the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3962769B2 (en) 2004-11-01 2007-08-22 株式会社Genusion Nonvolatile semiconductor memory device and writing method thereof
US7259979B2 (en) 2005-10-28 2007-08-21 Texas Instruments Incorporated Area efficient stacked TCAM cell for fully parallel search
US8631377B2 (en) 2009-05-14 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for designing cell rows with differing cell heights
US8964455B2 (en) * 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US9349436B2 (en) 2012-03-06 2016-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory and method of making the same
US8947902B2 (en) 2012-03-06 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory and method of making the same
KR101883656B1 (en) 2012-03-30 2018-07-31 삼성전자주식회사 Semiconductor devices including contacts which have enlarged contact areas with actives and methods for fabricating the same
US8976573B2 (en) * 2012-04-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for SRAM cells
US9254998B2 (en) * 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with a capping substrate
US9218872B1 (en) * 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
US9564211B2 (en) * 2014-06-27 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory chip and layout design for manufacturing same
US9583438B2 (en) 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
WO2016117288A1 (en) * 2015-01-19 2016-07-28 株式会社ソシオネクスト Semiconductor integrated circuit device
US9576644B2 (en) * 2015-04-27 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit chip having two types of memory cells
US9704564B2 (en) * 2015-11-30 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with reduced capacitance and resistance
US10050042B2 (en) * 2016-01-29 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell and logic cell design
US9721645B1 (en) * 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM arrays and methods of manufacturing same
US10128253B2 (en) 2016-01-29 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two-port SRAM structure
US9659635B1 (en) * 2016-01-29 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array with bit-lines connected to different sub-arrays through jumper structures
US10461086B2 (en) 2016-10-31 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell structure
KR20180065073A (en) 2016-12-06 2018-06-18 삼성전자주식회사 Sram device having uniform write characteristics
US11151296B2 (en) 2018-05-18 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell array circuit
US11127746B2 (en) * 2019-01-31 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure for improving memory performance
US10964784B2 (en) * 2019-04-18 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and manufacturing method thereof

Also Published As

Publication number Publication date
US20220262730A1 (en) 2022-08-18
TW202232486A (en) 2022-08-16
TWI773625B (en) 2022-08-01
KR20220115851A (en) 2022-08-19
CN114582874A (en) 2022-06-03
DE102021115959A1 (en) 2022-08-18
US11587872B2 (en) 2023-02-21
KR102631116B1 (en) 2024-01-29

Similar Documents

Publication Publication Date Title
US11063053B2 (en) Integrated circuit and static random access memory thereof
US11587872B2 (en) Interconnect structure for improving memory performance and/or logic performance
KR101547445B1 (en) Sram cells and arrays
US8582352B2 (en) Methods and apparatus for FinFET SRAM cells
US20210265363A1 (en) Sram cell word line structure with reduced rc effects
CN111106115B (en) Semiconductor structure
US11996140B2 (en) SRAM structure with asymmetric interconnection
US10043571B1 (en) SRAM structure
WO2019155559A1 (en) Semiconductor integrated circuit device
US9768179B1 (en) Connection structures for routing misaligned metal lines between TCAM cells and periphery circuits
US20230363133A1 (en) Memory Device and Method for Forming Thereof
WO2019142670A1 (en) Semiconductor integrated circuit device
JPWO2019159739A1 (en) Semiconductor integrated circuit equipment
US20230164970A1 (en) Memory devices including transistors on multiple layers
US20220383948A1 (en) Semiconductor device including standard cells

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIAW, JHON JHY;REEL/FRAME:062732/0433

Effective date: 20210523

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION