US20230128786A1 - Optical components undercut by a sealed cavity - Google Patents

Optical components undercut by a sealed cavity Download PDF

Info

Publication number
US20230128786A1
US20230128786A1 US17/510,934 US202117510934A US2023128786A1 US 20230128786 A1 US20230128786 A1 US 20230128786A1 US 202117510934 A US202117510934 A US 202117510934A US 2023128786 A1 US2023128786 A1 US 2023128786A1
Authority
US
United States
Prior art keywords
sealed cavity
plugged openings
openings
sidewall
waveguide core
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/510,934
Other versions
US11650382B1 (en
Inventor
Ryan Sporer
Yusheng Bian
Takako Hirokawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Priority to US17/510,934 priority Critical patent/US11650382B1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BIAN, YUSHENG, Hirokawa, Takako, SPORER, RYAN
Priority to DE102022123288.3A priority patent/DE102022123288A1/en
Priority to CN202211175587.0A priority patent/CN116027484A/en
Publication of US20230128786A1 publication Critical patent/US20230128786A1/en
Application granted granted Critical
Publication of US11650382B1 publication Critical patent/US11650382B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4251Sealed packages
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1228Tapered waveguides, e.g. integrated spot-size transformers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/30Optical coupling means for use between fibre and thin-film device
    • G02B6/305Optical coupling means for use between fibre and thin-film device and having an integrated mode-size expanding section, e.g. tapered waveguide
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12147Coupler
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching

Definitions

  • the present invention relates to photonics chips and, more specifically, to structures including an optical component, such as an edge coupler, and methods of fabricating a structure that includes an optical component, such as an edge coupler.
  • Photonics chips are used in many applications and systems including, but not limited to, data communication systems and data computation systems.
  • a photonics chip integrates optical components, such as waveguides, photodetectors, modulators, and optical power splitters, and electronic components, such as field-effect transistors, into a unified platform. Factors such as layout area, cost, and operational overhead may be reduced by the integration of both types of components on the same chip.
  • An edge coupler also known as a spot-size converter, is commonly used for coupling light of a given mode from a light source, such as a laser or an optical fiber, to optical components on the photonics chip.
  • the edge coupler may include a section of a waveguide core that defines an inverse taper located adjacent to the light source.
  • An inverse taper refers to a tapered section of a waveguide core characterized by a gradual increase in width along a mode propagation direction.
  • the narrow end of the inverse taper provides a facet that is positioned adjacent to the light source, and the wide end of the inverse taper is connected with another section of the waveguide core that routes the light to the optical components of the photonics chip.
  • the gradually-varying cross-sectional area of the inverse taper supports mode transformation and mode size variation associated with mode conversion when light is transferred from the light source to the edge coupler.
  • the narrow end at the tip of the inverse taper is unable to fully confine the incident mode received from the light source because the cross-section area of the tip at its narrow end is considerably smaller than the mode size. Consequently, a significant percentage of the electromagnetic field of the incident mode is distributed about the tip of the inverse taper. As its width increases, the inverse taper can support the entire incident mode and confine the electromagnetic field.
  • a structure in an embodiment of the invention, includes a substrate having a sealed cavity, an optical component, and a dielectric layer between the optical component and the sealed cavity.
  • the optical component is positioned vertically over the substrate and the dielectric layer, and the optical component overlaps with the sealed cavity in the substrate.
  • a method in an embodiment of the invention, includes forming an optical component, and forming a sealed cavity in a substrate.
  • a dielectric layer is positioned between the optical component and the sealed cavity.
  • the optical component is positioned vertically over the substrate and the dielectric layer, and the optical component overlaps with the sealed cavity in the substrate.
  • FIG. 1 is a top view of a structure at an initial fabrication stage of a processing method in accordance with embodiments of the invention.
  • FIG. 2 is a cross-sectional view of the structure taken generally along line 2 - 2 in FIG. 1 .
  • FIG. 2 A is a cross-sectional view of the structure taken generally along line 2 A- 2 A in FIG. 1 .
  • FIG. 2 B is a cross-sectional view of the structure taken generally along line 2 B- 2 B in FIG. 1 .
  • FIG. 3 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 1 .
  • FIG. 4 is a cross-sectional view of the structure taken generally along line 4 - 4 in FIG. 3 .
  • FIG. 4 A is a cross-sectional view of the structure taken generally along line 4 A- 4 A in FIG. 3 .
  • FIG. 4 B is a cross-sectional view of the structure taken generally along line 4 B- 4 B in FIG. 3 .
  • FIG. 5 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 3 .
  • FIG. 6 is a cross-sectional view of the structure taken generally along line 6 - 6 in FIG. 5 .
  • FIG. 6 A is a cross-sectional view of the structure taken generally along line 6 A- 6 A in FIG. 5 .
  • FIG. 6 B is a cross-sectional view of the structure taken generally along line 6 B- 6 B in FIG. 5 .
  • FIG. 7 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 5 .
  • FIG. 8 is a cross-sectional view of the structure taken generally along line 8 - 8 in FIG. 7 .
  • FIG. 8 A is a cross-sectional view of the structure taken generally along line 8 A- 8 A in FIG. 7 .
  • FIG. 8 B is a cross-sectional view of the structure taken generally along line 8 B- 8 B in FIG. 7 .
  • FIG. 9 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 7 .
  • FIG. 10 is a cross-sectional view of the structure taken generally along line 10 - 10 in FIG. 9 .
  • FIG. 10 A is a cross-sectional view of the structure taken generally along line 10 A- 10 A in FIG. 9 .
  • FIG. 10 B is a cross-sectional view of the structure taken generally along line 10 B- 10 B in FIG. 9 .
  • FIG. 11 is a top view of a structure in accordance with alternative embodiments of the invention.
  • an edge coupler 10 includes multiple segments 12 and sections 20 , 22 of a waveguide core 14 that are positioned adjacent to the segments 12 .
  • the segments 12 and sections 20 , 22 of the waveguide core 14 are arranged along a longitudinal axis 13 of the edge coupler 10 .
  • the segments 12 are positioned with a spaced arrangement along the longitudinal axis 13 as features in a portion of the edge coupler 10 that initially receives light from a light source, such as an optical fiber or laser. Light propagates within the edge coupler 10 in a direction from the segments 12 toward the sections 20 , 22 of the waveguide core 14 .
  • Each segment 12 has opposite sidewalls 16 , 17 at its side edges, and the waveguide core 14 includes opposite sidewalls 18 , 19 at its side edges.
  • the section 20 of the waveguide core 14 includes indentations or notches in the sidewalls 18 , 19 , and the section 22 of the edge coupler 10 is tapered.
  • the edge coupler 10 may have a different construction.
  • the edge coupler 10 may be replaced by a different type of optical component, such as a ribbed waveguide core, a tapered waveguide core, a straight waveguide core, etc.
  • the edge coupler 10 may be positioned over a dielectric layer 24 .
  • the dielectric layer 24 may be comprised of silicon dioxide.
  • the dielectric layer 24 may be a buried oxide layer of a silicon-on-insulator substrate, and the silicon-on-insulator substrate may further include a substrate 26 comprised of a semiconductor material (e.g., single-crystal silicon).
  • the segments 12 and waveguide core 14 may be comprised of a semiconductor material, such as single-crystal silicon.
  • the segments 12 and waveguide core 14 may be concurrently formed by patterning a single-crystal silicon device layer of a silicon-on-insulator substrate with lithography and etching processes.
  • the segments 12 and the waveguide core 14 may be patterned from the device layer by lithography and etching processes without etching fully through the device layer to form a connected slab layer that is thinner than the segments 12 and waveguide core 14 .
  • the edge coupler 10 may be comprised of a different material.
  • the segments 12 and waveguide core 14 may be comprised of a dielectric material, such as silicon nitride.
  • the segments 12 and waveguide core 14 may be formed by depositing a layer of the constituent material, and patterning the deposited layer with lithography and etching processes.
  • a dielectric layer 25 may be deposited over the edge coupler 10 and dielectric layer 24 .
  • the dielectric layer 25 may be comprised of a dielectric material, such as silicon dioxide, that is deposited by chemical vapor deposition and planarized by chemical-mechanical polishing.
  • the dielectric layers 24 , 25 are patterned with lithography and etching processes to define openings 28 , 29 that penetrate fully through the dielectric layers 24 , 25 to the substrate 26 .
  • the lithography process may entail forming an etch mask that includes a layer of photoresist applied by a spin coating process, pre-baked, exposed to light projected through a photomask, baked after exposure, and developed with a chemical developer to form respective openings over the intended locations for the openings 28 , 29 .
  • the etching process may be an anisotropic etching process, such as a reactive ion etching process, and the etch mask may be stripped by, for example, plasma ashing after forming the openings 28 , 29 .
  • the openings 28 , 29 may be elongate or slotted in shape with a length that is significantly larger than their width, and may be arranged with a given pitch in parallel rows of a rectangular array that is symmetrically positioned relative to the longitudinal axis 13 of the edge coupler 10 .
  • the openings 28 may be positioned in the dielectric layer 24 adjacent to the sidewall 16 of the sections 20 , 22 of the waveguide core 14 and the sidewalls 18 of the segments 12 .
  • the openings 29 may be positioned in the dielectric layer 24 adjacent to the sidewall 17 of the sections 20 , 22 of the waveguide core 14 and the sidewalls 19 of the segments 12 .
  • the openings 28 and the openings 29 are separated by a spacing S.
  • the openings 28 , 29 define pilot holes extending through the dielectric layer 24 to the substrate 26 for performing a subsequent isotropic etching process to etch the substrate 26 .
  • Portions of the dielectric layer 24 are positioned as bridges between adjacent pairs of the openings 28 and as bridges between adjacent pairs of the openings 29 in order to sustain mechanical support following the performance of the subsequent isotropic etching process removing the substrate 26 beneath the dielectric layer 24 .
  • the openings 28 , 29 may have a uniform pitch to define a periodic arrangement.
  • the pitch of the openings 28 , 29 may be apodized (i.e., non-uniform) to define a non-periodic arrangement.
  • the openings 28 , 29 may have a rectangular patterned shape.
  • the openings 28 , 29 may have a different patterned shape, such as an oval shape or a trapezoidal shape.
  • the openings 28 , 29 may have a major axis (i.e., length) that is aligned with the longitudinal axis 13 of the edge coupler 10 or, alternatively, the major axis of the openings 28 , 29 may be angled or tilted relative to, or even aligned perpendicular to, the longitudinal axis 13 of the edge coupler 10 . In alternative embodiments, multiple adjacent rows of openings 28 and/or multiple adjacent rows of openings 29 may be formed.
  • a cavity 30 is formed in the substrate 26 using a wet or dry isotropic etching process with the patterned dielectric layer 24 functioning as a hardmask.
  • the openings 28 , 29 provide access to the substrate 26 for the isotropic etching process performed to form the cavity 30 .
  • the isotropic etching process includes a lateral etching component that deepens the cavity 30 and a vertical etching component that widens the cavity 30 .
  • the cavity 30 may be centered between the row of openings 28 and the row of openings 29 .
  • the lengths, widths, and pitches of the openings 28 , 29 may be adjusted to adjust the properties of the cavity 30 .
  • the cavity 30 is positioned in the substrate 26 beneath the segments 12 and the sections 20 , 22 of the waveguide core 14 .
  • the edge coupler 10 is positioned on the dielectric layer 24 to overlap with the cavity 30 in the substrate 26 . In an embodiment, the edge coupler 10 may be centered over the cavity 30 .
  • the cavity 30 includes a chamber 32 and a chamber 34 that is connected to, and merges with, the chamber 32 .
  • the chamber 32 is in communication with the openings 28
  • the chamber 34 is in communication with the openings 29
  • the chambers 32 , 34 merge during etching due to the lateral etching component.
  • the cavity 30 may have a length L between an end 36 and an end 38 opposite to the end 36 , and the cavity 30 may extend over a full length of the edge coupler 10 .
  • the cavity 30 has a width W that is greater than a width of the edge coupler 10 .
  • the cavity 30 is closed at the opposite ends 36 , 38 , and portions of the substrate 26 are positioned at the opposite ends 36 , 38 as respective longitudinal boundaries.
  • the isotropic etching process may be controlled such that neither of the ends 36 , 38 is opened by, for example, intersecting an edge of the substrate 26 .
  • the cavity 30 is collectively surrounded by the dielectric layer 24 from above and by the substrate 26 from below and laterally, which fully seals the cavity 30 with the exception of the openings 28 , 29 that are subsequently sealed.
  • the chamber 32 includes a curved sidewall 33 and the chamber 34 includes a curved sidewall 35 that intersects the sidewall 33 to define a ridge 40 as a cusp.
  • the ridge 40 may be positioned directly beneath the segments 12 and the sections 20 , 22 of the waveguide core 14 .
  • plugs 42 are formed in the openings 28 , 29 .
  • the plugs 42 may be formed by depositing a dielectric layer over the edge coupler 10 and dielectric layer 25 by chemical vapor deposition and planarizing the dielectric layer by chemical-mechanical polishing.
  • the plugs 42 may be comprised of a dielectric material, such as silicon dioxide.
  • the plugs 42 fill and occlude at least a portion of each of the openings 28 , 29 in the dielectric layers 24 , 25 as obstructions blocking the openings 28 , 29 .
  • the cavity 30 is fully sealed to define an airgap that may contain atmospheric air at or near atmospheric pressure, may contain another gas at or near atmospheric pressure, or may contain atmospheric air or another gas at a sub-atmospheric pressure (e.g., a partial vacuum).
  • the airgap defined by the sealed cavity 30 may be characterized by a permittivity or dielectric constant of near unity (i.e., vacuum permittivity), which is less than the dielectric constant of a solid dielectric material.
  • the refractive index of the sealed cavity 30 which is proportional to the dielectric constant, is significantly lower than the refractive index of solid dielectric material.
  • the structure including the edge coupler 10 and sealed cavity 30 may be integrated into a photonics chip that includes electronic components and additional optical components.
  • the electronic components may include field-effect transistors that are fabricated by CMOS processing.
  • the edge coupler 10 is undercut the sealed cavity 30 in the substrate 26 .
  • the structure including the edge coupler 10 and sealed cavity 30 may exhibit reduced leakage loss of light from the edge coupler 10 to the substrate 26 because of the low-index open space introduced by the sealed cavity 30 between the edge coupler 10 and the substrate 26 .
  • the structure including the edge coupler 10 and sealed cavity 30 may also enhance the thermal isolation of the edge coupler 10 relative to the substrate 26 by eliminating a pathway for thermal conduction from the edge coupler 10 to the substrate 26 .
  • the openings 28 and the openings 29 may be positioned in a tapered array in which the spacing S between adjacent pairs of the openings 28 and the openings 29 in the different rows of the array varies longitudinally from a narrow spacing to a wide spacing.
  • the variation in spacing S may lead to a variation in the shape of the cavity 30 at different locations along a direction between the end 36 and the end 38 and parallel to the longitudinal axis 13 of the edge coupler 10 .
  • the shape of the ridge 40 may vary over the length of the cavity 30 at different locations along a direction between the end 36 and the end 38 because of the change in the spacing S.
  • the variation in the shape of the cavity 30 may be continuous along its length.
  • the openings 28 and the openings 29 may be arranged with an offset to provide a staggered arrangement within each row of the array such that the spacing S periodically varies along a direction between the end 36 and the end 38 between a smaller spacing and a larger spacing.
  • the variation in the positions of the openings 28 , 29 may lead to a variation in the shape of the cavity 30 (e.g., a change in the shape of the ridge 40 ) at different locations between the end 36 and the end 38 , as generally shown in FIGS. 10 A, 10 B .
  • the shape change of the cavity 30 may vary locally according to the varying offset.
  • the methods as described above are used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product.
  • the end product can be any product that includes integrated circuit chips, such as computer products having a central processor or smartphones.
  • references herein to terms modified by language of approximation such as “about”, “approximately”, and “substantially”, are not to be limited to the precise value specified.
  • the language of approximation may correspond to the precision of an instrument used to measure the value and, unless otherwise dependent on the precision of the instrument, may indicate +/ ⁇ 10% of the stated value(s).
  • references herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference.
  • the term “horizontal” as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation.
  • the terms “vertical” and “normal” refer to a direction perpendicular to the horizontal, as just defined.
  • the term “lateral” refers to a direction within the horizontal plane.
  • a feature “connected” or “coupled” to or with another feature may be directly connected or coupled to or with the other feature or, instead, one or more intervening features may be present.
  • a feature may be “directly connected” or “directly coupled” to or with another feature if intervening features are absent.
  • a feature may be “indirectly connected” or “indirectly coupled” to or with another feature if at least one intervening feature is present.
  • a feature “on” or “contacting” another feature may be directly on or in direct contact with the other feature or, instead, one or more intervening features may be present.
  • a feature may be “directly on” or in “direct contact” with another feature if intervening features are absent.
  • a feature may be “indirectly on” or in “indirect contact” with another feature if at least one intervening feature is present.
  • Different features may “overlap” if a feature extends over, and covers a part of, another feature with either direct contact or indirect contact.

Abstract

Structures including an optical component, such as an edge coupler, and methods of fabricating a structure that includes an optical component, such as an edge coupler. The structure includes a substrate having a sealed cavity, an optical component, and a dielectric layer between the optical component and the sealed cavity. The optical component is positioned vertically over the substrate and the dielectric layer, and the optical component overlaps with the sealed cavity in the substrate.

Description

    BACKGROUND
  • The present invention relates to photonics chips and, more specifically, to structures including an optical component, such as an edge coupler, and methods of fabricating a structure that includes an optical component, such as an edge coupler.
  • Photonics chips are used in many applications and systems including, but not limited to, data communication systems and data computation systems. A photonics chip integrates optical components, such as waveguides, photodetectors, modulators, and optical power splitters, and electronic components, such as field-effect transistors, into a unified platform. Factors such as layout area, cost, and operational overhead may be reduced by the integration of both types of components on the same chip.
  • An edge coupler, also known as a spot-size converter, is commonly used for coupling light of a given mode from a light source, such as a laser or an optical fiber, to optical components on the photonics chip. The edge coupler may include a section of a waveguide core that defines an inverse taper located adjacent to the light source. An inverse taper refers to a tapered section of a waveguide core characterized by a gradual increase in width along a mode propagation direction. In the edge coupler construction, the narrow end of the inverse taper provides a facet that is positioned adjacent to the light source, and the wide end of the inverse taper is connected with another section of the waveguide core that routes the light to the optical components of the photonics chip.
  • The gradually-varying cross-sectional area of the inverse taper supports mode transformation and mode size variation associated with mode conversion when light is transferred from the light source to the edge coupler. The narrow end at the tip of the inverse taper is unable to fully confine the incident mode received from the light source because the cross-section area of the tip at its narrow end is considerably smaller than the mode size. Consequently, a significant percentage of the electromagnetic field of the incident mode is distributed about the tip of the inverse taper. As its width increases, the inverse taper can support the entire incident mode and confine the electromagnetic field.
  • Conventional edge couplers may exhibit significant leakage losses, particularly for large mode sizes, to the substrate of the photonics chip. Satisfactory corrective measures have proven difficult to implement.
  • Improved structures including an optical component, such as an edge coupler, and methods of fabricating a structure that includes an optical component, such as an edge coupler, are needed.
  • SUMMARY
  • In an embodiment of the invention, a structure includes a substrate having a sealed cavity, an optical component, and a dielectric layer between the optical component and the sealed cavity. The optical component is positioned vertically over the substrate and the dielectric layer, and the optical component overlaps with the sealed cavity in the substrate.
  • In an embodiment of the invention, a method includes forming an optical component, and forming a sealed cavity in a substrate. A dielectric layer is positioned between the optical component and the sealed cavity. The optical component is positioned vertically over the substrate and the dielectric layer, and the optical component overlaps with the sealed cavity in the substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate various embodiments of the invention and, together with a general description of the invention given above and the detailed description of the embodiments given below, serve to explain the embodiments of the invention. In the drawings, like reference numerals refer to like features in the various views.
  • FIG. 1 is a top view of a structure at an initial fabrication stage of a processing method in accordance with embodiments of the invention.
  • FIG. 2 is a cross-sectional view of the structure taken generally along line 2-2 in FIG. 1 .
  • FIG. 2A is a cross-sectional view of the structure taken generally along line 2A-2A in FIG. 1 .
  • FIG. 2B is a cross-sectional view of the structure taken generally along line 2B-2B in FIG. 1 .
  • FIG. 3 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 1 .
  • FIG. 4 is a cross-sectional view of the structure taken generally along line 4-4 in FIG. 3 .
  • FIG. 4A is a cross-sectional view of the structure taken generally along line 4A-4A in FIG. 3 .
  • FIG. 4B is a cross-sectional view of the structure taken generally along line 4B-4B in FIG. 3 .
  • FIG. 5 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 3 .
  • FIG. 6 is a cross-sectional view of the structure taken generally along line 6-6 in FIG. 5 .
  • FIG. 6A is a cross-sectional view of the structure taken generally along line 6A-6A in FIG. 5 .
  • FIG. 6B is a cross-sectional view of the structure taken generally along line 6B-6B in FIG. 5 .
  • FIG. 7 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 5 .
  • FIG. 8 is a cross-sectional view of the structure taken generally along line 8-8 in FIG. 7 .
  • FIG. 8A is a cross-sectional view of the structure taken generally along line 8A-8A in FIG. 7 .
  • FIG. 8B is a cross-sectional view of the structure taken generally along line 8B-8B in FIG. 7 .
  • FIG. 9 is a top view of the structure at a fabrication stage of the processing method subsequent to FIG. 7 .
  • FIG. 10 is a cross-sectional view of the structure taken generally along line 10-10 in FIG. 9 .
  • FIG. 10A is a cross-sectional view of the structure taken generally along line 10A-10A in FIG. 9 .
  • FIG. 10B is a cross-sectional view of the structure taken generally along line 10B-10B in FIG. 9 .
  • FIG. 11 is a top view of a structure in accordance with alternative embodiments of the invention.
  • DETAILED DESCRIPTION
  • With reference to FIGS. 1, 2, 2A, 2B and in accordance with embodiments of the invention, an edge coupler 10 includes multiple segments 12 and sections 20, 22 of a waveguide core 14 that are positioned adjacent to the segments 12. The segments 12 and sections 20, 22 of the waveguide core 14 are arranged along a longitudinal axis 13 of the edge coupler 10. The segments 12 are positioned with a spaced arrangement along the longitudinal axis 13 as features in a portion of the edge coupler 10 that initially receives light from a light source, such as an optical fiber or laser. Light propagates within the edge coupler 10 in a direction from the segments 12 toward the sections 20, 22 of the waveguide core 14. Each segment 12 has opposite sidewalls 16, 17 at its side edges, and the waveguide core 14 includes opposite sidewalls 18, 19 at its side edges. The section 20 of the waveguide core 14 includes indentations or notches in the sidewalls 18, 19, and the section 22 of the edge coupler 10 is tapered.
  • In alternative embodiments, the edge coupler 10 may have a different construction. In alternative embodiments, the edge coupler 10 may be replaced by a different type of optical component, such as a ribbed waveguide core, a tapered waveguide core, a straight waveguide core, etc.
  • The edge coupler 10 may be positioned over a dielectric layer 24. In an embodiment, the dielectric layer 24 may be comprised of silicon dioxide. In an embodiment, the dielectric layer 24 may be a buried oxide layer of a silicon-on-insulator substrate, and the silicon-on-insulator substrate may further include a substrate 26 comprised of a semiconductor material (e.g., single-crystal silicon). The segments 12 and waveguide core 14 may be comprised of a semiconductor material, such as single-crystal silicon. In an embodiment, the segments 12 and waveguide core 14 may be concurrently formed by patterning a single-crystal silicon device layer of a silicon-on-insulator substrate with lithography and etching processes. In an embodiment, the segments 12 and the waveguide core 14 may be patterned from the device layer by lithography and etching processes without etching fully through the device layer to form a connected slab layer that is thinner than the segments 12 and waveguide core 14.
  • In alternative embodiments, the edge coupler 10 may be comprised of a different material. In an embodiment, the segments 12 and waveguide core 14 may be comprised of a dielectric material, such as silicon nitride. The segments 12 and waveguide core 14 may be formed by depositing a layer of the constituent material, and patterning the deposited layer with lithography and etching processes.
  • With reference to FIGS. 3, 4, 4A, 4B in which like reference numerals refer to like features in FIGS. 1, 2, 2A, 2B and at a subsequent fabrication stage, a dielectric layer 25 may be deposited over the edge coupler 10 and dielectric layer 24. The dielectric layer 25 may be comprised of a dielectric material, such as silicon dioxide, that is deposited by chemical vapor deposition and planarized by chemical-mechanical polishing.
  • The dielectric layers 24, 25 are patterned with lithography and etching processes to define openings 28, 29 that penetrate fully through the dielectric layers 24, 25 to the substrate 26. The lithography process may entail forming an etch mask that includes a layer of photoresist applied by a spin coating process, pre-baked, exposed to light projected through a photomask, baked after exposure, and developed with a chemical developer to form respective openings over the intended locations for the openings 28, 29. The etching process may be an anisotropic etching process, such as a reactive ion etching process, and the etch mask may be stripped by, for example, plasma ashing after forming the openings 28, 29.
  • The openings 28, 29 may be elongate or slotted in shape with a length that is significantly larger than their width, and may be arranged with a given pitch in parallel rows of a rectangular array that is symmetrically positioned relative to the longitudinal axis 13 of the edge coupler 10. The openings 28 may be positioned in the dielectric layer 24 adjacent to the sidewall 16 of the sections 20, 22 of the waveguide core 14 and the sidewalls 18 of the segments 12. The openings 29 may be positioned in the dielectric layer 24 adjacent to the sidewall 17 of the sections 20, 22 of the waveguide core 14 and the sidewalls 19 of the segments 12. In a direction transverse to the longitudinal axis 13, the openings 28 and the openings 29 are separated by a spacing S. The openings 28, 29 define pilot holes extending through the dielectric layer 24 to the substrate 26 for performing a subsequent isotropic etching process to etch the substrate 26. Portions of the dielectric layer 24 are positioned as bridges between adjacent pairs of the openings 28 and as bridges between adjacent pairs of the openings 29 in order to sustain mechanical support following the performance of the subsequent isotropic etching process removing the substrate 26 beneath the dielectric layer 24.
  • The openings 28, 29 may have a uniform pitch to define a periodic arrangement. In alternative embodiments, the pitch of the openings 28, 29 may be apodized (i.e., non-uniform) to define a non-periodic arrangement. In an embodiment, the openings 28, 29 may have a rectangular patterned shape. In alternative embodiments, the openings 28, 29 may have a different patterned shape, such as an oval shape or a trapezoidal shape. The openings 28, 29 may have a major axis (i.e., length) that is aligned with the longitudinal axis 13 of the edge coupler 10 or, alternatively, the major axis of the openings 28, 29 may be angled or tilted relative to, or even aligned perpendicular to, the longitudinal axis 13 of the edge coupler 10. In alternative embodiments, multiple adjacent rows of openings 28 and/or multiple adjacent rows of openings 29 may be formed.
  • With reference to FIGS. 5, 6, 6A, 6B in which like reference numerals refer to like features in FIGS. 3, 4, 4A, 4B and at a subsequent fabrication stage, a cavity 30 is formed in the substrate 26 using a wet or dry isotropic etching process with the patterned dielectric layer 24 functioning as a hardmask. The openings 28, 29 provide access to the substrate 26 for the isotropic etching process performed to form the cavity 30. The isotropic etching process includes a lateral etching component that deepens the cavity 30 and a vertical etching component that widens the cavity 30. In an embodiment, the cavity 30 may be centered between the row of openings 28 and the row of openings 29. The lengths, widths, and pitches of the openings 28, 29 may be adjusted to adjust the properties of the cavity 30.
  • The cavity 30 is positioned in the substrate 26 beneath the segments 12 and the sections 20, 22 of the waveguide core 14. The edge coupler 10 is positioned on the dielectric layer 24 to overlap with the cavity 30 in the substrate 26. In an embodiment, the edge coupler 10 may be centered over the cavity 30. The cavity 30 includes a chamber 32 and a chamber 34 that is connected to, and merges with, the chamber 32. The chamber 32 is in communication with the openings 28, the chamber 34 is in communication with the openings 29, and the chambers 32, 34 merge during etching due to the lateral etching component. The cavity 30 may have a length L between an end 36 and an end 38 opposite to the end 36, and the cavity 30 may extend over a full length of the edge coupler 10. The cavity 30 has a width W that is greater than a width of the edge coupler 10. The cavity 30 is closed at the opposite ends 36, 38, and portions of the substrate 26 are positioned at the opposite ends 36, 38 as respective longitudinal boundaries. The isotropic etching process may be controlled such that neither of the ends 36, 38 is opened by, for example, intersecting an edge of the substrate 26.
  • The cavity 30 is collectively surrounded by the dielectric layer 24 from above and by the substrate 26 from below and laterally, which fully seals the cavity 30 with the exception of the openings 28, 29 that are subsequently sealed. The chamber 32 includes a curved sidewall 33 and the chamber 34 includes a curved sidewall 35 that intersects the sidewall 33 to define a ridge 40 as a cusp. In an embodiment in which the openings 28 and the openings 29 are symmetrically positioned relative to the edge coupler 10, the ridge 40 may be positioned directly beneath the segments 12 and the sections 20, 22 of the waveguide core 14.
  • With reference to FIGS. 7, 8, 8A, 8B in which like reference numerals refer to like features in FIGS. 5, 6, 6A, 6B and at a subsequent fabrication stage, plugs 42 are formed in the openings 28, 29. The plugs 42 may be formed by depositing a dielectric layer over the edge coupler 10 and dielectric layer 25 by chemical vapor deposition and planarizing the dielectric layer by chemical-mechanical polishing. The plugs 42 may be comprised of a dielectric material, such as silicon dioxide.
  • The plugs 42 fill and occlude at least a portion of each of the openings 28, 29 in the dielectric layers 24, 25 as obstructions blocking the openings 28, 29. After forming the plugs 42, the cavity 30 is fully sealed to define an airgap that may contain atmospheric air at or near atmospheric pressure, may contain another gas at or near atmospheric pressure, or may contain atmospheric air or another gas at a sub-atmospheric pressure (e.g., a partial vacuum). The airgap defined by the sealed cavity 30 may be characterized by a permittivity or dielectric constant of near unity (i.e., vacuum permittivity), which is less than the dielectric constant of a solid dielectric material. The refractive index of the sealed cavity 30, which is proportional to the dielectric constant, is significantly lower than the refractive index of solid dielectric material.
  • The structure including the edge coupler 10 and sealed cavity 30, in any of its embodiments described herein, may be integrated into a photonics chip that includes electronic components and additional optical components. For example, the electronic components may include field-effect transistors that are fabricated by CMOS processing.
  • The edge coupler 10 is undercut the sealed cavity 30 in the substrate 26. During operation, the structure including the edge coupler 10 and sealed cavity 30 may exhibit reduced leakage loss of light from the edge coupler 10 to the substrate 26 because of the low-index open space introduced by the sealed cavity 30 between the edge coupler 10 and the substrate 26. The structure including the edge coupler 10 and sealed cavity 30 may also enhance the thermal isolation of the edge coupler 10 relative to the substrate 26 by eliminating a pathway for thermal conduction from the edge coupler 10 to the substrate 26.
  • With reference to FIGS. 9, 10, 10A, 10B and in accordance with alternative embodiments of the invention, the openings 28 and the openings 29 may be positioned in a tapered array in which the spacing S between adjacent pairs of the openings 28 and the openings 29 in the different rows of the array varies longitudinally from a narrow spacing to a wide spacing. The variation in spacing S may lead to a variation in the shape of the cavity 30 at different locations along a direction between the end 36 and the end 38 and parallel to the longitudinal axis 13 of the edge coupler 10. For example, the shape of the ridge 40 may vary over the length of the cavity 30 at different locations along a direction between the end 36 and the end 38 because of the change in the spacing S. In an embodiment, the variation in the shape of the cavity 30 may be continuous along its length.
  • With reference to FIG. 11 and in accordance with alternative embodiments of the invention, the openings 28 and the openings 29 may be arranged with an offset to provide a staggered arrangement within each row of the array such that the spacing S periodically varies along a direction between the end 36 and the end 38 between a smaller spacing and a larger spacing. The variation in the positions of the openings 28, 29 may lead to a variation in the shape of the cavity 30 (e.g., a change in the shape of the ridge 40) at different locations between the end 36 and the end 38, as generally shown in FIGS. 10A, 10B. The shape change of the cavity 30 may vary locally according to the varying offset.
  • The methods as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. The chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product. The end product can be any product that includes integrated circuit chips, such as computer products having a central processor or smartphones.
  • References herein to terms modified by language of approximation, such as “about”, “approximately”, and “substantially”, are not to be limited to the precise value specified. The language of approximation may correspond to the precision of an instrument used to measure the value and, unless otherwise dependent on the precision of the instrument, may indicate +/−10% of the stated value(s).
  • References herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference. The term “horizontal” as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation. The terms “vertical” and “normal” refer to a direction perpendicular to the horizontal, as just defined. The term “lateral” refers to a direction within the horizontal plane.
  • A feature “connected” or “coupled” to or with another feature may be directly connected or coupled to or with the other feature or, instead, one or more intervening features may be present. A feature may be “directly connected” or “directly coupled” to or with another feature if intervening features are absent. A feature may be “indirectly connected” or “indirectly coupled” to or with another feature if at least one intervening feature is present. A feature “on” or “contacting” another feature may be directly on or in direct contact with the other feature or, instead, one or more intervening features may be present. A feature may be “directly on” or in “direct contact” with another feature if intervening features are absent. A feature may be “indirectly on” or in “indirect contact” with another feature if at least one intervening feature is present. Different features may “overlap” if a feature extends over, and covers a part of, another feature with either direct contact or indirect contact.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (23)

1. A structure comprising:
a substrate including a sealed cavity;
an edge coupler including a waveguide core having a first sidewall and a second sidewall, the edge coupler having a longitudinal axis; and
a dielectric layer between the waveguide core and the sealed cavity, the dielectric layer including a first plurality of plugged openings that extend to the sealed cavity and a second plurality of plugged openings that extend to the sealed cavity, the first plurality of plugged openings positioned adjacent to the first sidewall of the waveguide core, the second plurality of plugged openings positioned adjacent to the second sidewall of the waveguide core, and the first plurality of plugged openings and the second plurality of plugged openings disposed in a tapered array with a spacing between the first plurality of plugged openings and the second plurality of plugged openings that varies with location along the longitudinal axis,
wherein the first plurality of plugged openings and the second plurality of plugged openings have rectangular shapes, the waveguide core is positioned over the substrate and the dielectric layer, the sealed cavity has a shape that varies with location along the longitudinal axis, and the waveguide core overlaps with the sealed cavity in the substrate.
2. (canceled)
3. The structure of claim 1 wherein the dielectric layer and the substrate collectively surround the sealed cavity.
4. The structure of claim 3 wherein the dielectric layer includes a first plurality of plugs that occlude the first plurality of plugged openings and a second plurality of plugs that occlude the second plurality of plugged openings.
5. The structure of claim 1 wherein the sealed cavity includes a first chamber and a second chamber, the sealed cavity has a first end and a second end spaced from the first end along the longitudinal axis, the first chamber has a first sidewall that is curved, the second chamber has a second sidewall that is curved, and the first sidewall of the first chamber and the second sidewall of the second chamber intersect at ridge that extends from the first end to the second end.
6. The structure of claim 5 wherein the edge coupler is centered relative to the first chamber and the second chamber.
7. (canceled)
8. The structure of claim 1 wherein the sealed cavity has a first end and a second end spaced from the first send along the longitudinal axis, and the shape of the sealed cavity varies between the first end and the second end.
9. The structure of claim 1 wherein the dielectric layer a plurality of first plugs that occlude the first plurality of plugged openings and a plurality of second plugs that occlude the second plurality of plugged openings.
10-13. (Canceled)
14. The structure of claim 1 wherein the edge coupler comprises single-crystal silicon.
15. A method comprising:
forming an edge coupler including a waveguide core having a first sidewall and a second sidewall, wherein the edge coupler has a longitudinal axis; and
forming a sealed cavity in a substrate,
wherein a dielectric layer is positioned between the edge coupler and the sealed cavity, the edge coupler is positioned over the substrate and the dielectric layer, the dielectric layer includes a first plurality of plugged openings that extend to the sealed cavity and a second plurality of plugged openings that extend to the sealed cavity, the first plurality of plugged openings are positioned adjacent to the first sidewall of the waveguide core, the second plurality of plugged openings are positioned adjacent to the second sidewall of the waveguide core, the first plurality of plugged openings and the second plurality of plugged openings are disposed in a tapered array with a spacing between the first plurality of plugged openings and the second plurality of plugged openings that varies with location along the longitudinal axis, the first plurality of plugged openings and the second plurality of plugged openings have rectangular shapes, the sealed cavity has a shape that varies with location along the longitudinal axis, and the waveguide core overlaps with the sealed cavity in the substrate.
16. The method of claim 15 wherein the sealed cavity is formed after forming the waveguide core of the edge coupler.
17-21. (Canceled)
22. A structure comprising:
a substrate including a sealed cavity;
an edge coupler including a waveguide core having a first sidewall and a second sidewall, the edge coupler having a longitudinal axis; and
a dielectric layer between the waveguide core and the sealed cavity, the dielectric layer including a first plurality of plugged openings that extend to the sealed cavity and a second plurality of plugged openings that extend to the sealed cavity, the first plurality of plugged openings positioned adjacent to the first sidewall of the waveguide core, the second plurality of plugged openings positioned adjacent to the second sidewall of the waveguide core, and the first plurality of plugged openings arranged with an offset relative to the second plurality of plugged openings to provide a staggered arrangement between the first plurality of plugged openings and the second plurality of plugged openings that varies between a first spacing in a direction transverse to the longitudinal axis and a second spacing in the direction transverse to the longitudinal axis,
wherein the staggered arrangement that periodically varies between the first spacing and the second spacing, the waveguide core is positioned over the substrate and the dielectric layer, the sealed cavity has a shape that varies with location along the longitudinal axis, and the waveguide core overlaps with the sealed cavity in the substrate.
23. (canceled)
24. The structure of claim 22 wherein the dielectric layer and the substrate collectively surround the sealed cavity.
25. The structure of claim 24 wherein the dielectric layer includes a first plurality of plugs that occlude the first plurality of plugged openings and a second plurality of plugs that occlude the second plurality of plugged openings.
26. The structure of claim 22 wherein the sealed cavity includes a first chamber and a second chamber, the sealed cavity has a first end and a second end spaced from the first send along the longitudinal axis, the first chamber has a first sidewall that is curved, the second chamber has a second sidewall that is curved, and the first sidewall of the first chamber and the second sidewall of the second chamber intersect at ridge that extends from the first end to the second end.
27. The structure of claim 22 wherein the sealed cavity has a first end and a second end spaced from the first end along the longitudinal axis, and the shape of the sealed cavity varies between the first end and the second end.
28. The structure of claim 22 wherein the dielectric layer includes a plurality of first plugs that occlude the first plurality of plugged openings and a plurality of second plugs that occlude the second plurality of plugged openings.
29. The structure of claim 22 wherein the edge coupler comprises single-crystal silicon.
30. The structure of claim 22 wherein the first plurality of plugged openings and the second plurality of plugged openings have rectangular shapes.
US17/510,934 2021-10-26 2021-10-26 Optical components undercut by a sealed cavity Active US11650382B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US17/510,934 US11650382B1 (en) 2021-10-26 2021-10-26 Optical components undercut by a sealed cavity
DE102022123288.3A DE102022123288A1 (en) 2021-10-26 2022-09-13 Optical components undercut by sealed cavity
CN202211175587.0A CN116027484A (en) 2021-10-26 2022-09-26 Optical assembly undercut by hermetic cavity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/510,934 US11650382B1 (en) 2021-10-26 2021-10-26 Optical components undercut by a sealed cavity

Publications (2)

Publication Number Publication Date
US20230128786A1 true US20230128786A1 (en) 2023-04-27
US11650382B1 US11650382B1 (en) 2023-05-16

Family

ID=85796069

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/510,934 Active US11650382B1 (en) 2021-10-26 2021-10-26 Optical components undercut by a sealed cavity

Country Status (3)

Country Link
US (1) US11650382B1 (en)
CN (1) CN116027484A (en)
DE (1) DE102022123288A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230273369A1 (en) * 2022-02-25 2023-08-31 Globalfoundries U.S. Inc. Photonics structures having a locally-thickened dielectric layer

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5232866A (en) * 1991-10-23 1993-08-03 International Business Machines Corporation Isolated films using an air dielectric
US5703895A (en) * 1994-05-02 1997-12-30 France Telecom Opto-electronic semiconductor device including an integrated mode transformer
US20010000914A1 (en) * 1998-05-08 2001-05-10 Lg Semicon Co., Ltd. Semiconductor device and method for fabricating the same
US6293688B1 (en) * 1999-11-12 2001-09-25 Sparkolor Corporation Tapered optical waveguide coupler
US20040101020A1 (en) * 2002-11-26 2004-05-27 Photodigm, Inc. Packaging and passive alignment of light source to single mode fiber using microlens and precision ferrule
US20040129998A1 (en) * 2002-09-19 2004-07-08 Kazumi Inoh Semiconductor device with a cavity therein and a method of manufacturing the same
US6955988B2 (en) * 2003-12-04 2005-10-18 Analog Devices, Inc. Method of forming a cavity and SOI in a semiconductor substrate
US20090245728A1 (en) * 2003-12-29 2009-10-01 Matteo Cherchi Optical coupling device
US20110117747A1 (en) * 2009-11-18 2011-05-19 National Chip Implementation Center National Applied Research Laboratories Method of fabricating single chip for integrating field-effect transistor into mems structure
US20110150386A1 (en) * 2009-12-17 2011-06-23 Alcatel-Lucent Usa Inc. Photonic integrated circuit having a waveguide-grating coupler
US8722508B2 (en) * 2010-08-10 2014-05-13 International Business Machines Corporation Low harmonic RF switch in SOI
US20150293299A1 (en) * 2014-04-11 2015-10-15 Futurewei Technologies, Inc. Suspended Ridge Oxide Waveguide
US9453969B2 (en) * 2014-04-29 2016-09-27 Corning Optical Communications LLC Grating-coupler assembly with small mode-field diameter for photonic-integrated-circuit systems
US20160315452A1 (en) * 2013-10-25 2016-10-27 Forelux Inc. Grating based optical transmitter
US20170017050A1 (en) * 2015-07-15 2017-01-19 Lumentum Operations Llc Optical transmitter assembly for vertical coupling
US20190187373A1 (en) * 2017-12-18 2019-06-20 Roshmere, Inc. Hybrid fiber integrated soi/iii-v module
US10571633B1 (en) * 2016-12-23 2020-02-25 Acacia Communications, Inc. Suspended cantilever waveguide
US10784147B2 (en) * 2017-07-20 2020-09-22 Infineon Technologies Ag Method for producing a buried cavity structure
US10816726B1 (en) * 2019-08-23 2020-10-27 Globalfoundries Inc. Edge couplers for photonics applications
US10989876B1 (en) * 2019-12-23 2021-04-27 Globalfoundries U.S. Inc. Optical fiber coupler having hybrid tapered waveguide segments and metamaterial segments
US20210405298A1 (en) * 2020-06-29 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature separation structure

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9000522B2 (en) 2013-01-09 2015-04-07 International Business Machines Corporation FinFET with dielectric isolation by silicon-on-nothing and method of fabrication
US10795188B2 (en) 2016-10-07 2020-10-06 California Institute Of Technology Thermally enhanced fast optical phase shifter

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5232866A (en) * 1991-10-23 1993-08-03 International Business Machines Corporation Isolated films using an air dielectric
US5703895A (en) * 1994-05-02 1997-12-30 France Telecom Opto-electronic semiconductor device including an integrated mode transformer
US20010000914A1 (en) * 1998-05-08 2001-05-10 Lg Semicon Co., Ltd. Semiconductor device and method for fabricating the same
US6293688B1 (en) * 1999-11-12 2001-09-25 Sparkolor Corporation Tapered optical waveguide coupler
US20040129998A1 (en) * 2002-09-19 2004-07-08 Kazumi Inoh Semiconductor device with a cavity therein and a method of manufacturing the same
US20040101020A1 (en) * 2002-11-26 2004-05-27 Photodigm, Inc. Packaging and passive alignment of light source to single mode fiber using microlens and precision ferrule
US6955988B2 (en) * 2003-12-04 2005-10-18 Analog Devices, Inc. Method of forming a cavity and SOI in a semiconductor substrate
US20090245728A1 (en) * 2003-12-29 2009-10-01 Matteo Cherchi Optical coupling device
US20110117747A1 (en) * 2009-11-18 2011-05-19 National Chip Implementation Center National Applied Research Laboratories Method of fabricating single chip for integrating field-effect transistor into mems structure
US20110150386A1 (en) * 2009-12-17 2011-06-23 Alcatel-Lucent Usa Inc. Photonic integrated circuit having a waveguide-grating coupler
US8722508B2 (en) * 2010-08-10 2014-05-13 International Business Machines Corporation Low harmonic RF switch in SOI
US20160315452A1 (en) * 2013-10-25 2016-10-27 Forelux Inc. Grating based optical transmitter
US20150293299A1 (en) * 2014-04-11 2015-10-15 Futurewei Technologies, Inc. Suspended Ridge Oxide Waveguide
US9453969B2 (en) * 2014-04-29 2016-09-27 Corning Optical Communications LLC Grating-coupler assembly with small mode-field diameter for photonic-integrated-circuit systems
US20170017050A1 (en) * 2015-07-15 2017-01-19 Lumentum Operations Llc Optical transmitter assembly for vertical coupling
US10571633B1 (en) * 2016-12-23 2020-02-25 Acacia Communications, Inc. Suspended cantilever waveguide
US10784147B2 (en) * 2017-07-20 2020-09-22 Infineon Technologies Ag Method for producing a buried cavity structure
US20190187373A1 (en) * 2017-12-18 2019-06-20 Roshmere, Inc. Hybrid fiber integrated soi/iii-v module
US10816726B1 (en) * 2019-08-23 2020-10-27 Globalfoundries Inc. Edge couplers for photonics applications
US10989876B1 (en) * 2019-12-23 2021-04-27 Globalfoundries U.S. Inc. Optical fiber coupler having hybrid tapered waveguide segments and metamaterial segments
US20210405298A1 (en) * 2020-06-29 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature separation structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230273369A1 (en) * 2022-02-25 2023-08-31 Globalfoundries U.S. Inc. Photonics structures having a locally-thickened dielectric layer
US11803009B2 (en) * 2022-02-25 2023-10-31 Globalfoundries U.S. Inc. Photonics structures having a locally-thickened dielectric layer

Also Published As

Publication number Publication date
CN116027484A (en) 2023-04-28
DE102022123288A1 (en) 2023-04-27
US11650382B1 (en) 2023-05-16

Similar Documents

Publication Publication Date Title
US10816726B1 (en) Edge couplers for photonics applications
US11531164B2 (en) Hybrid edge couplers with layers in multiple levels
US11493686B2 (en) Optical components with power-handling assistance
US10451802B2 (en) Junction region between two waveguides and associated method of production
US11650382B1 (en) Optical components undercut by a sealed cavity
US20210199887A1 (en) Polarizers with an absorber
US11536903B1 (en) Hybrid edge couplers with stacked inverse tapers
US11860414B2 (en) Edge couplers including a grooved membrane
US11467341B2 (en) Waveguide crossings including a segmented waveguide section
US11768337B2 (en) Couplers including a waveguide core with integrated airgaps
US11803010B2 (en) Optical couplers with diagonal light transfer
US11803016B2 (en) Edge couplers including a metamaterial layer
US20230280549A1 (en) Metamaterial layers for use with optical components
US11808996B1 (en) Waveguides and edge couplers with multiple-thickness waveguide cores
US11808995B2 (en) Edge couplers with non-linear tapers
US20240085626A1 (en) Polarization rotators with overlapping waveguide cores
US20240103221A1 (en) Optical couplers for transitioning between a single-layer waveguide and a multiple-layer waveguide
US20230367067A1 (en) Back-end-of-line edge couplers with a tapered grating
US20230305240A1 (en) Edge couplers with consecutively-arranged tapers
US11536902B1 (en) Edge couplers with metamaterial rib features
US11886021B2 (en) Slotted waveguides including a metamaterial structure
EP4220254A1 (en) Edge couplers integrated with dual ring resonators
US11803009B2 (en) Photonics structures having a locally-thickened dielectric layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SPORER, RYAN;BIAN, YUSHENG;HIROKAWA, TAKAKO;REEL/FRAME:057917/0543

Effective date: 20211026

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE