US20230099767A1 - Vertical field-effect transistor with wrap-around contact structure - Google Patents

Vertical field-effect transistor with wrap-around contact structure Download PDF

Info

Publication number
US20230099767A1
US20230099767A1 US17/480,717 US202117480717A US2023099767A1 US 20230099767 A1 US20230099767 A1 US 20230099767A1 US 202117480717 A US202117480717 A US 202117480717A US 2023099767 A1 US2023099767 A1 US 2023099767A1
Authority
US
United States
Prior art keywords
fins
source
drain regions
effect transistor
gate structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/480,717
Inventor
Kangguo Cheng
Shogo Mochizuki
Juntao Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US17/480,717 priority Critical patent/US20230099767A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LI, JUNTAO, CHENG, KANGGUO, MOCHIZUKI, SHOGO
Publication of US20230099767A1 publication Critical patent/US20230099767A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • This disclosure relates generally to semiconductor fabrication techniques and, in particular, to structures and methods for fabricating vertical field-effect transistor devices.
  • Fin field-effect transistor (FinFET) devices include a transistor architecture that uses raised source-to-drain channel regions, referred to as fins.
  • Known FinFET devices include fins with source/drain regions on lateral sides of the fins, so that current flows in a horizontal direction (eg., parallel to a substrate) between source/drain regions at opposite ends of the fins in the horizontal direction.
  • a horizontal direction eg., parallel to a substrate
  • MOL middle of the line
  • VFETs Vertical field-effect transistors
  • CMOS complementary metal oxide semiconductor
  • VFET devices include fin channels with source/drain regions at ends of the fin channels on top and bottom sides of the fins. Current flows through the fin channels in a vertical direction (e.g., perpendicular to a substrate), for example, from a bottom source/drain region to a top source/drain region.
  • Vertical transport architecture devices are designed to address the limitations of horizontal device architectures in terms of, for example, density, performance, power consumption, and integration by, for example, decoupling gate length from the contact gate pitch, providing a FinFET-equivalent density at a larger contacted poly pitch (CPP), and providing lower MOL resistance.
  • a vertical field-effect transistor device comprises a substrate which comprises a semiconductor material.
  • the vertical field-effect transistor device further comprises a set of fins formed from the semiconductor material and extending vertically with respect to the substrate.
  • the vertical field-effect transistor device further comprises gate structures disposed on the substrate and on a portion of sidewalls of the set of fins.
  • the vertical field-effect transistor device further comprises spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins.
  • the vertical field-effect transistor device further comprises source/drain regions disposed over top portions of the set of fins. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin.
  • the vertical field-effect transistor device further comprises a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
  • an integrated circuit comprises a plurality of semiconductor devices, wherein at least one of the plurality of semiconductor devices comprises a vertical field-effect transistor device.
  • the vertical field-effect transistor device comprises a substrate which comprises a semiconductor material.
  • the vertical field-effect transistor device further comprises a set of fins formed from the semiconductor material and extending vertically with respect to the substrate.
  • the vertical field-effect transistor device further comprises gate structures disposed on the substrate and on a portion of sidewalls of the set of fins.
  • the vertical field-effect transistor device further comprises spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins.
  • the vertical field-effect transistor device further comprises source/drain regions disposed over top portions of the set of fins.
  • a portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin.
  • the vertical field-effect transistor device further comprises a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
  • a method for fabricating a vertical field-effect transistor device comprises patterning a substrate comprising a semiconductor material.
  • the method further comprises forming a set of fins comprising the semiconductor material and extending vertically with respect to the substrate.
  • the method further comprises forming gate structures on the substrate and on a portion of sidewalls of the set of fins.
  • the method further comprises forming spacers on the gate structures and on a remaining portion of the sidewalls of the set of fins.
  • the method further comprises forming source/drain regions over top portions of the set of fins. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin.
  • the method further comprises forming a metal liner adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
  • FIG. 1 is a cross-sectional view of a semiconductor structure at a first-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 2 is a cross-sectional view illustrating the semiconductor structure at a second-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 3 is a cross-sectional view illustrating the semiconductor structure at a third-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 4 is a cross-sectional view illustrating the semiconductor structure at a fourth-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 5 is a cross-sectional view illustrating the semiconductor structure at a fifth-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 6 is a cross-sectional view illustrating the semiconductor structure at a sixth-intermediate fabrication stage, according to an illustrative embodiment.
  • This disclosure relates generally to transistors, and more particularly to vertical fin-shaped field effect transistors and methods for their fabrication. Exemplary embodiments will now be discussed in further detail with regard to semiconductor devices and methods of manufacturing same and, in particular, to forming a wrap-around-contact for top source/drain regions in VFET devices for improving the top contact to gate margin as well as reducing contact resistance.
  • VFETs are a promising option for technology scaling for 5 nm and beyond including fabricating complementary metal-oxide-semiconductor (CMOS) devices.
  • CMOS complementary metal-oxide-semiconductor
  • process challenges for VFETs during the top source/drain contact (CA) formation include potential CA to metal gate shorts due to over etching of CA, source/drain epitaxial erosion during reactive ion etching of the CA resulting in a smaller size of the source/drain, and a higher contact resistance due to a smaller contact area.
  • CA top source/drain contact
  • contact resistance is of a concern as transistor device scaling continues beyond the 5 nm technology node.
  • the term contact resistance is the contribution to the total resistance of a material in which total resistance comes from the electrical leads and connections, as opposed to the intrinsic resistance that is an inherent property independent of the measurement method.
  • illustrative non-limiting embodiments described herein correspond to VFETs with a top source/drain having a sacrificial layer formed thereon.
  • the sacrificial layer advantageously serves as a placeholder to be removed during processing to form a wrap-around contact on the top source/drain to reduce contact resistance; and creates an overhang to prevent top contact to metal gate shorts thereby improving the process margin between the top contact to metal gate.
  • Suitable hardware and systems for implementing illustrative embodiments may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell and smart phones), solid-state media storage devices, functional circuitry, etc.
  • portable communications devices e.g., cell and smart phones
  • solid-state media storage devices e.g., solid-state media storage devices
  • functional circuitry e.g., solid-state media storage devices
  • Systems and hardware incorporating the semiconductor devices are contemplated embodiments. Given the teachings of illustrative embodiments provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of embodiments described herein.
  • “height” refers to a vertical size of an element (e.g., a layer, trench, hole, opening, etc.) in the cross-sectional views measured from a bottom surface to a top surface of the element, and/or measured with respect to a surface on which the element is located.
  • a “depth” refers to a vertical size of an element (eg., a layer, trench, hole, opening, etc.) in the cross-sectional views measured from a top surface to a bottom surface of the element. Terms such as “thick”, “thickness”, “thin” or derivatives thereof may be used in place of “height” where indicated.
  • width or “length” refers to a size of an element (e.g., a layer, trench, hole, opening, etc.) in the drawings measured from a side surface to an opposite surface of the element. Terms such as “thick”, “thickness”, “thin” or derivatives thereof may be used in place of “width” or “length” where indicated.
  • terms such as “on”, “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element is present on a second element, wherein intervening elements may be present between the first element and the second element.
  • the term “directly” used in connection with the terms “on”, “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” or the term “direct contact” mean that a first element and a second element are connected without any intervening elements, such as, for example, intermediary conducting, insulating or semiconductor layers, present between the first element and the second element.
  • FIGS. 1 - 6 illustrate various processes for fabricating VFETs for improving the top contact to gate margin as well as reducing contact resistance.
  • the same reference numeral (100) is used to denote the semiconductor structure through the various intermediate fabrication stages illustrated in FIGS. 1 through 6 .
  • the semiconductor structure described herein can also be considered to be a semiconductor device and/or an integrated circuit, or some part thereof.
  • some fabrication steps leading up to the production of the semiconductor structures as illustrated in FIGS. 1 - 6 are omitted.
  • one or more well-known processing steps which are not illustrated but are well-known to those of ordinary skill in the art have not been included in the figures. This is not intended to be interpreted as a limitation of any particular embodiment, or illustration, or scope of the claims.
  • FIG. 1 is a cross-sectional view of a semiconductor structure at the first-intermediate fabrication stage.
  • Semiconductor structure 100 includes a substrate 102 and a set of fins 104 . Although three vertical fins for the set of fins 104 are shown, the number of fins should not be considered limiting. Thus, a “set of fins” as used herein can be considered as including one or more fins.
  • the fins 104 may be formed using, for example, an anisotropic etch such as reactive ion etching (RIE) that selectively removes material from the semiconductor substrate 102 in regions that are not protected by fin masks (not shown). It should be understood that the fin masks may be formed from any appropriate masking material, but silicon nitride is specifically contemplated.
  • RIE reactive ion etching
  • the term “selective” in reference to a material removal process denotes that the rate of material removal for a first material is greater than the rate of removal for at least another material of the structure
  • the semiconductor substrate 102 may be a bulk-semiconductor substrate.
  • the bulk-semiconductor substrate may be a silicon-containing material.
  • silicon-containing materials suitable for the bulk-semiconductor substrate include, but are not limited to, silicon, silicon germanium, silicon germanium carbide, silicon carbide, polysilicon, epitaxial silicon, amorphous silicon, and multi-layers thereof.
  • silicon is the predominantly used semiconductor material in wafer fabrication, alternative semiconductor materials can be employed, such as, but not limited to, germanium, gallium arsenide, gallium nitride, cadmium telluride, and zinc selenide.
  • the semiconductor substrate 102 may also be a semiconductor on insulator (SOI) substrate.
  • SOI semiconductor on insulator
  • RIE is a form of plasma etching in which during etching the surface to be etched is placed on a radio-frequency powered electrode. Moreover, during RIE the surface to be etched takes on a potential that accelerates the etching species extracted from plasma toward the surface, in which the chemical etching reaction is taking place in the direction normal to the surface.
  • anisotropic etching that can be used at this point in the present embodiment include ion beam etching, plasma etching or laser ablation.
  • the fin structures 104 can be formed by spacer imaging transfer.
  • Semiconductor structure 100 further includes bottom source/drain regions 106 formed in substrate 102 between adjacent fins 104 .
  • One or more trenches are first formed in the substrate 102 between fins 104 , by for example, a wet or dry etch process.
  • Bottom source/drain regions 106 are then formed in the trenches by, for example, epitaxial growth processes.
  • the epitaxially grown bottom source/drain regions 106 can be in-situ doped, meaning dopants are incorporated into the epitaxy film during the epitaxy process.
  • dopants may include, for example, an n-type dopant selected from a group of phosphorus (P), arsenic (As) and antimony (Sb), and a p-type dopant selected from a group of boron (B), gallium (Ga), indium (In), and thallium (T1) at various concentrations.
  • P phosphorus
  • As arsenic
  • Sb antimony
  • B boron
  • B gallium
  • In indium
  • T1 thallium
  • a dopant concentration range may be 1 ⁇ 10 18 /cm 3 to 1 ⁇ 10 21 /cm 3 .
  • the bottom source/drain region 106 can be boron doped SiGe for a p-type field-effect transistor (PFET) or phosphorous doped silicon for an n-type field-effect transistor (NFET).
  • PFET p-type field-effect transistor
  • NFET n-type field-effect transistor
  • epitaxial growth and/or deposition and “epitaxially formed and/or grown” refer to the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface.
  • the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed.
  • an epitaxial semiconductor material deposited on a ⁇ 100 ⁇ crystal surface will take on a ⁇ 100 ⁇ orientation.
  • epitaxial growth and/or deposition processes are selective to forming on a semiconductor surface, and do not deposit material on dielectric surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Examples of various epitaxial growth processes include, for example, rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE).
  • RTCVD rapid thermal chemical vapor deposition
  • LEPD low-energy plasma deposition
  • UHVCVD ultra-high vacuum chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • MBE molecular beam epitaxy
  • the temperature for an epitaxial deposition process can range from 500° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • a gas source for the deposition of epitaxial semiconductor material includes a silicon containing gas source, a germanium containing gas source, or a combination thereof.
  • a silicon gas source including, but not necessarily limited to, silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, and combinations thereof.
  • An epitaxial germanium layer can be deposited from a germanium gas source including, but not necessarily limited to, germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof. While an epitaxial silicon germanium alloy layer can be formed utilizing a combination of such gas sources. Carrier gases like hydrogen, nitrogen, helium and argon can be used.
  • Bottom spacer layer 108 formed on the bottom source/drain regions 106 .
  • Suitable material for bottom spacer layer 108 includes, for example, silicon boron nitride (SiBN), siliconborocarbonitride (SiBCN), silicon oxycarbonitride (SiOCN), SiN and SiO x .
  • Bottom spacer layer 108 can be deposited using, for example, directional deposition techniques, such as a high density plasma (HDP) deposition and gas cluster ion beam (GCIB) deposition. The directional deposition deposits the spacer material preferably on the exposed horizontal surfaces, but not on the lateral sidewalls.
  • HDP high density plasma
  • GCIB gas cluster ion beam
  • the spacer material can be deposited using, conformal deposition techniques, and removed from vertical surfaces using directional removal techniques, such as, for example, RIE.
  • Any spacer material formed on the fins 104 can be removed using a planarization process, such as, for example, chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • Semiconductor structure 100 further includes a metal gate stack, i.e., gate structures, formed on bottom spacer layer 108 and on a portion of fins 104 .
  • gate dielectric layer 110 and a work function metal layer 112 are deposited to form the gate structures.
  • Gate dielectric layer 110 is deposited on bottom spacer layer 108 and on a portion of fins 104 employing, for example, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), radio-frequency CVD (RFCVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or molecular layer deposition (MLD).
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • RFCVD radio-frequency CVD
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • MLD molecular layer deposition
  • the gate dielectric layer 110 includes, for example, a high-K material such as HfO 2 (hafnium oxide), ZrO 2 (zirconium dioxide), hafnium zirconium oxide Al 2 O 3 (aluminum oxide), and Ta 2 O 5 (tantalum pentoxide).
  • a high-K material such as HfO 2 (hafnium oxide), ZrO 2 (zirconium dioxide), hafnium zirconium oxide Al 2 O 3 (aluminum oxide), and Ta 2 O 5 (tantalum pentoxide).
  • high-k generally refers to a dielectric material having a dielectric constant (k) value greater than that of silicon oxide.
  • the high-k material has a dielectric constant greater than about 5, or greater than about 10.
  • Work function metal layer 112 is deposited on gate dielectric layer 110 .
  • the work function metal layer 112 includes one or more metals having a function suitable to tune the work function of NFETs or PFETs.
  • suitable work function metals include titanium nitride (TiN), titanium aluminum nitride (TiAlN), hafnium nitride (HfN), hafnium silicon nitride (HfSiN), tantalum nitride (TaN), tantalum silicon nitride (TaSiN), tungsten nitride (WN), molybdenum nitride (MoN), niobium nitride (NbN); a carbide, including but not limited to titanium carbide (TiC) titanium aluminum carbide (TiAlC), tantalum carbide (TaC), hafnium carbide (HfC), and combinations thereof.
  • an NFET uses one type of work function metal and a PFET uses another type of work function metal.
  • the work function metal layer 112 can be TiN for a PFET, and the work function metal layer 112 can be Al-doped TiN or TaN, etc, for an NFET.
  • a conductive material or a combination of multiple conductive materials can serve as both gate conductor and work function metal.
  • the gate conductor and work function metal can be formed by any suitable process or any suitable combination of multiple processes, including but not limited to, ALD, CVD, PVD, sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, chemical solution deposition, etc.
  • Semiconductor structure 100 further includes a top spacer 114 formed on the work function metal layer 112 and on the remaining portion of the sidewalls of fins 104 .
  • the top spacer 114 includes, for example, silicon nitride (SiN), silicon boron nitride (SiBN), siliconborocarbonitride (SiBCN), or silicon oxycarbonitride (SiOCN).
  • the top spacer 114 is conformally deposited using, for example, deposition techniques including, but not limited to, CVD, PECVD, RFCVD, PVD, ALD, MLD, MBD, PLD, LSMCD, sputtering, and/or plating. After deposition of top spacer 114 , vias are formed between adjacent spacers 114 and the work function metal layer 112 .
  • Semiconductor structure 100 further includes a dielectric fill layer 116 disposed in the vias and above a top surface of top spacer 114 .
  • the dielectric fill layer 116 includes, for example, oxides such as silicon dioxide, flowable oxide, spin-on-glass, etc.
  • Dielectric fill layer 116 can be deposited using conventional deposition techniques such as CVD, followed by a planarization process, such as, for example, CMP.
  • a liner layer (not shown) is first deposited in the vias.
  • the liner layer can be a nitride, such as, e.g., SiN or SiBCN.
  • FIG. 2 illustrates semiconductor structure 100 at a second-intermediate fabrication stage.
  • a top source/drain region 118 followed by sacrificial layer 120 are formed.
  • the top source/drain region 118 is epitaxially grown from the upper portions of the exposed fins 104 using epitaxial growth processes as described above
  • the epitaxially grown top source/drain region 118 can be formed in different epitaxial growth processes from each other and can be in-situ doped to be either a PFET, or NFET.
  • a portion of the source/drain regions is configured to have a lateral width less than a width, i.e., spacing, between adjacent gate structures on the respective fin.
  • top source/drain region 118 is formed in a diamond shaped configuration around the exposed upper portions of fins 104 .
  • a sacrificial layer 120 is epitaxially grown in an epitaxial growth process from top source/drain region 118 .
  • Suitable material for sacrificial layer 120 includes, for example germanium or silicon germanium.
  • sacrificial layer 120 can be a silicon germanium layer having a relatively high content of germanium.
  • a silicon germanium layer includes Si in an amount of 20% to 40% and Ge in an amount of 80% to 60%.
  • a silicon germanium layer includes Si in an amount of 25% and Ge in an amount of 75%.
  • Sacrificial layer 120 is formed of a suitable thickness to widen the lateral size of the entire source/drain region 118 by “d "on each side to prevent contact shorting to metal gate when conducting a contact trench etching step as discussed hereinbelow.
  • the thickness “d” of sacrificial layer 120 can range from about 3 nanometers (nm) to about 15 nm.
  • FIG. 3 illustrates semiconductor structure 100 at a third-intermediate fabrication stage.
  • an interlevel dielectric (ILD) layer 122 is deposited on semiconductor structure 100 and over top source/drain regions 118 and sacrificial layer 120 .
  • a first dielectric liner layer (not shown) such as, for example, a SiN layer, can be deposited on structure 100 prior to depositing ILD layer 122 .
  • the ILD layer 122 includes, for example, any suitable dielectric material such as silicon oxide, silicon nitride, hydrogenated silicon carbon oxide, silicon based low-k dielectrics, flowable oxides, porous dielectrics, or organic dielectrics including porous organic dielectrics.
  • Non-limiting examples of suitable low-k dielectric materials include a spin-on-glass, a flowable oxide, a high density plasma oxide, borophosphosilicate glass (BPSG), or any combination thereof.
  • the ILD layer 122 may be formed using any suitable deposition techniques including CVD, ALD, PVD, PECVD, chemical solution deposition or other like processes. ILD layer 122 is then planarized by, for example, a planarization process such as CMP.
  • hardmask 124 is deposited on ILD layer 122 for patterning a contact trench in ILD layer 122 to form a wrap-around contact. Since a wrap-around contact is being formed, the contact opening does not have to open the entire source/drain region. This also prevents contact tip-to-tip shorts and reduces parasitic capacitance.
  • Hardmask 124 can be deposited by any conventional technique such as, for example, CVD, PVD, ALD, low-pressure CVD (LPCVD), PECVD, semi-atmosphere CVD (SACVD), high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), and metalorganic CVD (MOCVD).
  • Suitable material for hardmask 124 includes, for example, Si 3 N 4 , SiBCN, SiNC, SiN, SiCO, SiO 2 , and SiNOC.
  • FIG. 4 illustrates semiconductor structure 100 at a fourth-intermediate fabrication stage.
  • contact trench 126 is formed in ILD layer 122 by an etching process utilizing the hardmask 124 applied to the ILD layer 122 .
  • the exposed region of ILD layer 122 is removed using a selective etching process that removes the unprotected regions, e.g., a directional etch such as reactive ion etching (RIE).
  • RIE reactive ion etching
  • the etching is selective to remove the material of ILD layer 122 to sacrificial layer 120 on top source/drain region 118 .
  • a respective portion of sacrificial layer 120 will be exposed within the contact trench 126 , while other portions in the ILD layer 122 under hardmask 124 will not be exposed.
  • some portion of sacrificial layer 120 will be removed during the selective etching process.
  • a portion of dielectric fill layer 116 between top spacers 114 will be removed.
  • the top source/drain region 118 is protected by the sacrificial layer 120 during over-etching.
  • FIG. 5 illustrates semiconductor structure 100 at a fifth-intermediate fabrication stage.
  • sacrificial layer 120 is removed, for example, by a conventional selective removal process to expose top source/drain region 118 .
  • the sacrificial layer 120 comprises SiGe and can be selectively removed by an isotropic etch process, for example, a vapor phase hydrochloric acid (HCl) dry etch, or a wet etch process containing a mix of ammonia and hydrogen peroxide.
  • HCl vapor phase hydrochloric acid
  • FIG. 6 illustrates semiconductor structure 100 at a sixth-intermediate fabrication stage.
  • metallization of the top/source drain region 118 takes place.
  • the metallization includes depositing a metal liner 128 to encapsulate or wrap around the top source/drain region 118 forming a wrap-around contact to reduce contact resistance.
  • metal liner 128 is also deposited in the over-etched portion of dielectric fill layer 116 .
  • metal liner 128 can be, e.g., titanium/titanium nitride (Ti/TiN) layers or liners.
  • metal liner 128 can be formed from a suitable metal such as, for example, titanium nitride (TiN), tantalum nitride (TaN), hafnium nitride (HfN), niobium nitride (NbN), tungsten nitride (WN), tungsten carbon nitride (WCN), or combinations thereof.
  • the metal liner 128 can be deposited by, e.g., an ALD, PVD, or CVD process.
  • a metal fill 130 is deposited over conformal metal liner 128 and fills the rest of the contact trench.
  • Suitable metals for metal fill 130 include conductive material such as, for example, tungsten (W), aluminum (Al), copper (Cu), cobalt (Co), nickel (Ni), titanium (Ti), ruthenium (Ru), molybdenum (Mo), or any other suitable conductive material.
  • a barrier layer can be deposited in the trench(es) by ALD, CVD, MOCVD, PECVD, or combinations thereof.
  • the metal fill can be formed by ALD, CVD, PVD, and/or plating
  • the metal fill 130 can be planarized.
  • the planarizing process can include CMP. Other planarization processes can include grinding and polishing.
  • semiconductor structures e.g., a VFET
  • methods discussed herein for fabricating semiconductor structures can be incorporated within semiconductor processing flows for fabricating other types of semiconductor structures and integrated circuits with various analog and digital circuitry or mixed-signal circuitry.
  • integrated circuit dies can be fabricated with various devices such as field-effect transistors, bipolar transistors, metal-oxide-semiconductor transistors, diodes, capacitors, inductors, etc.
  • An integrated circuit in accordance with non-limiting illustrative embodiments can be employed in applications, hardware, and/or electronic systems.
  • Suitable hardware and systems for implementing the non-limiting illustrative embodiments may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating such integrated circuits are considered part of the embodiments described herein. Given the teachings of the non-limiting illustrative embodiments provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of the techniques described herein.

Abstract

A vertical field-effect transistor device includes a substrate comprising a semiconductor material, and a set of fins formed from the semiconductor material and extending vertically with respect to the substrate. The vertical field-effect transistor device further includes gate structures disposed on the substrate and on a portion of sidewalls of the set of fins, spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins, source/drain regions disposed over top portions of the set of fins, and a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin.

Description

    BACKGROUND
  • This disclosure relates generally to semiconductor fabrication techniques and, in particular, to structures and methods for fabricating vertical field-effect transistor devices.
  • Fin field-effect transistor (FinFET) devices include a transistor architecture that uses raised source-to-drain channel regions, referred to as fins. Known FinFET devices include fins with source/drain regions on lateral sides of the fins, so that current flows in a horizontal direction (eg., parallel to a substrate) between source/drain regions at opposite ends of the fins in the horizontal direction. As horizontal devices are scaled down, there is reduced space for metal gate and source/drain contacts, which leads to degraded short-channel control and increased middle of the line (MOL) resistance.
  • Vertical field-effect transistors (VFETs) (also referred to as vertical transport field-effect transistors (VTFETs)) have become viable device options for scaling semiconductor devices (e.g., complementary metal oxide semiconductor (CMOS) devices) to 5 nanometer (nm) node and beyond. VFET devices include fin channels with source/drain regions at ends of the fin channels on top and bottom sides of the fins. Current flows through the fin channels in a vertical direction (e.g., perpendicular to a substrate), for example, from a bottom source/drain region to a top source/drain region. Vertical transport architecture devices are designed to address the limitations of horizontal device architectures in terms of, for example, density, performance, power consumption, and integration by, for example, decoupling gate length from the contact gate pitch, providing a FinFET-equivalent density at a larger contacted poly pitch (CPP), and providing lower MOL resistance.
  • SUMMARY
  • According to an exemplary embodiment, a vertical field-effect transistor device comprises a substrate which comprises a semiconductor material. The vertical field-effect transistor device further comprises a set of fins formed from the semiconductor material and extending vertically with respect to the substrate. The vertical field-effect transistor device further comprises gate structures disposed on the substrate and on a portion of sidewalls of the set of fins. The vertical field-effect transistor device further comprises spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins. The vertical field-effect transistor device further comprises source/drain regions disposed over top portions of the set of fins. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin. The vertical field-effect transistor device further comprises a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
  • According to an exemplary embodiment, an integrated circuit comprises a plurality of semiconductor devices, wherein at least one of the plurality of semiconductor devices comprises a vertical field-effect transistor device. The vertical field-effect transistor device comprises a substrate which comprises a semiconductor material. The vertical field-effect transistor device further comprises a set of fins formed from the semiconductor material and extending vertically with respect to the substrate. The vertical field-effect transistor device further comprises gate structures disposed on the substrate and on a portion of sidewalls of the set of fins. The vertical field-effect transistor device further comprises spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins. The vertical field-effect transistor device further comprises source/drain regions disposed over top portions of the set of fins. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin. The vertical field-effect transistor device further comprises a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
  • According to an exemplary embodiment, a method for fabricating a vertical field-effect transistor device comprises patterning a substrate comprising a semiconductor material. The method further comprises forming a set of fins comprising the semiconductor material and extending vertically with respect to the substrate. The method further comprises forming gate structures on the substrate and on a portion of sidewalls of the set of fins. The method further comprises forming spacers on the gate structures and on a remaining portion of the sidewalls of the set of fins. The method further comprises forming source/drain regions over top portions of the set of fins. A portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin. The method further comprises forming a metal liner adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
  • These and other exemplary embodiments will be described in or become apparent from the following detailed description of exemplary embodiments, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Exemplary embodiments will be described below in more detail, with reference to the accompanying drawings, of which:
  • FIG. 1 is a cross-sectional view of a semiconductor structure at a first-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 2 is a cross-sectional view illustrating the semiconductor structure at a second-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 3 is a cross-sectional view illustrating the semiconductor structure at a third-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 4 is a cross-sectional view illustrating the semiconductor structure at a fourth-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 5 is a cross-sectional view illustrating the semiconductor structure at a fifth-intermediate fabrication stage, according to an illustrative embodiment.
  • FIG. 6 is a cross-sectional view illustrating the semiconductor structure at a sixth-intermediate fabrication stage, according to an illustrative embodiment.
  • DETAILED DESCRIPTION
  • This disclosure relates generally to transistors, and more particularly to vertical fin-shaped field effect transistors and methods for their fabrication. Exemplary embodiments will now be discussed in further detail with regard to semiconductor devices and methods of manufacturing same and, in particular, to forming a wrap-around-contact for top source/drain regions in VFET devices for improving the top contact to gate margin as well as reducing contact resistance.
  • VFETs are a promising option for technology scaling for 5 nm and beyond including fabricating complementary metal-oxide-semiconductor (CMOS) devices. However, process challenges for VFETs during the top source/drain contact (CA) formation include potential CA to metal gate shorts due to over etching of CA, source/drain epitaxial erosion during reactive ion etching of the CA resulting in a smaller size of the source/drain, and a higher contact resistance due to a smaller contact area. There is a need therefore to form a VFET without the above drawbacks.
  • Contact resistance is of a concern as transistor device scaling continues beyond the 5 nm technology node. The term contact resistance is the contribution to the total resistance of a material in which total resistance comes from the electrical leads and connections, as opposed to the intrinsic resistance that is an inherent property independent of the measurement method. Accordingly, illustrative non-limiting embodiments described herein correspond to VFETs with a top source/drain having a sacrificial layer formed thereon. The sacrificial layer advantageously serves as a placeholder to be removed during processing to form a wrap-around contact on the top source/drain to reduce contact resistance; and creates an overhang to prevent top contact to metal gate shorts thereby improving the process margin between the top contact to metal gate.
  • It is to be understood that the various layers and/or regions shown in the accompanying drawings are not drawn to scale, and that one or more layers and/or regions of a type commonly used in, for example, field-effect transistor (FET), FinFET, VFET, CMOS, nanowire FET, nanosheet FETs, metal-oxide-semiconductor field-effect transistor (MOSFET), single electron transistor (SET) and/or other semiconductor devices may not be explicitly shown in a given drawing. This does not imply that the layers and/or regions not explicitly shown are omitted from the actual devices. In addition, certain elements may be left out of particular views for the sake of clarity and/or simplicity when explanations are not necessarily focused on the omitted elements. Moreover, the same or similar reference numbers used throughout the drawings are used to denote the same or similar features, elements, or structures, and thus, a detailed explanation of the same or similar features, elements, or structures will not be repeated for each of the drawings. It is to be understood that the terms “about” or “substantially” as used herein with regard to thicknesses, widths, percentages, ranges, etc., are meant to denote being close or approximate to, but not exactly. For example, the terms “about” or “substantially” as used herein imply that a small margin of error may be present, such as 1% or less than the stated amount.
  • The semiconductor devices and methods for forming same in accordance with embodiments described herein can be employed in applications, hardware, and/or electronic systems. Suitable hardware and systems for implementing illustrative embodiments may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell and smart phones), solid-state media storage devices, functional circuitry, etc Systems and hardware incorporating the semiconductor devices are contemplated embodiments. Given the teachings of illustrative embodiments provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of embodiments described herein.
  • As used herein, “height” refers to a vertical size of an element (e.g., a layer, trench, hole, opening, etc.) in the cross-sectional views measured from a bottom surface to a top surface of the element, and/or measured with respect to a surface on which the element is located. Conversely, a “depth” refers to a vertical size of an element (eg., a layer, trench, hole, opening, etc.) in the cross-sectional views measured from a top surface to a bottom surface of the element. Terms such as “thick”, “thickness”, “thin” or derivatives thereof may be used in place of “height” where indicated.
  • As used herein, “width” or “length” refers to a size of an element (e.g., a layer, trench, hole, opening, etc.) in the drawings measured from a side surface to an opposite surface of the element. Terms such as “thick”, “thickness”, “thin” or derivatives thereof may be used in place of “width” or “length” where indicated.
  • As used herein, terms such as "upper", "lower", "right", "left", "vertical", “horizontal”, "top", "bottom", and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the figures. For example, as used herein, “vertical” refers to a direction perpendicular to the top surface of the substrate in the cross-sectional views, and “horizontal” refers to a direction parallel to the top surface of the substrate in the cross-sectional views.
  • As used herein, unless otherwise specified, terms such as "on", "overlying", "atop", “on top", "positioned on" or "positioned atop" mean that a first element is present on a second element, wherein intervening elements may be present between the first element and the second element As used herein, unless otherwise specified, the term "directly" used in connection with the terms "on", "overlying", "atop", "on top", "positioned on" or "positioned atop" or the term "direct contact" mean that a first element and a second element are connected without any intervening elements, such as, for example, intermediary conducting, insulating or semiconductor layers, present between the first element and the second element.
  • Referring now to the drawings in which like numerals represent the same of similar elements, FIGS. 1-6 illustrate various processes for fabricating VFETs for improving the top contact to gate margin as well as reducing contact resistance. Note that the same reference numeral (100) is used to denote the semiconductor structure through the various intermediate fabrication stages illustrated in FIGS. 1 through 6 . Note also that the semiconductor structure described herein can also be considered to be a semiconductor device and/or an integrated circuit, or some part thereof. For the purpose of clarity, some fabrication steps leading up to the production of the semiconductor structures as illustrated in FIGS. 1-6 are omitted. In other words, one or more well-known processing steps which are not illustrated but are well-known to those of ordinary skill in the art have not been included in the figures. This is not intended to be interpreted as a limitation of any particular embodiment, or illustration, or scope of the claims.
  • FIG. 1 is a cross-sectional view of a semiconductor structure at the first-intermediate fabrication stage. Semiconductor structure 100 includes a substrate 102 and a set of fins 104. Although three vertical fins for the set of fins 104 are shown, the number of fins should not be considered limiting. Thus, a “set of fins” as used herein can be considered as including one or more fins. The fins 104 may be formed using, for example, an anisotropic etch such as reactive ion etching (RIE) that selectively removes material from the semiconductor substrate 102 in regions that are not protected by fin masks (not shown). It should be understood that the fin masks may be formed from any appropriate masking material, but silicon nitride is specifically contemplated. As used herein, the term “selective” in reference to a material removal process denotes that the rate of material removal for a first material is greater than the rate of removal for at least another material of the structure to which the material removal process is being applied.
  • The semiconductor substrate 102 may be a bulk-semiconductor substrate. In one example, the bulk-semiconductor substrate may be a silicon-containing material. Illustrative examples of silicon-containing materials suitable for the bulk-semiconductor substrate include, but are not limited to, silicon, silicon germanium, silicon germanium carbide, silicon carbide, polysilicon, epitaxial silicon, amorphous silicon, and multi-layers thereof. Although silicon is the predominantly used semiconductor material in wafer fabrication, alternative semiconductor materials can be employed, such as, but not limited to, germanium, gallium arsenide, gallium nitride, cadmium telluride, and zinc selenide. In another embodiment, the semiconductor substrate 102 may also be a semiconductor on insulator (SOI) substrate.
  • RIE is a form of plasma etching in which during etching the surface to be etched is placed on a radio-frequency powered electrode. Moreover, during RIE the surface to be etched takes on a potential that accelerates the etching species extracted from plasma toward the surface, in which the chemical etching reaction is taking place in the direction normal to the surface. Other examples of anisotropic etching that can be used at this point in the present embodiment include ion beam etching, plasma etching or laser ablation. Alternatively, the fin structures 104 can be formed by spacer imaging transfer.
  • Semiconductor structure 100 further includes bottom source/drain regions 106 formed in substrate 102 between adjacent fins 104. One or more trenches are first formed in the substrate 102 between fins 104, by for example, a wet or dry etch process. Bottom source/drain regions 106 are then formed in the trenches by, for example, epitaxial growth processes. The epitaxially grown bottom source/drain regions 106 can be in-situ doped, meaning dopants are incorporated into the epitaxy film during the epitaxy process. Other alternative doping techniques can be used, including but not limited to, for example, ion implantation, gas phase doping, plasma doping, plasma immersion ion implantation, cluster doping, infusion doping, liquid phase doping, solid phase doping, etc., and dopants may include, for example, an n-type dopant selected from a group of phosphorus (P), arsenic (As) and antimony (Sb), and a p-type dopant selected from a group of boron (B), gallium (Ga), indium (In), and thallium (T1) at various concentrations. For example, in a non-limiting example, a dopant concentration range may be 1×1018 /cm3 to 1×1021 /cm3. According to an embodiment, the bottom source/drain region 106 can be boron doped SiGe for a p-type field-effect transistor (PFET) or phosphorous doped silicon for an n-type field-effect transistor (NFET). It is to be understood that the term “source/drain region” as used herein means that a given source/drain region can be either a source region or a drain region, depending on the application.
  • Terms such as “epitaxial growth and/or deposition” and “epitaxially formed and/or grown” refer to the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a {100} crystal surface will take on a {100} orientation. In some embodiments, epitaxial growth and/or deposition processes are selective to forming on a semiconductor surface, and do not deposit material on dielectric surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Examples of various epitaxial growth processes include, for example, rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for an epitaxial deposition process can range from 500° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • A number of different sources may be used for the epitaxial growth of the compressively strained layer. In some embodiments, a gas source for the deposition of epitaxial semiconductor material includes a silicon containing gas source, a germanium containing gas source, or a combination thereof. For example, an epitaxial silicon layer may be deposited from a silicon gas source including, but not necessarily limited to, silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, and combinations thereof. An epitaxial germanium layer can be deposited from a germanium gas source including, but not necessarily limited to, germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof. While an epitaxial silicon germanium alloy layer can be formed utilizing a combination of such gas sources. Carrier gases like hydrogen, nitrogen, helium and argon can be used.
  • Semiconductor structure 100 further includes bottom spacer layer 108 formed on the bottom source/drain regions 106. Suitable material for bottom spacer layer 108 includes, for example, silicon boron nitride (SiBN), siliconborocarbonitride (SiBCN), silicon oxycarbonitride (SiOCN), SiN and SiOx. Bottom spacer layer 108 can be deposited using, for example, directional deposition techniques, such as a high density plasma (HDP) deposition and gas cluster ion beam (GCIB) deposition. The directional deposition deposits the spacer material preferably on the exposed horizontal surfaces, but not on the lateral sidewalls. Alternatively, the spacer material can be deposited using, conformal deposition techniques, and removed from vertical surfaces using directional removal techniques, such as, for example, RIE. Any spacer material formed on the fins 104 can be removed using a planarization process, such as, for example, chemical mechanical planarization (CMP).
  • Semiconductor structure 100 further includes a metal gate stack, i.e., gate structures, formed on bottom spacer layer 108 and on a portion of fins 104. In illustrative embodiments, gate dielectric layer 110 and a work function metal layer 112 are deposited to form the gate structures. Gate dielectric layer 110 is deposited on bottom spacer layer 108 and on a portion of fins 104 employing, for example, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), radio-frequency CVD (RFCVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or molecular layer deposition (MLD). The gate dielectric layer 110 includes, for example, a high-K material such as HfO2 (hafnium oxide), ZrO2 (zirconium dioxide), hafnium zirconium oxide Al2O3 (aluminum oxide), and Ta2O5 (tantalum pentoxide). It will be appreciated that “high-k” generally refers to a dielectric material having a dielectric constant (k) value greater than that of silicon oxide. For example, the high-k material has a dielectric constant greater than about 5, or greater than about 10.
  • Work function metal layer 112 is deposited on gate dielectric layer 110. The work function metal layer 112 includes one or more metals having a function suitable to tune the work function of NFETs or PFETs. For example, suitable work function metals include titanium nitride (TiN), titanium aluminum nitride (TiAlN), hafnium nitride (HfN), hafnium silicon nitride (HfSiN), tantalum nitride (TaN), tantalum silicon nitride (TaSiN), tungsten nitride (WN), molybdenum nitride (MoN), niobium nitride (NbN); a carbide, including but not limited to titanium carbide (TiC) titanium aluminum carbide (TiAlC), tantalum carbide (TaC), hafnium carbide (HfC), and combinations thereof. It is appreciated that an NFET uses one type of work function metal and a PFET uses another type of work function metal. In one example, the work function metal layer 112 can be TiN for a PFET, and the work function metal layer 112 can be Al-doped TiN or TaN, etc, for an NFET. In some embodiments, a conductive material or a combination of multiple conductive materials can serve as both gate conductor and work function metal. The gate conductor and work function metal can be formed by any suitable process or any suitable combination of multiple processes, including but not limited to, ALD, CVD, PVD, sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, chemical solution deposition, etc.
  • Semiconductor structure 100 further includes a top spacer 114 formed on the work function metal layer 112 and on the remaining portion of the sidewalls of fins 104. The top spacer 114 includes, for example, silicon nitride (SiN), silicon boron nitride (SiBN), siliconborocarbonitride (SiBCN), or silicon oxycarbonitride (SiOCN). According to an embodiment, the top spacer 114 is conformally deposited using, for example, deposition techniques including, but not limited to, CVD, PECVD, RFCVD, PVD, ALD, MLD, MBD, PLD, LSMCD, sputtering, and/or plating. After deposition of top spacer 114, vias are formed between adjacent spacers 114 and the work function metal layer 112.
  • Semiconductor structure 100 further includes a dielectric fill layer 116 disposed in the vias and above a top surface of top spacer 114. The dielectric fill layer 116 includes, for example, oxides such as silicon dioxide, flowable oxide, spin-on-glass, etc. Dielectric fill layer 116 can be deposited using conventional deposition techniques such as CVD, followed by a planarization process, such as, for example, CMP. In some embodiments, a liner layer (not shown) is first deposited in the vias. The liner layer can be a nitride, such as, e.g., SiN or SiBCN.
  • FIG. 2 illustrates semiconductor structure 100 at a second-intermediate fabrication stage. During this stage, a top source/drain region 118 followed by sacrificial layer 120 are formed. The top source/drain region 118 is epitaxially grown from the upper portions of the exposed fins 104 using epitaxial growth processes as described above The epitaxially grown top source/drain region 118 can be formed in different epitaxial growth processes from each other and can be in-situ doped to be either a PFET, or NFET. When forming top source/drain regions 118, a portion of the source/drain regions is configured to have a lateral width less than a width, i.e., spacing, between adjacent gate structures on the respective fin. For example, in one embodiment top source/drain region 118 is formed in a diamond shaped configuration around the exposed upper portions of fins 104.
  • Next, a sacrificial layer 120 is epitaxially grown in an epitaxial growth process from top source/drain region 118. Suitable material for sacrificial layer 120 includes, for example germanium or silicon germanium. For example, in one embodiment, sacrificial layer 120 can be a silicon germanium layer having a relatively high content of germanium. In one embodiment, a silicon germanium layer includes Si in an amount of 20% to 40% and Ge in an amount of 80% to 60%. In one embodiment, a silicon germanium layer includes Si in an amount of 25% and Ge in an amount of 75%. Sacrificial layer 120 is formed of a suitable thickness to widen the lateral size of the entire source/drain region 118 by “d "on each side to prevent contact shorting to metal gate when conducting a contact trench etching step as discussed hereinbelow. In one illustrative embodiment, the thickness “d” of sacrificial layer 120 can range from about 3 nanometers (nm) to about 15 nm.
  • FIG. 3 illustrates semiconductor structure 100 at a third-intermediate fabrication stage. During this stage, an interlevel dielectric (ILD) layer 122 is deposited on semiconductor structure 100 and over top source/drain regions 118 and sacrificial layer 120. If desired, a first dielectric liner layer (not shown) such as, for example, a SiN layer, can be deposited on structure 100 prior to depositing ILD layer 122. The ILD layer 122 includes, for example, any suitable dielectric material such as silicon oxide, silicon nitride, hydrogenated silicon carbon oxide, silicon based low-k dielectrics, flowable oxides, porous dielectrics, or organic dielectrics including porous organic dielectrics. Non-limiting examples of suitable low-k dielectric materials include a spin-on-glass, a flowable oxide, a high density plasma oxide, borophosphosilicate glass (BPSG), or any combination thereof. The ILD layer 122 may be formed using any suitable deposition techniques including CVD, ALD, PVD, PECVD, chemical solution deposition or other like processes. ILD layer 122 is then planarized by, for example, a planarization process such as CMP.
  • Next, hardmask 124 is deposited on ILD layer 122 for patterning a contact trench in ILD layer 122 to form a wrap-around contact. Since a wrap-around contact is being formed, the contact opening does not have to open the entire source/drain region. This also prevents contact tip-to-tip shorts and reduces parasitic capacitance. Hardmask 124 can be deposited by any conventional technique such as, for example, CVD, PVD, ALD, low-pressure CVD (LPCVD), PECVD, semi-atmosphere CVD (SACVD), high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), and metalorganic CVD (MOCVD). Suitable material for hardmask 124 includes, for example, Si3N4, SiBCN, SiNC, SiN, SiCO, SiO2, and SiNOC.
  • FIG. 4 illustrates semiconductor structure 100 at a fourth-intermediate fabrication stage. During this stage, contact trench 126 is formed in ILD layer 122 by an etching process utilizing the hardmask 124 applied to the ILD layer 122. Specifically, the exposed region of ILD layer 122 is removed using a selective etching process that removes the unprotected regions, e.g., a directional etch such as reactive ion etching (RIE). In the present fabrication stage, the etching is selective to remove the material of ILD layer 122 to sacrificial layer 120 on top source/drain region 118. As such, a respective portion of sacrificial layer 120 will be exposed within the contact trench 126, while other portions in the ILD layer 122 under hardmask 124 will not be exposed. However, it is to be understood that some portion of sacrificial layer 120 will be removed during the selective etching process. In addition, due to over-etching during the contact trench formation, a portion of dielectric fill layer 116 between top spacers 114 will be removed. The top source/drain region 118 is protected by the sacrificial layer 120 during over-etching.
  • FIG. 5 illustrates semiconductor structure 100 at a fifth-intermediate fabrication stage. During this stage, sacrificial layer 120 is removed, for example, by a conventional selective removal process to expose top source/drain region 118. In some embodiments, the sacrificial layer 120 comprises SiGe and can be selectively removed by an isotropic etch process, for example, a vapor phase hydrochloric acid (HCl) dry etch, or a wet etch process containing a mix of ammonia and hydrogen peroxide.
  • FIG. 6 illustrates semiconductor structure 100 at a sixth-intermediate fabrication stage. During this stage, metallization of the top/source drain region 118 takes place. The metallization includes depositing a metal liner 128 to encapsulate or wrap around the top source/drain region 118 forming a wrap-around contact to reduce contact resistance. In addition, metal liner 128 is also deposited in the over-etched portion of dielectric fill layer 116. In one exemplary embodiment, metal liner 128 can be, e.g., titanium/titanium nitride (Ti/TiN) layers or liners. In other exemplary embodiments, metal liner 128 can be formed from a suitable metal such as, for example, titanium nitride (TiN), tantalum nitride (TaN), hafnium nitride (HfN), niobium nitride (NbN), tungsten nitride (WN), tungsten carbon nitride (WCN), or combinations thereof. The metal liner 128 can be deposited by, e.g., an ALD, PVD, or CVD process.
  • Next, a metal fill 130 is deposited over conformal metal liner 128 and fills the rest of the contact trench. Suitable metals for metal fill 130 include conductive material such as, for example, tungsten (W), aluminum (Al), copper (Cu), cobalt (Co), nickel (Ni), titanium (Ti), ruthenium (Ru), molybdenum (Mo), or any other suitable conductive material. In various embodiments, a barrier layer can be deposited in the trench(es) by ALD, CVD, MOCVD, PECVD, or combinations thereof. In various embodiments, the metal fill can be formed by ALD, CVD, PVD, and/or plating The metal fill 130 can be planarized. The planarizing process can include CMP. Other planarization processes can include grinding and polishing.
  • It is to be understood that the methods discussed herein for fabricating semiconductor structures (e.g., a VFET) can be incorporated within semiconductor processing flows for fabricating other types of semiconductor structures and integrated circuits with various analog and digital circuitry or mixed-signal circuitry. In particular, integrated circuit dies can be fabricated with various devices such as field-effect transistors, bipolar transistors, metal-oxide-semiconductor transistors, diodes, capacitors, inductors, etc. An integrated circuit in accordance with non-limiting illustrative embodiments can be employed in applications, hardware, and/or electronic systems. Suitable hardware and systems for implementing the non-limiting illustrative embodiments may include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating such integrated circuits are considered part of the embodiments described herein. Given the teachings of the non-limiting illustrative embodiments provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of the techniques described herein.
  • Although illustrative embodiments have been described herein with reference to the accompanying drawings, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be made by one skilled in art without departing from the scope or spirit of the invention.

Claims (20)

What is claimed is:
1. A vertical field-effect transistor device, comprising:
a substrate comprising a semiconductor material;
a set of fins formed from the semiconductor material and extending vertically with respect to the substrate;
gate structures disposed on the substrate and on a portion of sidewalls of the set of fins;
spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins;
source/drain regions disposed over top portions of the set of fins, wherein a portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin; and
a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
2. The vertical field-effect transistor device according to claim 1, wherein the semiconductor material is silicon.
3. The vertical field-effect transistor device according to claim 1, further comprising another source/drain region disposed in the substrate and adjacent the set of fins.
4. The vertical field-effect transistor device according to claim 1, further comprising a dielectric material disposed on the gate structures and the spacers.
5. The vertical field-effect transistor device according to claim 1, further comprising a conductive material disposed over the metal liner directly above the source/drain regions disposed over the top portions of the set of fins.
6. The vertical field-effect transistor device according to claim 1, wherein the source/drain regions disposed over the top portions of the set of fins comprise a diamond shaped configuration.
7. The vertical field-effect transistor device according to claim 1, wherein the gate structures comprise a dielectric layer and a work function metal.
8. The vertical field-effect transistor device according to claim 1, wherein the set of fins extends above a top surface of the spacers.
9. The vertical field-effect transistor device according to claim 1, further comprising an interlevel dielectric layer disposed on opposite sides of the metal liner to define the upper area.
10. An integrated circuit, comprising:
a plurality of semiconductor devices, wherein at least one of the plurality of semiconductor devices comprises a vertical field-effect transistor device comprising:
a substrate comprising a semiconductor material;
a set of fins formed from the semiconductor material and extending vertically with respect to the substrate;
gate structures disposed on the substrate and on a portion of sidewalls of the set of fins,
spacers disposed on the gate structures and on a remaining portion of the sidewalls of the set of fins;
source/drain regions disposed over top portions of the set of fins, wherein a portion of the source/drain regions is configured to have a lateral width less than a width between adjacent gate structures on the respective fin; and
a metal liner disposed adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
11. The integrated circuit according to claim 10, wherein the semiconductor material is silicon.
12. The integrated circuit according to claim 10, further comprising another source/drain region disposed in the substrate and adjacent the set of fins.
13. The integrated circuit according to claim 10, further comprising a dielectric material disposed on the gate structures and the spacers.
14. The integrated circuit according to claim 10, further comprising a conductive material disposed over the metal liner directly above the source/drain regions disposed over the top portions of the set of fins.
15. The integrated circuit according to claim 10, wherein the source/drain regions disposed over the top portions of the set of fins comprise a diamond shaped configuration.
16. The integrated circuit according to claim 10, wherein the gate structures comprise a dielectric layer and a work function metal.
17. The integrated circuit according to claim 10, wherein the set of fins extends above a top surface of the spacers.
18. The integrated circuit according to claim 10, further comprising an interlevel dielectric layer disposed on opposite sides of the metal liner to define the upper area.
19. A method for fabricating a vertical field-effect transistor device, comprising:
patterning a substrate comprising a semiconductor material;
forming a set of fins comprising the semiconductor material and extending vertically with respect to the substrate;
forming gate structures on the substrate and on a portion of sidewalls of the set of fins;
forming spacers on the gate structures and on a remaining portion of the sidewalls of the set of fins;
forming source/drain regions over top portions of the set of fins, wherein a portion of the source/drain regions is configured to have a lateral width less than a lateral width between adjacent gate structures on the respective fin; and
forming a metal liner adjacent and over the source/drain regions such that a wrap-around contact is defined to cover an upper area of the source/drain regions.
20. The method according to claim 19, wherein the source/drain regions comprise a diamond shaped configuration.
US17/480,717 2021-09-21 2021-09-21 Vertical field-effect transistor with wrap-around contact structure Pending US20230099767A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/480,717 US20230099767A1 (en) 2021-09-21 2021-09-21 Vertical field-effect transistor with wrap-around contact structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/480,717 US20230099767A1 (en) 2021-09-21 2021-09-21 Vertical field-effect transistor with wrap-around contact structure

Publications (1)

Publication Number Publication Date
US20230099767A1 true US20230099767A1 (en) 2023-03-30

Family

ID=85722150

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/480,717 Pending US20230099767A1 (en) 2021-09-21 2021-09-21 Vertical field-effect transistor with wrap-around contact structure

Country Status (1)

Country Link
US (1) US20230099767A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210119019A1 (en) * 2018-09-18 2021-04-22 International Business Machines Corporation Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190172830A1 (en) * 2017-12-06 2019-06-06 International Business Machines Corporation Techniques for Enhancing Vertical Gate-All-Around FET Performance
US20200111714A1 (en) * 2018-10-05 2020-04-09 International Business Machines Corporation Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190172830A1 (en) * 2017-12-06 2019-06-06 International Business Machines Corporation Techniques for Enhancing Vertical Gate-All-Around FET Performance
US20200111714A1 (en) * 2018-10-05 2020-04-09 International Business Machines Corporation Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210119019A1 (en) * 2018-09-18 2021-04-22 International Business Machines Corporation Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance

Similar Documents

Publication Publication Date Title
US10832970B2 (en) Self-aligned silicide/germanide formation to reduce external resistance in a vertical field-effect transistor
US10886183B2 (en) Method and structure for forming a vertical field-effect transistor using a replacement metal gate process
US11621199B2 (en) Silicide formation for source/drain contact in a vertical transport field-effect transistor
US10944013B2 (en) Self-aligned source/drain contact for vertical field effect transistor
US10832962B1 (en) Formation of an air gap spacer using sacrificial spacer layer
US11302799B2 (en) Method and structure for forming a vertical field-effect transistor
US10439044B1 (en) Method and structure of fabricating I-shaped silicon germanium vertical field-effect transistors
US11482612B2 (en) Vertical transistor having bottom spacers on source/drain regions with different heights along junction region
US11211462B2 (en) Using selectively formed cap layers to form self-aligned contacts to source/drain regions
US11476264B2 (en) Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices
US20230099767A1 (en) Vertical field-effect transistor with wrap-around contact structure
US11476163B2 (en) Confined gate recessing for vertical transport field effect transistors
US10804262B2 (en) Cointegration of FET devices with decoupling capacitor
US20240072051A1 (en) Vertical field-effect transistor with isolation pillars
US20230187508A1 (en) Semiconductor structures with wrap-around contact structure
US11764259B2 (en) Vertical field-effect transistor with dielectric fin extension
US20230178597A1 (en) Semiconductor structures with low top contact resistance
US11923438B2 (en) Field-effect transistor with punchthrough stop region
US11329142B2 (en) Vertical transistor with body contact

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, KANGGUO;MOCHIZUKI, SHOGO;LI, JUNTAO;SIGNING DATES FROM 20210920 TO 20210921;REEL/FRAME:057548/0363

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER