US20220367626A1 - Nanosheet transistor device with bottom isolation - Google Patents

Nanosheet transistor device with bottom isolation Download PDF

Info

Publication number
US20220367626A1
US20220367626A1 US17/861,960 US202217861960A US2022367626A1 US 20220367626 A1 US20220367626 A1 US 20220367626A1 US 202217861960 A US202217861960 A US 202217861960A US 2022367626 A1 US2022367626 A1 US 2022367626A1
Authority
US
United States
Prior art keywords
nanosheet
fill layer
transistor device
layer section
stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/861,960
Inventor
Ruilong Xie
Veeraraghavan S. Basker
Andrew M. Greene
Pietro Montanini
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US17/861,960 priority Critical patent/US20220367626A1/en
Publication of US20220367626A1 publication Critical patent/US20220367626A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02601Nanoparticles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • the present invention generally relates to a nanosheet transistor device, and more particularly to a nanosheet transistor device with bottom isolation.
  • a Field Effect Transistor typically has a source, a channel, and a drain, where current flows from the source to the drain, and a gate that controls the flow of current through the device channel.
  • Field Effect Transistors can have a variety of different structures, for example, FETs have been fabricated with the source, channel, and drain formed in the substrate material itself, where the current flows horizontally (i.e., in the plane of the substrate), and FinFETs have been formed with the channel extending outward from the substrate, but where the current also flows horizontally from a source to a drain.
  • the channel for the FinFET can be an upright slab of thin rectangular silicon (Si), commonly referred to as the fin with a gate on the fin, as compared to a metal-oxide-semiconductor field effect transistor (MOSFET) with a single gate parallel with the plane of the substrate.
  • MOSFET metal-oxide-semiconductor field effect transistor
  • an NFET or a PFET can be formed.
  • Two FETs also can be coupled to form a complementary metal oxide semiconductor (CMOS) device, where a p-type MOSFET and n-type MOSFET are coupled together.
  • CMOS complementary metal oxide semiconductor
  • a method of forming a nanosheet transistor device includes forming a segment stack of alternating intermediate sacrificial segments and nanosheet segments on a bottom sacrificial segment, wherein the segment stack is on a mesa and a nanosheet template in on the segment stack.
  • the method further includes removing the bottom sacrificial layer to form a conduit, and forming a fill layer in the conduit and encapsulating at least a portion of the segment stack.
  • a method of forming a nanosheet transistor device includes forming a segment stack of alternating intermediate sacrificial segments and nanosheet segments on a bottom sacrificial segment, wherein the segment stack is on a mesa and a nanosheet template is on the segment stack.
  • the method further includes depositing a stack liner on the nanosheet template, exposed portions of the segment stack, and the mesa, and depositing a spacer layer on the stack liner.
  • the method further includes forming a gauge block on the spacer layer, and removing a portion of the spacer layer to form a trench between the gauge block and the bottom sacrificial segment and mesa.
  • the method further includes removing an exposed portion of the stack liner and gauge block to widen the trench, and removing the nanosheet template.
  • the method further includes forming a plug in the widened trench, and forming a dummy gate across the segment stack.
  • the method further includes removing the plug and bottom sacrificial segment to form a conduit, and forming a fill layer in the conduit.
  • a nanosheet transistor device in accordance with yet another embodiment of the present invention, includes a fill layer section on a mesa, wherein the mesa is on a substrate.
  • the nanosheet transistor device further includes one or more nanosheet plates above the fill layer section, and a gate dielectric layer on the fill layer section and each of the one or more nanosheet plates.
  • the nanosheet transistor device further includes a conductive gate electrode on the gate dielectric layer.
  • FIG. 1 is a cross-sectional side view showing a stack of alternating sacrificial layers and nanosheet layers on a substrate with a nanosheet template on the stack, in accordance with an embodiment of the present invention
  • FIG. 2 is a cross-sectional side view showing the stack of alternating sacrificial layers and nanosheet layers patterned using the nanosheet template to form a segment stack of alternating sacrificial segments and nanosheet segments on a mesa with the nanosheet template on the segments, in accordance with an embodiment of the present invention
  • FIG. 3 is a cross-sectional side view showing a stack liner on the stack of alternating sacrificial segments and nanosheet segments, a spacer layer on the stack liner, and a gauge layer formed on the spacer layer, in accordance with an embodiment of the present invention
  • FIG. 4 is a cross-sectional side view showing the height of the gauge layer reduced to form gauge blocks that expose a predetermined portion of the spacer layer, in accordance with an embodiment of the present invention
  • FIG. 5 is a cross-sectional side view showing the exposed portion of the spacer layer removed, and a portion of the spacer layer between the gauge blocks and stack liner also removed to form a trench, in accordance with an embodiment of the present invention
  • FIG. 6 is a cross-sectional side view showing the exposed portion of the stack liner removed, and the width of the trench increased by removing a portion of the gauge blocks adjoining the trench, in accordance with an embodiment of the present invention
  • FIG. 7 is a cross-sectional side view showing a plug formed in the widened trench, where the plug covers a portion of the mesa and bottom sacrificial segment directly on the mesa, in accordance with an embodiment of the present invention
  • FIG. 8 is a cross-sectional side view perpendicular to FIG. 7 through the segment stack showing a plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention
  • FIG. 9 is a top view showing the plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • FIG. 10 is a cross-sectional side view showing one of the plurality of dummy gates and dummy gate caps across the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention
  • FIG. 11 is a cross-sectional side view showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and an adjacent sacrificial segment, in accordance with an embodiment of the present invention
  • FIG. 12 is a cross-sectional side view between two adjacent dummy gates and gate caps showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and the adjacent sacrificial segment, in accordance with an embodiment of the present invention
  • FIG. 13 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a fill layer formed on the exposed surfaces and in the conduit between the mesa and the sacrificial segment, in accordance with an embodiment of the present invention
  • FIG. 14 is a cross-sectional side view between two adjacent dummy gates and gate caps, laterally offset from the dummy gate in FIG. 13 , showing the fill layer formed on the exposed surfaces of the stack and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention
  • FIG. 15 is a cross-sectional side view along the long axis of the segment stack showing the fill layer on the plurality of dummy gates and dummy gate caps and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention
  • FIG. 16 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a portion of the fill layer removed from the dummy gate cap, in accordance with an embodiment of the present invention
  • FIG. 17 is a cross-sectional side view between two adjacent dummy gates and gate caps showing a portion of the fill layer removed from the top-most nanosheet segment and the reduced height gauge layer, in accordance with an embodiment of the present invention
  • FIG. 18 is a cross-sectional side view along the long axis of the segment stack showing the fill layer on the plurality of dummy gates and dummy gate caps, inner spacers between the nanosheet sections, and source/drains formed on the stacks adjacent to the dummy gates, in accordance with an embodiment of the present invention
  • FIG. 19 is a cross-sectional side view along the long axis of the segment stack showing the dummy gate caps removed and the plurality of dummy gates replaced with a gate structure on the nanosheet sections, in accordance with an embodiment of the present invention.
  • FIG. 20 is a cross-sectional side view showing the gate structure on the nanosheet sections, and the fill layer between the gate structure and the mesa, in accordance with an embodiment of the present invention.
  • Embodiments of the present invention provide a nano sheet type transistor device having increased electrical isolation between a gate structure and the substrate. Formation of a bottom dielectric fill layer between the substrate and the semiconductor nanosheets can avoid over etching during exposure of sacrificial layers. The dielectric fill layer can also increase the dielectric thickness between the conductive gate electrode and the substrate beyond the thickness of a gate dielectric layer alone.
  • Embodiments of the present invention provide a method of forming a nanosheet type transistor device having increased electrical isolation between a gate structure and the substrate by adding an additional sacrificial layer on the substrate and replacing the additional sacrificial layer with a dielectric fill layer.
  • Embodiments of the present invention provide a dielectric fill layer on a portion of the substrate by utilizing a liner and spacer layer in combination with an additional sacrificial layer to form a conduit between the substrate and semiconductor nanosheet layers.
  • Exemplary applications/uses to which the present invention can be applied include, but are not limited to: digital logic devices (e.g. NAND gates, NOR gates, etc.), microprocessors, microcontrollers, memory devices (e.g., SRAM, DRAM), analog circuits, for example, data converters, image sensors, and highly integrated transceivers.
  • digital logic devices e.g. NAND gates, NOR gates, etc.
  • microprocessors e.g., NOR gates, etc.
  • microcontrollers e.g., SRAM, DRAM
  • analog circuits for example, data converters, image sensors, and highly integrated transceivers.
  • FIG. 1 a cross-sectional side view of a stack of alternating sacrificial layers and nanosheet layers on a substrate with a nanosheet template on the stack is shown, in accordance with an embodiment of the present invention.
  • a substrate 110 can be a semiconductor substrate or a semiconductor-on-insulator (SeOI) substrate, where the substrate includes a region that can form semiconductor devices.
  • the substrate 110 can include a semiconductor layer that can be made of a group IV semiconductor material, for example, silicon (Si) or germanium (Ge), a IV-IV compound semiconductor material, for example, silicon-germanium (SiGe) or silicon carbide (SiC), a III-V compound semiconductor, for example, gallium arsenide (GaAs), indium phosphide (InP), gallium nitride (GaN), indium-gallium nitride (InGaN), etc.
  • the substrate 110 can be a single crystal semiconductor substrate.
  • a bottom sacrificial layer 120 can be formed on a substrate 110 , where the bottom sacrificial layer 120 can be formed by epitaxial or heteroepitaxial growth. (Epitaxial and heteroepitaxial growth will both be referred to as epitaxial growth for simplicity and clarity.)
  • the bottom sacrificial layer 120 can be a material that can be selectively removed without damaging the substrate material and the material of other sacrificial layers.
  • the bottom sacrificial layer 120 can be silicon-germanium (SiGe) having a germanium concentration of at least 50 atomic percent (at. %), or a germanium concentration in a range of about 55 at. % to about 75 at. %, or about 60 at. %.
  • the bottom sacrificial layer 120 can have a thickness in a range of about 4 nanometers (nm) to about 30 nm, or about 8 nm to about 16 nm, although other thicknesses are also contemplated.
  • the thickness of the bottom sacrificial layer 120 can less than the critical thickness at which defects (e.g., threading defects) appear to avoid propagating defects up through subsequent nanosheet layers that can form device channels.
  • an intermediate sacrificial layer 130 can be formed on the bottom sacrificial layer 120 , where the intermediate sacrificial layer 130 can be formed by epitaxial or heteroepitaxial growth.
  • the intermediate sacrificial layer 130 can be silicon-germanium (SiGe) having a germanium concentration of less than 50 atomic percent (at. %), or a germanium concentration in a range of about 5 at. % to about 45 at. %, or about 10 at. % to about 40 at. % or about 20 at. % to about 30 at. %, or about 30 at. %, although other concentrations are also contemplated.
  • the bottom sacrificial layer 120 can be selectively remove relative to the intermediate sacrificial layer 130 .
  • the intermediate sacrificial layer 130 can have a thickness in a range of about 4 nanometers (nm) to about 20 nm, or about 8 nm to about 15 nm, although other thicknesses are also contemplated.
  • a nanosheet layer 140 can be formed on the intermediate sacrificial layer 130 , where the nanosheet layer 140 can be formed by epitaxial or heteroepitaxial growth on the intermediate sacrificial layer 130 .
  • the nanosheet layer 140 can form a device channel for a nanosheet type transistor device.
  • the nanosheet layer 140 can be a semiconductor, for example, silicon (Si).
  • the nanosheet layer 140 can have a thickness in a range of about 5 nanometers (nm) to about 10 nm, or about 5 nm to about 8 nm, although other thicknesses are also contemplated.
  • alternating intermediate sacrificial layers 130 and nanosheet layer 140 can be formed on an underlying layer to form a stack 131 of alternating sacrificial layers 130 and nanosheet layers 140 on the substrate 110 .
  • the top-most layer can be a nanosheet layers 140 .
  • a template layer 150 can be formed on the stack 131 of alternating sacrificial layers 130 and nanosheet layers 140 , where the template layer 150 can be formed by a blanket deposition, for example, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), spin-on, where the template layer 150 can cover the top surface on the top-most layer in the stack 131 .
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • spin-on where the template layer 150 can cover the top surface on the top-most layer in the stack 131 .
  • the template layer 150 can be a hard mask material, including, but not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), silicon boronitride (SiBN), silicon carbonitride (SiCN), silicon boro carbonitride (SiBCN), and combinations thereof.
  • silicon oxide SiO
  • SiN silicon nitride
  • SiON silicon oxynitride
  • SiBN silicon boronitride
  • SiCN silicon carbonitride
  • SiBCN silicon boro carbonitride
  • FIG. 2 is a cross-sectional side view showing the stack of alternating sacrificial layers and nanosheet layers patterned using the nanosheet template to form a segment stack of alternating sacrificial segments and nanosheet segments on a mesa with the nanosheet template on the segments, in accordance with an embodiment of the present invention.
  • the template layer 150 can be patterned using lithographic processes and etching (e.g., reactive ion etching (RIE)) to form a nanosheet template 152 on the stack 131 of alternating intermediate sacrificial layers 130 and nanosheet layers 140 .
  • RIE reactive ion etching
  • the template layer 150 can be used to mask portions of the stack 131 during removal of exposed portions of the alternating sacrificial layers 130 and nanosheet layers 140 .
  • a sequence of etchings can be used to remove portions of the underlying intermediate sacrificial layers 130 and nanosheet layers 140 to form a segment stack 133 of alternating intermediate sacrificial segments 132 and nanosheet segments 142 on a bottom sacrificial segment 122 and mesa 115 .
  • a portion of the substrate 110 below the bottom sacrificial segment 122 can be removed to form a mesa 115 beneath the segment stack 133 , where removal of the portion of the substrate increases the space between the nanosheet segments 142 and substrate 110 .
  • FIG. 3 is a cross-sectional side view showing a stack liner on the stack of alternating sacrificial segments and nanosheet segments, a spacer layer on the stack liner, and a gauge layer formed on the spacer layer, in accordance with an embodiment of the present invention.
  • a stack liner 160 can be formed on the nanosheet template 152 and exposed portions of the segment stack 133 of alternating intermediate sacrificial segments 132 and nanosheet segments 142 on a bottom sacrificial segment 122 and the mesa 115 and exposed surface of the substrate 110 .
  • the stack liner 160 can be formed by a conformal deposition, for example, atomic layer deposition (ALD), plasma enhanced ALD (PEALD), and combinations thereof.
  • the stack liner 160 can be a dielectric material, including, but not limited to, silicon oxide (SiO), silicon oxynitride (SiON), and combinations thereof.
  • the stack liner 160 can have a thickness in a range of about 0.5 nm to about 5 nm, or about 1 nm to about 3 nm, although other thicknesses are also contemplated.
  • a spacer layer 170 can be formed on the stack liner 160 , where the spacer layer 170 can be formed by a conformal deposition (e.g., ALD, PEALD).
  • the spacer layer 170 can cover the stack liner 160 .
  • the spacer layer 170 can be a dielectric material, including, but not limited to, silicon nitride (SiN), silicon boronitride (SiBN), silicon carbonitride (SiCN), silicon boro carbonitride (SiBCN), silicon oxy carbonitride (SiOCN), silicon oxycarbide (SiCO), and combinations thereof, where the spacer layer 170 can be selectively removed relative to the stack liner 160 .
  • silicon nitride SiN
  • silicon boronitride SiBN
  • SiCN silicon carbonitride
  • SiBCN silicon boro carbonitride
  • SiOCN silicon oxy carbonitride
  • SiCO silicon oxycarbide
  • the spacer layer 170 can have a thickness in a range of about 2 nm to about 15 nm, or about 3 nm to about 10 nm, although other thicknesses are also contemplated.
  • a gauge layer 180 can be formed on the spacer layer 170 , where the gauge layer 180 can be formed by a blanket deposition (e.g., CVD, PECVD, spin-on).
  • the gauge layer 180 can cover the spacer layer 170 , where a portion of the gauge layer 180 can be over the nanosheet template 152 and segment stack 133 .
  • a chemical-mechanical polishing can be used to remove the portions of the gauge layer 152 above the spacer layer 170 , so the portion of the spacer layer 170 on the nanosheet template 152 becomes exposed.
  • the gauge layer 180 can be a dielectric material, including, but not limited to, silicon oxide (SiO). In various embodiments, the gauge layer 180 can be selectively removed relative to the spacer layer 170 .
  • FIG. 4 is a cross-sectional side view showing the height of the gauge layer reduced to form gauge blocks that expose a predetermined portion of the spacer layer, in accordance with an embodiment of the present invention.
  • the height of the gauge layer 180 can be reduced to expose a predetermined portion of the spacer layer 170 on the sidewalls of the segment stack 133 , where the height of the gauge layer 180 can be reduced by removing an portion of the gauge layer using a selective isotropic etch (e.g., wet chemical etch, dry plasma etch) or a selective directional etch (e.g., RIE) in combination with a selective isotropic etch to remove portions of the gauge layer 180 along the sidewalls of the segment stack 133 and mesa 115 .
  • a selective isotropic etch e.g., wet chemical etch, dry plasma etch
  • a selective directional etch e.g., RIE
  • the height of the gauge layer 180 can be reduced to below the nanosheet segment 142 closest to the mesa 115 , such that the top surface(s) of a gauge block 182 is between the top and bottom surfaces of the intermediate sacrificial segment 132 adjoining the bottom sacrificial segment 122 .
  • a portion of the spacer layer 170 on the segment stack 133 and nanosheet template 152 can be exposed by formation of the gauge block(s) 182 .
  • the gauge block(s) 182 can have a height from the top surface of the underlying spacer layer 170 in a range of about 25 nm to about 300 nm, or about 50 nm to about 200 nm, although other heights are also contemplated.
  • FIG. 5 is a cross-sectional side view showing the exposed portion of the spacer layer removed, and a portion of the spacer layer between the gauge blocks and stack liner also removed to form a trench, in accordance with an embodiment of the present invention.
  • the exposed portion of the spacer layer 170 can be removed using a selective isotropic etch (e.g., wet chemical etch) to form spacer layer sections 175 .
  • a portion of the spacer layer 170 below the top surface of the gauge block(s) 182 can also be removed using the selective isotropic etch to form a trench 177 between the gauge blocks and the stack liner 160 , where the spacer layer 170 can be removed to below the top surface of the mesa 115 .
  • Removal of the portion of the spacer layer 170 can expose the underlying portion of the stack liner 160 on the segment stack 133 and nanosheet template 152 , and along the bottom sacrificial segment 122 and an upper portion of the mesa 115 .
  • the portions of the spacer layer 170 forming the spacer layer sections 175 can remain adjacent to a lower portion of the mesa 115 , such that the spacer layer sections 175 can have an L-shaped cross-section.
  • FIG. 6 is a cross-sectional side view showing the exposed portion of the stack liner removed, and the width of the trench increased by removing a portion of the gauge blocks adjoining the trench, in accordance with an embodiment of the present invention.
  • the exposed portion of the stack liner 160 can be removed, where the exposed portion of the stack liner 160 can be removed using a selective isotropic etch (e.g., wet chemical etch) to form stack liner sections 165 .
  • a portion of the stack liner sections 165 can be on a lower portion of the mesa 115 . Removal of the exposed portion of the stack liner 160 can also remove an additional portion of the gauge block(s) 182 that can increase the width of the trench(es) 177 , and further reduce the height of the gauge block(s) 182 by the thickness of the stack liner 160 , where the stack liner 160 and gauge blocks 182 are the same dielectric material.
  • FIG. 7 is a cross-sectional side view showing a plug formed in the widened trench, where the plug covers a portion of the mesa and bottom sacrificial segment directly on the mesa, in accordance with an embodiment of the present invention.
  • a plug 190 can be formed in the widened trenches 177 , where the plug 190 can be formed by a conformal depiction (e.g., ALD, PEALD) and an etch back using a selective etch.
  • the plug 190 can be formed on the exposed edge of the spacer layer sections 175 and stack liner sections 165 .
  • a top surface of the plugs 190 can be below the interface of the bottom sacrificial segment 122 and the directly overlying segment, which can be an intermediate sacrificial segment 132 .
  • the top surface of the plug(s) 190 can be below the top surface of the gauge blocks 182 .
  • the plug(s) 190 can be a selectively removable material, for example, titanium oxide (TiO), or the same material as the bottom sacrificial layer 120 .
  • the plug(s) 190 can be silicon-germanium (SiGe) having a germanium concentration of at least 50 atomic percent (at. %), or a germanium concentration in a range of about 55 at. % to about 75 at. %, or about 60 at. %.
  • the plug(s) 190 can be the same material as the bottom sacrificial layer 120 , so both the bottom sacrificial layer 120 and plug(s) 190 can be removed using the same etching step.
  • FIG. 8 is a cross-sectional side view perpendicular to FIG. 7 through the segment stack showing a plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • a plurality of dummy gates 200 can be formed on the segment stack 133 by forming a dummy gate layer and patterning the dummy gate layer using lithographic processes and etching (e.g., RIE).
  • Dummy gate caps 210 can be formed on each of the dummy gates 200 from a dummy gate cap layer, as part of the lithographic processes and etching.
  • the dummy gate layer and dummy gates 200 can be a selectively removable material that can be easily etched, for example, amorphous silicon (a-Si), polycrystalline silicon (pc-Si), amorphous carbon (a-C), and combinations thereof.
  • a-Si amorphous silicon
  • pc-Si polycrystalline silicon
  • a-C amorphous carbon
  • FIG. 9 is a top view showing the plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • the plurality of dummy gates 200 and dummy gate caps 210 can be formed across the long axis of the segment stack 133 , such that there are regions of the segment stack under a dummy gate and gate cap, regions of the segment stack between two adjacent dummy gates and gate caps, and regions of the segment stack extending beyond the outermost dummy gates and gate caps.
  • FIG. 10 is a cross-sectional side view showing one of the plurality of dummy gates and dummy gate caps across the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • a dummy gate 200 can cover a portion of the sidewalls of the segment stack 133 .
  • the dummy gate caps 210 can cover the dummy gate 200 and a gate sidewall can be around the dummy gates.
  • FIG. 11 is a cross-sectional side view showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and an adjacent sacrificial segment, in accordance with an embodiment of the present invention.
  • the plug(s) 190 and the bottom sacrificial segment 122 can be removed to form a conduit 195 between the top surface of the mesa 115 and an adjacent intermediate sacrificial segment 132 and in the reopened trenches 177 , where the conduit 195 can have extensions along the sidewalls of the mesa 115 , such that the conduit has an upside-down U-shape over the mesa.
  • the plug(s) 190 can be removed using a selective isotropic etch to expose portions of the bottom sacrificial segment 122 , and the bottom sacrificial segment 122 can be removed using a subsequent isotropic etch, where the bottom sacrificial segment 122 and bottom sacrificial segment 122 are different materials. Where the bottom sacrificial segment 122 and plug(s) 190 are the same material, a single isotropic etch can be used to remove both.
  • FIG. 12 is a cross-sectional side view between two adjacent dummy gates and gate caps showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and the adjacent sacrificial segment, in accordance with an embodiment of the present invention.
  • removal of the plug(s) 190 and bottom sacrificial segment 122 can expose portions of the immediately adjacent intermediate sacrificial segment 132 , between the plurality of dummy gates 200 and at the ends of the segment stack 133 . Portions of the segment stack 133 can be supported by the dummy gates 200 allowing the segment stack 133 to be undercut by removing the adjacent intermediate sacrificial segment 132 .
  • the upside-down U-shape conduit 195 can separate the segment stack 133 from the mesa 115 and substrate 110 , and the extensions can separate the sidewalls of the mesa from the sidewalls of the gauge blocks 182 .
  • FIG. 13 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a fill layer formed on the exposed surfaces and in the conduit between the mesa and the sacrificial segment, in accordance with an embodiment of the present invention.
  • a fill layer 220 can be formed on the exposed surfaces including the surfaces forming the conduit 195 and reopened trenches 177 , where the fill layer 220 can be formed by a conformal deposition (e.g., ALD, PEALD).
  • the conformal deposition can fill in the trenches 177 and the conduit 195 , including the extensions, below the dummy gates 200 and between the mesa surface and adjacent intermediate sacrificial segment 132 .
  • the fill layer 220 can be formed in the conduit 195 without forming a pinch-off or void space, with a seam where the deposited layer meets, or with airgaps depending on the deposition method and parameter control.
  • the fill layer 220 can have an upside-down U-shape on the mesa 115 below the dummy gates 200 from filling in the conduit 195 with the extensions.
  • the fill layer 220 can be a dielectric material, including, but not limited to, a low-k dielectric material, silicon nitride (SiN), silicon oxy carbonitride (SiOCN), and combinations thereof.
  • the fill layer 220 can be a dielectric material that is selectively etchable relative to gauge blocks 182 , intermediate sacrificial segment 132 , nanosheet segments 142 , and dummy gate caps 210 .
  • the fill layer 220 can have a thickness in a range of about 4 nanometers (nm) to about 15 nm, or about 6 nm to about 10 nm, although other thicknesses are also contemplated.
  • the thickness of the fill layer 220 can be greater than half of the thickness of the bottom sacrificial layer 120 , so the fill layer 220 can fill in the conduit 195 .
  • FIG. 14 is a cross-sectional side view between two adjacent dummy gates and gate caps, laterally offset from the dummy gate in FIG. 13 , showing the fill layer formed on the exposed surfaces of the stack and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention.
  • the fill layer 220 can be formed on the exposed surfaces of the segment stack 133 and gauge blocks 182 , including the top surface portions of the top most intermediate sacrificial segment 132 exposed between the dummy gates 200 .
  • the fill layer 220 can encapsulate a portion of the segment stack 133 between adjacent gate structures formed by the dummy gates and gate caps.
  • FIG. 15 is a cross-sectional side view along the long axis of the segment stack showing the fill layer on the plurality of dummy gates and dummy gate caps and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention.
  • the fill layer 220 can be formed on the top surface of the dummy gate caps 210 and sidewalls of the plurality of dummy gates 200 .
  • a portion of the fill layer 220 can extend along the length of the remaining layers of the segment stack 133 , where the portion of the fill layer 220 can replace the bottom sacrificial segment 122 in the segment stack 133 .
  • FIG. 16 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a portion of the fill layer removed from the dummy gate cap, in accordance with an embodiment of the present invention.
  • exposed portion of the fill layer 220 can be removed using a selective directional etch (e.g., RIE) to form fill layer sections 222 on surfaces approximately perpendicular to the direction of the etchant.
  • the portion of the fill layer 220 can be removed from the dummy gate cap 210 , while remaining on the sidewalls of the dummy gates 220 and in the conduit 195 .
  • the portion of the fill layer section 222 in the conduit 195 under the dummy gate 220 can form a cap over the upper portion of the mesa 115 , where the cross-section of the fill layer section 222 can have an upside-down U-shape underneath the gate structure and an H-shape between the gate structures. Different regions of the fill layer section 222 , therefore, have different shapes.
  • FIG. 17 is a cross-sectional side view between two adjacent dummy gates and gate caps showing a portion of the fill layer removed from the top-most nanosheet segment and the reduced height gauge layer, in accordance with an embodiment of the present invention.
  • the fill layer 220 can be removed from the top surface portions of the top most intermediate sacrificial segment 132 and top surface portions of the gauge blocks 182 exposed between the dummy gates 200 , while remaining on the sidewalls of the intermediate sacrificial segments 132 and nanosheet segments 142 , and in the conduit 195 .
  • the portion of the fill layer section 222 in the conduit 195 under the intermediate sacrificial segments 132 can form a cap over the upper portion of the mesa 115 , and the fill layer section 222 with legs extending along the sidewalls of the intermediate sacrificial segments 132 and nanosheet segments 142 and into the conduit extensions can form an H-shaped fill layer section 222 between the gate structures.
  • FIG. 18 is a cross-sectional side view perpendicular to FIG. 17 showing the fill layer on the plurality of dummy gates and dummy gate caps, inner spacers between the nanosheet sections, and source/drains formed on the stacks adjacent to the dummy gates, in accordance with an embodiment of the present invention.
  • the portions of the fill layer section 222 on the sidewalls of the dummy gates 200 and dummy gate caps 210 can form spacers that mask underlying sections of the intermediate sacrificial segments 132 and nanosheet segments 142 .
  • a portion of the top most intermediate sacrificial segment 132 can be exposed between the fill layer sections 222 on facing sidewalls of the dummy gates 200 .
  • the portions of the intermediate sacrificial segments 132 and nanosheet segments 142 between the facing fill layer sections 222 can be removed to form gaps between intermediate sacrificial plates 135 and nanosheet plates 145 , where the fill layer section 222 can be exposed.
  • the portions of the intermediate sacrificial segments 132 and nanosheet segments 142 can be removed using a selective directional etch (e.g., RIE) to form facing intermediate sacrificial plates 135 and nanosheet plates 145 separated by a gap.
  • RIE selective directional etch
  • portions of the intermediate sacrificial plates 135 can be removed using a selective isotropic etch to form a recess between vertically adjacent nanosheet plates 145 .
  • An inner spacer 230 can be formed in each of the recesses using a conformal deposition and an isotropic etch.
  • the inner spacers 230 can be a dielectric material, including, but not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), low-k dielectric materials, and combinations thereof.
  • the low-k dielectric materials can be carbon-doped silicon oxide (SiO:C), fluorine doped silicon oxide (SiO:F), and combinations thereof.
  • source/drains 240 can be formed in the gaps between the adjacent inner spacers 230 and nanosheet plates 145 by epitaxial growth on the exposed end walls of the and nanosheet plates 145 .
  • the source/drains 240 can be n-type source/drains or p-type source/drains depending on the dopant(s) introduced during formation (i.e., in situ).
  • the source/drains 240 can be silicon (Si) or silicon-germanium (SiGe), where the silicon-germanium (SiGe) source/drains can be doped with a p-type dopant (e.g., boron (B), gallium (Ga), etc.) and the silicon (Si) can be doped with an n-type dopant (e.g., phosphorus (P), arsenic (As), etc.).
  • a p-type dopant e.g., boron (B), gallium (Ga), etc.
  • the silicon (Si) can be doped with an n-type dopant (e.g., phosphorus (P), arsenic (As), etc.).
  • Portions of the fill layer sections 222 shown in FIG. 17 can be on opposite sides of the source/drains 240 , where the intermediate sacrificial segments 132 and nanosheet segments 142 have been previously removed.
  • the top surfaces of the source/drains can be above the top surfaces of the top-most nanosheet plates 145 .
  • FIG. 19 is a cross-sectional side view perpendicular to FIG. 17 showing the dummy gate caps removed and the plurality of dummy gates replaced with a gate structure on the nanosheet sections, in accordance with an embodiment of the present invention.
  • the dummy gate caps 210 can be removed using a selective etch (isotropic or directional) to expose the underlying dummy gates 200 within dummy gate sidewalls.
  • the dummy gates 200 can be removed using an isotropic etch (e.g., wet chemical etch) to expose the intermediate sacrificial plates 135 and nanosheet plates 145 .
  • the intermediate sacrificial plates 135 can be removed using an isotropic etch to expose the surfaces of the nanosheet plates 145 .
  • a gate dielectric layer 250 can be formed on the exposed surfaces of the nanosheet plates 145 and on the exposed surfaces of the fill layer sections 222 and inner spacers 230 .
  • the gate dielectric layer 250 can be formed by a conformal deposition (e.g., ALD, PEALD).
  • the gate dielectric layer 250 can be a dielectric material, including, but not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon boro carbonitride (SiBCN), a high-k dielectric, and combinations thereof.
  • the high-k dielectric material can include, but not limited to, metal oxides, for example, hafnium oxide (HfO), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), lanthanum oxide (LaO), lanthanum aluminum oxide (LaAlO), zirconium oxide (ZrO), zirconium silicon oxide (ZrSiO), zirconium silicon oxynitride (ZrSiON), tantalum oxide (TaO), titanium oxide (TiO), barium strontium titanium oxide (BaSrTiO), barium titanium oxide (BaTiO), strontium titanium oxide (SrTiO), yttrium oxide (YO), aluminum oxide (AlO), lead scandium tantalum oxide (PbScTaO), and lead zinc niobate (PbZnNbO).
  • the high-k dielectric material may further include dopants such as lanthanum, aluminum
  • the gate dielectric layer 250 can have a thickness in a range of about 1 nm to about 3 nm, or about 2 nm, although other thicknesses are also contemplated.
  • a conductive gate electrode 260 can be formed on the gate dielectric layer 250 using a conformal deposition.
  • the conductive gate electrode 260 can be any suitable conducting material, including but not limited to, doped polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten (W), titanium (Ti), tantalum (Ta), ruthenium (Ru), hafnium (Hf), zirconium (Zr), cobalt (Co), nickel (Ni), copper (Cu), aluminum (Al), platinum (Pt), tin (Sn), silver (Ag), gold (Au), a conducting metallic compound material (e.g., tantalum nitride (TaN), titanium nitride (TiN), tantalum carbide (TaC), titanium carbide (TiC), titanium aluminum carbide (TiAlC), tungsten silicide (WSi), tungsten nitride (WN),
  • a conducting metallic compound material e
  • FIG. 20 is a cross-sectional side view showing the gate structure on the nanosheet sections, and the fill layer between the gate structure and the mesa, in accordance with an embodiment of the present invention.
  • the conductive gate electrode 260 and the gate dielectric layer 250 can surround the nanosheet plates 145 to form a gate-all-around (GAA) gate structure.
  • GAA gate-all-around
  • the fill layer section 222 in the conduit 195 between the mesa 115 and the adjacent nanosheet plate 145 , and the gate dielectric layer 250 on the fill layer section 222 in the conduit 195 can physically and electrically separate the gate structure from the mesa 115 and substrate 110 , which reduces leakage current to the substrate 110 and reduces the parasitic capacitance between the gate structure and the substrate 110 .
  • the present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly.
  • the stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer.
  • the photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes Si x Ge 1-x where x is less than or equal to 1, etc.
  • SiGe includes Si x Ge 1-x where x is less than or equal to 1, etc.
  • other elements can be included in the compound and still function in accordance with the present principles.
  • the compounds with additional elements will be referred to herein as alloys.
  • any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B).
  • such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C).
  • This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below.
  • the device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly.
  • a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.

Abstract

A method of forming a nanosheet transistor device is provided. The method includes forming a segment stack of alternating intermediate sacrificial segments and nanosheet segments on a bottom sacrificial segment, wherein the segment stack is on a mesa and a nanosheet template in on the segment stack. The method further includes removing the bottom sacrificial layer to form a conduit, and forming a fill layer in the conduit and encapsulating at least a portion of the segment stack.

Description

    BACKGROUND
  • The present invention generally relates to a nanosheet transistor device, and more particularly to a nanosheet transistor device with bottom isolation.
  • A Field Effect Transistor (FET) typically has a source, a channel, and a drain, where current flows from the source to the drain, and a gate that controls the flow of current through the device channel. Field Effect Transistors (FETs) can have a variety of different structures, for example, FETs have been fabricated with the source, channel, and drain formed in the substrate material itself, where the current flows horizontally (i.e., in the plane of the substrate), and FinFETs have been formed with the channel extending outward from the substrate, but where the current also flows horizontally from a source to a drain. The channel for the FinFET can be an upright slab of thin rectangular silicon (Si), commonly referred to as the fin with a gate on the fin, as compared to a metal-oxide-semiconductor field effect transistor (MOSFET) with a single gate parallel with the plane of the substrate. Depending on the doping of the source and drain, an NFET or a PFET can be formed. Two FETs also can be coupled to form a complementary metal oxide semiconductor (CMOS) device, where a p-type MOSFET and n-type MOSFET are coupled together.
  • SUMMARY
  • In accordance with an embodiment of the present invention, a method of forming a nanosheet transistor device is provided. The method includes forming a segment stack of alternating intermediate sacrificial segments and nanosheet segments on a bottom sacrificial segment, wherein the segment stack is on a mesa and a nanosheet template in on the segment stack. The method further includes removing the bottom sacrificial layer to form a conduit, and forming a fill layer in the conduit and encapsulating at least a portion of the segment stack.
  • In accordance with another embodiment of the present invention, a method of forming a nanosheet transistor device is provided. The method includes forming a segment stack of alternating intermediate sacrificial segments and nanosheet segments on a bottom sacrificial segment, wherein the segment stack is on a mesa and a nanosheet template is on the segment stack. The method further includes depositing a stack liner on the nanosheet template, exposed portions of the segment stack, and the mesa, and depositing a spacer layer on the stack liner. The method further includes forming a gauge block on the spacer layer, and removing a portion of the spacer layer to form a trench between the gauge block and the bottom sacrificial segment and mesa. The method further includes removing an exposed portion of the stack liner and gauge block to widen the trench, and removing the nanosheet template. The method further includes forming a plug in the widened trench, and forming a dummy gate across the segment stack. The method further includes removing the plug and bottom sacrificial segment to form a conduit, and forming a fill layer in the conduit.
  • In accordance with yet another embodiment of the present invention, a nanosheet transistor device is provided. The nanosheet transistor device includes a fill layer section on a mesa, wherein the mesa is on a substrate. The nanosheet transistor device further includes one or more nanosheet plates above the fill layer section, and a gate dielectric layer on the fill layer section and each of the one or more nanosheet plates. The nanosheet transistor device further includes a conductive gate electrode on the gate dielectric layer.
  • These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following description will provide details of preferred embodiments with reference to the following figures wherein:
  • FIG. 1 is a cross-sectional side view showing a stack of alternating sacrificial layers and nanosheet layers on a substrate with a nanosheet template on the stack, in accordance with an embodiment of the present invention;
  • FIG. 2 is a cross-sectional side view showing the stack of alternating sacrificial layers and nanosheet layers patterned using the nanosheet template to form a segment stack of alternating sacrificial segments and nanosheet segments on a mesa with the nanosheet template on the segments, in accordance with an embodiment of the present invention;
  • FIG. 3 is a cross-sectional side view showing a stack liner on the stack of alternating sacrificial segments and nanosheet segments, a spacer layer on the stack liner, and a gauge layer formed on the spacer layer, in accordance with an embodiment of the present invention;
  • FIG. 4 is a cross-sectional side view showing the height of the gauge layer reduced to form gauge blocks that expose a predetermined portion of the spacer layer, in accordance with an embodiment of the present invention;
  • FIG. 5 is a cross-sectional side view showing the exposed portion of the spacer layer removed, and a portion of the spacer layer between the gauge blocks and stack liner also removed to form a trench, in accordance with an embodiment of the present invention;
  • FIG. 6 is a cross-sectional side view showing the exposed portion of the stack liner removed, and the width of the trench increased by removing a portion of the gauge blocks adjoining the trench, in accordance with an embodiment of the present invention;
  • FIG. 7 is a cross-sectional side view showing a plug formed in the widened trench, where the plug covers a portion of the mesa and bottom sacrificial segment directly on the mesa, in accordance with an embodiment of the present invention;
  • FIG. 8 is a cross-sectional side view perpendicular to FIG. 7 through the segment stack showing a plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention;
  • FIG. 9 is a top view showing the plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention;
  • FIG. 10 is a cross-sectional side view showing one of the plurality of dummy gates and dummy gate caps across the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention;
  • FIG. 11 is a cross-sectional side view showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and an adjacent sacrificial segment, in accordance with an embodiment of the present invention;
  • FIG. 12 is a cross-sectional side view between two adjacent dummy gates and gate caps showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and the adjacent sacrificial segment, in accordance with an embodiment of the present invention;
  • FIG. 13 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a fill layer formed on the exposed surfaces and in the conduit between the mesa and the sacrificial segment, in accordance with an embodiment of the present invention;
  • FIG. 14 is a cross-sectional side view between two adjacent dummy gates and gate caps, laterally offset from the dummy gate in FIG. 13, showing the fill layer formed on the exposed surfaces of the stack and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention;
  • FIG. 15 is a cross-sectional side view along the long axis of the segment stack showing the fill layer on the plurality of dummy gates and dummy gate caps and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention;
  • FIG. 16 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a portion of the fill layer removed from the dummy gate cap, in accordance with an embodiment of the present invention;
  • FIG. 17 is a cross-sectional side view between two adjacent dummy gates and gate caps showing a portion of the fill layer removed from the top-most nanosheet segment and the reduced height gauge layer, in accordance with an embodiment of the present invention;
  • FIG. 18 is a cross-sectional side view along the long axis of the segment stack showing the fill layer on the plurality of dummy gates and dummy gate caps, inner spacers between the nanosheet sections, and source/drains formed on the stacks adjacent to the dummy gates, in accordance with an embodiment of the present invention;
  • FIG. 19 is a cross-sectional side view along the long axis of the segment stack showing the dummy gate caps removed and the plurality of dummy gates replaced with a gate structure on the nanosheet sections, in accordance with an embodiment of the present invention; and
  • FIG. 20 is a cross-sectional side view showing the gate structure on the nanosheet sections, and the fill layer between the gate structure and the mesa, in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention provide a nano sheet type transistor device having increased electrical isolation between a gate structure and the substrate. Formation of a bottom dielectric fill layer between the substrate and the semiconductor nanosheets can avoid over etching during exposure of sacrificial layers. The dielectric fill layer can also increase the dielectric thickness between the conductive gate electrode and the substrate beyond the thickness of a gate dielectric layer alone.
  • Embodiments of the present invention provide a method of forming a nanosheet type transistor device having increased electrical isolation between a gate structure and the substrate by adding an additional sacrificial layer on the substrate and replacing the additional sacrificial layer with a dielectric fill layer.
  • Embodiments of the present invention provide a dielectric fill layer on a portion of the substrate by utilizing a liner and spacer layer in combination with an additional sacrificial layer to form a conduit between the substrate and semiconductor nanosheet layers.
  • Exemplary applications/uses to which the present invention can be applied include, but are not limited to: digital logic devices (e.g. NAND gates, NOR gates, etc.), microprocessors, microcontrollers, memory devices (e.g., SRAM, DRAM), analog circuits, for example, data converters, image sensors, and highly integrated transceivers.
  • It is to be understood that aspects of the present invention will be described in terms of a given illustrative architecture; however, other architectures, structures, substrate materials and process features and steps can be varied within the scope of aspects of the present invention.
  • Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, a cross-sectional side view of a stack of alternating sacrificial layers and nanosheet layers on a substrate with a nanosheet template on the stack is shown, in accordance with an embodiment of the present invention.
  • In one or more embodiments, a substrate 110 can be a semiconductor substrate or a semiconductor-on-insulator (SeOI) substrate, where the substrate includes a region that can form semiconductor devices. In various embodiments, the substrate 110 can include a semiconductor layer that can be made of a group IV semiconductor material, for example, silicon (Si) or germanium (Ge), a IV-IV compound semiconductor material, for example, silicon-germanium (SiGe) or silicon carbide (SiC), a III-V compound semiconductor, for example, gallium arsenide (GaAs), indium phosphide (InP), gallium nitride (GaN), indium-gallium nitride (InGaN), etc. The substrate 110 can be a single crystal semiconductor substrate.
  • In one or more embodiments, a bottom sacrificial layer 120 can be formed on a substrate 110, where the bottom sacrificial layer 120 can be formed by epitaxial or heteroepitaxial growth. (Epitaxial and heteroepitaxial growth will both be referred to as epitaxial growth for simplicity and clarity.)
  • In various embodiments, the bottom sacrificial layer 120 can be a material that can be selectively removed without damaging the substrate material and the material of other sacrificial layers. In various embodiments, the bottom sacrificial layer 120 can be silicon-germanium (SiGe) having a germanium concentration of at least 50 atomic percent (at. %), or a germanium concentration in a range of about 55 at. % to about 75 at. %, or about 60 at. %.
  • In various embodiments, the bottom sacrificial layer 120 can have a thickness in a range of about 4 nanometers (nm) to about 30 nm, or about 8 nm to about 16 nm, although other thicknesses are also contemplated. The thickness of the bottom sacrificial layer 120 can less than the critical thickness at which defects (e.g., threading defects) appear to avoid propagating defects up through subsequent nanosheet layers that can form device channels.
  • In one or more embodiments, an intermediate sacrificial layer 130 can be formed on the bottom sacrificial layer 120, where the intermediate sacrificial layer 130 can be formed by epitaxial or heteroepitaxial growth.
  • In various embodiments, the intermediate sacrificial layer 130 can be silicon-germanium (SiGe) having a germanium concentration of less than 50 atomic percent (at. %), or a germanium concentration in a range of about 5 at. % to about 45 at. %, or about 10 at. % to about 40 at. % or about 20 at. % to about 30 at. %, or about 30 at. %, although other concentrations are also contemplated. In various embodiments, the bottom sacrificial layer 120 can be selectively remove relative to the intermediate sacrificial layer 130.
  • In various embodiments, the intermediate sacrificial layer 130 can have a thickness in a range of about 4 nanometers (nm) to about 20 nm, or about 8 nm to about 15 nm, although other thicknesses are also contemplated.
  • In one or more embodiments, a nanosheet layer 140 can be formed on the intermediate sacrificial layer 130, where the nanosheet layer 140 can be formed by epitaxial or heteroepitaxial growth on the intermediate sacrificial layer 130. The nanosheet layer 140 can form a device channel for a nanosheet type transistor device.
  • In various embodiments, the nanosheet layer 140 can be a semiconductor, for example, silicon (Si).
  • In various embodiments, the nanosheet layer 140 can have a thickness in a range of about 5 nanometers (nm) to about 10 nm, or about 5 nm to about 8 nm, although other thicknesses are also contemplated.
  • In various embodiments, alternating intermediate sacrificial layers 130 and nanosheet layer 140 can be formed on an underlying layer to form a stack 131 of alternating sacrificial layers 130 and nanosheet layers 140 on the substrate 110. In various embodiments, the top-most layer can be a nanosheet layers 140.
  • In one or more embodiments, a template layer 150 can be formed on the stack 131 of alternating sacrificial layers 130 and nanosheet layers 140, where the template layer 150 can be formed by a blanket deposition, for example, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), spin-on, where the template layer 150 can cover the top surface on the top-most layer in the stack 131.
  • In various embodiments, the template layer 150 can be a hard mask material, including, but not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), silicon boronitride (SiBN), silicon carbonitride (SiCN), silicon boro carbonitride (SiBCN), and combinations thereof.
  • FIG. 2 is a cross-sectional side view showing the stack of alternating sacrificial layers and nanosheet layers patterned using the nanosheet template to form a segment stack of alternating sacrificial segments and nanosheet segments on a mesa with the nanosheet template on the segments, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the template layer 150 can be patterned using lithographic processes and etching (e.g., reactive ion etching (RIE)) to form a nanosheet template 152 on the stack 131 of alternating intermediate sacrificial layers 130 and nanosheet layers 140. The template layer 150 can be used to mask portions of the stack 131 during removal of exposed portions of the alternating sacrificial layers 130 and nanosheet layers 140.
  • In one or more embodiments, a sequence of etchings can be used to remove portions of the underlying intermediate sacrificial layers 130 and nanosheet layers 140 to form a segment stack 133 of alternating intermediate sacrificial segments 132 and nanosheet segments 142 on a bottom sacrificial segment 122 and mesa 115. In various embodiments, a portion of the substrate 110 below the bottom sacrificial segment 122 can be removed to form a mesa 115 beneath the segment stack 133, where removal of the portion of the substrate increases the space between the nanosheet segments 142 and substrate 110.
  • FIG. 3 is a cross-sectional side view showing a stack liner on the stack of alternating sacrificial segments and nanosheet segments, a spacer layer on the stack liner, and a gauge layer formed on the spacer layer, in accordance with an embodiment of the present invention.
  • In one or more embodiments, a stack liner 160 can be formed on the nanosheet template 152 and exposed portions of the segment stack 133 of alternating intermediate sacrificial segments 132 and nanosheet segments 142 on a bottom sacrificial segment 122 and the mesa 115 and exposed surface of the substrate 110. The stack liner 160 can be formed by a conformal deposition, for example, atomic layer deposition (ALD), plasma enhanced ALD (PEALD), and combinations thereof.
  • In various embodiments, the stack liner 160 can be a dielectric material, including, but not limited to, silicon oxide (SiO), silicon oxynitride (SiON), and combinations thereof.
  • In various embodiments, the stack liner 160 can have a thickness in a range of about 0.5 nm to about 5 nm, or about 1 nm to about 3 nm, although other thicknesses are also contemplated.
  • In one or more embodiments, a spacer layer 170 can be formed on the stack liner 160, where the spacer layer 170 can be formed by a conformal deposition (e.g., ALD, PEALD). The spacer layer 170 can cover the stack liner 160.
  • In various embodiments, the spacer layer 170 can be a dielectric material, including, but not limited to, silicon nitride (SiN), silicon boronitride (SiBN), silicon carbonitride (SiCN), silicon boro carbonitride (SiBCN), silicon oxy carbonitride (SiOCN), silicon oxycarbide (SiCO), and combinations thereof, where the spacer layer 170 can be selectively removed relative to the stack liner 160.
  • In various embodiments, the spacer layer 170 can have a thickness in a range of about 2 nm to about 15 nm, or about 3 nm to about 10 nm, although other thicknesses are also contemplated.
  • In one or more embodiments, a gauge layer 180 can be formed on the spacer layer 170, where the gauge layer 180 can be formed by a blanket deposition (e.g., CVD, PECVD, spin-on). The gauge layer 180 can cover the spacer layer 170, where a portion of the gauge layer 180 can be over the nanosheet template 152 and segment stack 133. A chemical-mechanical polishing can be used to remove the portions of the gauge layer 152 above the spacer layer 170, so the portion of the spacer layer 170 on the nanosheet template 152 becomes exposed.
  • In various embodiments, the gauge layer 180 can be a dielectric material, including, but not limited to, silicon oxide (SiO). In various embodiments, the gauge layer 180 can be selectively removed relative to the spacer layer 170.
  • FIG. 4 is a cross-sectional side view showing the height of the gauge layer reduced to form gauge blocks that expose a predetermined portion of the spacer layer, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the height of the gauge layer 180 can be reduced to expose a predetermined portion of the spacer layer 170 on the sidewalls of the segment stack 133, where the height of the gauge layer 180 can be reduced by removing an portion of the gauge layer using a selective isotropic etch (e.g., wet chemical etch, dry plasma etch) or a selective directional etch (e.g., RIE) in combination with a selective isotropic etch to remove portions of the gauge layer 180 along the sidewalls of the segment stack 133 and mesa 115. In various embodiments, the height of the gauge layer 180 can be reduced to below the nanosheet segment 142 closest to the mesa 115, such that the top surface(s) of a gauge block 182 is between the top and bottom surfaces of the intermediate sacrificial segment 132 adjoining the bottom sacrificial segment 122. A portion of the spacer layer 170 on the segment stack 133 and nanosheet template 152 can be exposed by formation of the gauge block(s) 182.
  • In various embodiments, the gauge block(s) 182 can have a height from the top surface of the underlying spacer layer 170 in a range of about 25 nm to about 300 nm, or about 50 nm to about 200 nm, although other heights are also contemplated.
  • FIG. 5 is a cross-sectional side view showing the exposed portion of the spacer layer removed, and a portion of the spacer layer between the gauge blocks and stack liner also removed to form a trench, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the exposed portion of the spacer layer 170 can be removed using a selective isotropic etch (e.g., wet chemical etch) to form spacer layer sections 175. A portion of the spacer layer 170 below the top surface of the gauge block(s) 182 can also be removed using the selective isotropic etch to form a trench 177 between the gauge blocks and the stack liner 160, where the spacer layer 170 can be removed to below the top surface of the mesa 115. Removal of the portion of the spacer layer 170 can expose the underlying portion of the stack liner 160 on the segment stack 133 and nanosheet template 152, and along the bottom sacrificial segment 122 and an upper portion of the mesa 115. The portions of the spacer layer 170 forming the spacer layer sections 175 can remain adjacent to a lower portion of the mesa 115, such that the spacer layer sections 175 can have an L-shaped cross-section.
  • FIG. 6 is a cross-sectional side view showing the exposed portion of the stack liner removed, and the width of the trench increased by removing a portion of the gauge blocks adjoining the trench, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the exposed portion of the stack liner 160 can be removed, where the exposed portion of the stack liner 160 can be removed using a selective isotropic etch (e.g., wet chemical etch) to form stack liner sections 165. A portion of the stack liner sections 165 can be on a lower portion of the mesa 115. Removal of the exposed portion of the stack liner 160 can also remove an additional portion of the gauge block(s) 182 that can increase the width of the trench(es) 177, and further reduce the height of the gauge block(s) 182 by the thickness of the stack liner 160, where the stack liner 160 and gauge blocks 182 are the same dielectric material.
  • FIG. 7 is a cross-sectional side view showing a plug formed in the widened trench, where the plug covers a portion of the mesa and bottom sacrificial segment directly on the mesa, in accordance with an embodiment of the present invention.
  • In one or more embodiments, a plug 190 can be formed in the widened trenches 177, where the plug 190 can be formed by a conformal depiction (e.g., ALD, PEALD) and an etch back using a selective etch. The plug 190 can be formed on the exposed edge of the spacer layer sections 175 and stack liner sections 165. A top surface of the plugs 190 can be below the interface of the bottom sacrificial segment 122 and the directly overlying segment, which can be an intermediate sacrificial segment 132. The top surface of the plug(s) 190 can be below the top surface of the gauge blocks 182.
  • In various embodiments, the plug(s) 190 can be a selectively removable material, for example, titanium oxide (TiO), or the same material as the bottom sacrificial layer 120. The plug(s) 190 can be silicon-germanium (SiGe) having a germanium concentration of at least 50 atomic percent (at. %), or a germanium concentration in a range of about 55 at. % to about 75 at. %, or about 60 at. %. The plug(s) 190 can be the same material as the bottom sacrificial layer 120, so both the bottom sacrificial layer 120 and plug(s) 190 can be removed using the same etching step.
  • FIG. 8 is a cross-sectional side view perpendicular to FIG. 7 through the segment stack showing a plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • In one or more embodiments, a plurality of dummy gates 200 can be formed on the segment stack 133 by forming a dummy gate layer and patterning the dummy gate layer using lithographic processes and etching (e.g., RIE). Dummy gate caps 210 can be formed on each of the dummy gates 200 from a dummy gate cap layer, as part of the lithographic processes and etching.
  • In various embodiments, the dummy gate layer and dummy gates 200 can be a selectively removable material that can be easily etched, for example, amorphous silicon (a-Si), polycrystalline silicon (pc-Si), amorphous carbon (a-C), and combinations thereof.
  • FIG. 9 is a top view showing the plurality of dummy gates and dummy gate caps formed across the long axis of the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the plurality of dummy gates 200 and dummy gate caps 210 can be formed across the long axis of the segment stack 133, such that there are regions of the segment stack under a dummy gate and gate cap, regions of the segment stack between two adjacent dummy gates and gate caps, and regions of the segment stack extending beyond the outermost dummy gates and gate caps.
  • FIG. 10 is a cross-sectional side view showing one of the plurality of dummy gates and dummy gate caps across the stack of alternating sacrificial segments and nanosheet segments, in accordance with an embodiment of the present invention.
  • In one or more embodiments, a dummy gate 200 can cover a portion of the sidewalls of the segment stack 133. The dummy gate caps 210 can cover the dummy gate 200 and a gate sidewall can be around the dummy gates.
  • FIG. 11 is a cross-sectional side view showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and an adjacent sacrificial segment, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the plug(s) 190 and the bottom sacrificial segment 122 can be removed to form a conduit 195 between the top surface of the mesa 115 and an adjacent intermediate sacrificial segment 132 and in the reopened trenches 177, where the conduit 195 can have extensions along the sidewalls of the mesa 115, such that the conduit has an upside-down U-shape over the mesa. In various embodiments, the plug(s) 190 can be removed using a selective isotropic etch to expose portions of the bottom sacrificial segment 122, and the bottom sacrificial segment 122 can be removed using a subsequent isotropic etch, where the bottom sacrificial segment 122 and bottom sacrificial segment 122 are different materials. Where the bottom sacrificial segment 122 and plug(s) 190 are the same material, a single isotropic etch can be used to remove both.
  • FIG. 12 is a cross-sectional side view between two adjacent dummy gates and gate caps showing the bottom sacrificial segment directly on the mesa removed to form a conduit between the top surface of the mesa and the adjacent sacrificial segment, in accordance with an embodiment of the present invention.
  • In one or more embodiments, removal of the plug(s) 190 and bottom sacrificial segment 122 can expose portions of the immediately adjacent intermediate sacrificial segment 132, between the plurality of dummy gates 200 and at the ends of the segment stack 133. Portions of the segment stack 133 can be supported by the dummy gates 200 allowing the segment stack 133 to be undercut by removing the adjacent intermediate sacrificial segment 132. The upside-down U-shape conduit 195 can separate the segment stack 133 from the mesa 115 and substrate 110, and the extensions can separate the sidewalls of the mesa from the sidewalls of the gauge blocks 182.
  • FIG. 13 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a fill layer formed on the exposed surfaces and in the conduit between the mesa and the sacrificial segment, in accordance with an embodiment of the present invention.
  • In one or more embodiments, a fill layer 220 can be formed on the exposed surfaces including the surfaces forming the conduit 195 and reopened trenches 177, where the fill layer 220 can be formed by a conformal deposition (e.g., ALD, PEALD). The conformal deposition can fill in the trenches 177 and the conduit 195, including the extensions, below the dummy gates 200 and between the mesa surface and adjacent intermediate sacrificial segment 132. In various embodiments, the fill layer 220 can be formed in the conduit 195 without forming a pinch-off or void space, with a seam where the deposited layer meets, or with airgaps depending on the deposition method and parameter control. The fill layer 220 can have an upside-down U-shape on the mesa 115 below the dummy gates 200 from filling in the conduit 195 with the extensions.
  • In various embodiments, the fill layer 220 can be a dielectric material, including, but not limited to, a low-k dielectric material, silicon nitride (SiN), silicon oxy carbonitride (SiOCN), and combinations thereof. In various embodiments, the fill layer 220 can be a dielectric material that is selectively etchable relative to gauge blocks 182, intermediate sacrificial segment 132, nanosheet segments 142, and dummy gate caps 210.
  • In various embodiments, the fill layer 220 can have a thickness in a range of about 4 nanometers (nm) to about 15 nm, or about 6 nm to about 10 nm, although other thicknesses are also contemplated. The thickness of the fill layer 220 can be greater than half of the thickness of the bottom sacrificial layer 120, so the fill layer 220 can fill in the conduit 195.
  • FIG. 14 is a cross-sectional side view between two adjacent dummy gates and gate caps, laterally offset from the dummy gate in FIG. 13, showing the fill layer formed on the exposed surfaces of the stack and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the fill layer 220 can be formed on the exposed surfaces of the segment stack 133 and gauge blocks 182, including the top surface portions of the top most intermediate sacrificial segment 132 exposed between the dummy gates 200. The fill layer 220 can encapsulate a portion of the segment stack 133 between adjacent gate structures formed by the dummy gates and gate caps.
  • FIG. 15 is a cross-sectional side view along the long axis of the segment stack showing the fill layer on the plurality of dummy gates and dummy gate caps and in the conduit between the mesa and the stack, in accordance with an embodiment of the present invention.
  • In various embodiments, the fill layer 220 can be formed on the top surface of the dummy gate caps 210 and sidewalls of the plurality of dummy gates 200. A portion of the fill layer 220 can extend along the length of the remaining layers of the segment stack 133, where the portion of the fill layer 220 can replace the bottom sacrificial segment 122 in the segment stack 133.
  • FIG. 16 is a cross-sectional side view of a region of the segment stack under the dummy gate and gate cap showing a portion of the fill layer removed from the dummy gate cap, in accordance with an embodiment of the present invention.
  • In one or more embodiments, exposed portion of the fill layer 220 can be removed using a selective directional etch (e.g., RIE) to form fill layer sections 222 on surfaces approximately perpendicular to the direction of the etchant. The portion of the fill layer 220 can be removed from the dummy gate cap 210, while remaining on the sidewalls of the dummy gates 220 and in the conduit 195. The portion of the fill layer section 222 in the conduit 195 under the dummy gate 220 can form a cap over the upper portion of the mesa 115, where the cross-section of the fill layer section 222 can have an upside-down U-shape underneath the gate structure and an H-shape between the gate structures. Different regions of the fill layer section 222, therefore, have different shapes.
  • FIG. 17 is a cross-sectional side view between two adjacent dummy gates and gate caps showing a portion of the fill layer removed from the top-most nanosheet segment and the reduced height gauge layer, in accordance with an embodiment of the present invention.
  • In various embodiments, the fill layer 220 can be removed from the top surface portions of the top most intermediate sacrificial segment 132 and top surface portions of the gauge blocks 182 exposed between the dummy gates 200, while remaining on the sidewalls of the intermediate sacrificial segments 132 and nanosheet segments 142, and in the conduit 195. The portion of the fill layer section 222 in the conduit 195 under the intermediate sacrificial segments 132 can form a cap over the upper portion of the mesa 115, and the fill layer section 222 with legs extending along the sidewalls of the intermediate sacrificial segments 132 and nanosheet segments 142 and into the conduit extensions can form an H-shaped fill layer section 222 between the gate structures.
  • FIG. 18 is a cross-sectional side view perpendicular to FIG. 17 showing the fill layer on the plurality of dummy gates and dummy gate caps, inner spacers between the nanosheet sections, and source/drains formed on the stacks adjacent to the dummy gates, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the portions of the fill layer section 222 on the sidewalls of the dummy gates 200 and dummy gate caps 210 can form spacers that mask underlying sections of the intermediate sacrificial segments 132 and nanosheet segments 142. A portion of the top most intermediate sacrificial segment 132 can be exposed between the fill layer sections 222 on facing sidewalls of the dummy gates 200. In various embodiments, the portions of the intermediate sacrificial segments 132 and nanosheet segments 142 between the facing fill layer sections 222 can be removed to form gaps between intermediate sacrificial plates 135 and nanosheet plates 145, where the fill layer section 222 can be exposed. The portions of the intermediate sacrificial segments 132 and nanosheet segments 142 can be removed using a selective directional etch (e.g., RIE) to form facing intermediate sacrificial plates 135 and nanosheet plates 145 separated by a gap.
  • In various embodiments, portions of the intermediate sacrificial plates 135 can be removed using a selective isotropic etch to form a recess between vertically adjacent nanosheet plates 145. An inner spacer 230 can be formed in each of the recesses using a conformal deposition and an isotropic etch. In various embodiments, the inner spacers 230 can be a dielectric material, including, but not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), low-k dielectric materials, and combinations thereof. The low-k dielectric materials can be carbon-doped silicon oxide (SiO:C), fluorine doped silicon oxide (SiO:F), and combinations thereof.
  • In one or more embodiments, source/drains 240 can be formed in the gaps between the adjacent inner spacers 230 and nanosheet plates 145 by epitaxial growth on the exposed end walls of the and nanosheet plates 145. The source/drains 240 can be n-type source/drains or p-type source/drains depending on the dopant(s) introduced during formation (i.e., in situ). In various embodiments, the source/drains 240 can be silicon (Si) or silicon-germanium (SiGe), where the silicon-germanium (SiGe) source/drains can be doped with a p-type dopant (e.g., boron (B), gallium (Ga), etc.) and the silicon (Si) can be doped with an n-type dopant (e.g., phosphorus (P), arsenic (As), etc.). Portions of the fill layer sections 222 shown in FIG. 17 can be on opposite sides of the source/drains 240, where the intermediate sacrificial segments 132 and nanosheet segments 142 have been previously removed.
  • In various embodiments, the top surfaces of the source/drains can be above the top surfaces of the top-most nanosheet plates 145.
  • FIG. 19 is a cross-sectional side view perpendicular to FIG. 17 showing the dummy gate caps removed and the plurality of dummy gates replaced with a gate structure on the nanosheet sections, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the dummy gate caps 210 can be removed using a selective etch (isotropic or directional) to expose the underlying dummy gates 200 within dummy gate sidewalls. In various embodiments, the dummy gates 200 can be removed using an isotropic etch (e.g., wet chemical etch) to expose the intermediate sacrificial plates 135 and nanosheet plates 145.
  • In one or more embodiments, the intermediate sacrificial plates 135 can be removed using an isotropic etch to expose the surfaces of the nanosheet plates 145.
  • In one or more embodiments, a gate dielectric layer 250 can be formed on the exposed surfaces of the nanosheet plates 145 and on the exposed surfaces of the fill layer sections 222 and inner spacers 230. The gate dielectric layer 250 can be formed by a conformal deposition (e.g., ALD, PEALD).
  • In various embodiments, the gate dielectric layer 250 can be a dielectric material, including, but not limited to, silicon oxide (SiO), silicon nitride (SiN), silicon boro carbonitride (SiBCN), a high-k dielectric, and combinations thereof. The high-k dielectric material can include, but not limited to, metal oxides, for example, hafnium oxide (HfO), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), lanthanum oxide (LaO), lanthanum aluminum oxide (LaAlO), zirconium oxide (ZrO), zirconium silicon oxide (ZrSiO), zirconium silicon oxynitride (ZrSiON), tantalum oxide (TaO), titanium oxide (TiO), barium strontium titanium oxide (BaSrTiO), barium titanium oxide (BaTiO), strontium titanium oxide (SrTiO), yttrium oxide (YO), aluminum oxide (AlO), lead scandium tantalum oxide (PbScTaO), and lead zinc niobate (PbZnNbO). The high-k dielectric material may further include dopants such as lanthanum, aluminum, magnesium, or combinations thereof.
  • In various embodiments, the gate dielectric layer 250 can have a thickness in a range of about 1 nm to about 3 nm, or about 2 nm, although other thicknesses are also contemplated.
  • In one or more embodiments, a conductive gate electrode 260 can be formed on the gate dielectric layer 250 using a conformal deposition. In various embodiments, the conductive gate electrode 260 can be any suitable conducting material, including but not limited to, doped polycrystalline or amorphous silicon, germanium, silicon germanium, a metal (e.g., tungsten (W), titanium (Ti), tantalum (Ta), ruthenium (Ru), hafnium (Hf), zirconium (Zr), cobalt (Co), nickel (Ni), copper (Cu), aluminum (Al), platinum (Pt), tin (Sn), silver (Ag), gold (Au), a conducting metallic compound material (e.g., tantalum nitride (TaN), titanium nitride (TiN), tantalum carbide (TaC), titanium carbide (TiC), titanium aluminum carbide (TiAlC), tungsten silicide (WSi), tungsten nitride (WN), ruthenium oxide (RuO2), cobalt silicide (CoSi), nickel silicide (NiSi)), transition metal aluminides (e.g. Ti3Al, ZrAl), TaC, TaMgC, carbon nanotube(s), conductive carbon, graphene, or any suitable combination of these materials. The conductive material may further comprise dopants that are incorporated during or after deposition.
  • FIG. 20 is a cross-sectional side view showing the gate structure on the nanosheet sections, and the fill layer between the gate structure and the mesa, in accordance with an embodiment of the present invention.
  • In one or more embodiments, the conductive gate electrode 260 and the gate dielectric layer 250 can surround the nanosheet plates 145 to form a gate-all-around (GAA) gate structure. The fill layer section 222 in the conduit 195 between the mesa 115 and the adjacent nanosheet plate 145, and the gate dielectric layer 250 on the fill layer section 222 in the conduit 195 can physically and electrically separate the gate structure from the mesa 115 and substrate 110, which reduces leakage current to the substrate 110 and reduces the parasitic capacitance between the gate structure and the substrate 110.
  • The present embodiments can include a design for an integrated circuit chip, which can be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer can transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • Methods as described herein can be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • It should also be understood that material compounds will be described in terms of listed elements, e.g., SiGe. These compounds include different proportions of the elements within the compound, e.g., SiGe includes SixGe1-x where x is less than or equal to 1, etc. In addition, other elements can be included in the compound and still function in accordance with the present principles. The compounds with additional elements will be referred to herein as alloys.
  • Reference in the specification to “one embodiment” or “an embodiment”, as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment”, as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment.
  • It is to be appreciated that the use of any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B). As a further example, in the cases of “A, B, and/or C” and “at least one of A, B, and C”, such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C). This can be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates other ise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper,” and the like, can be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the FIGS. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the FIGS. For example, if the device in the FIGS. is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein can be interpreted accordingly. In addition, it will also be understood that when a layer is referred to as being “between” two layers, it can be the only layer between the two layers, or one or more intervening layers can also be present.
  • It will be understood that, although the terms first, second, etc. can be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element discussed below could be termed a second element without departing from the scope of the present concept.
  • It will also be understood that when an element such as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements can also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements can be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
  • Having described preferred embodiments of a device and method of fabricating the device (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.

Claims (20)

What is claimed is:
1. A nanosheet transistor device, comprising:
a fill layer section on a top surface and at least a portion of opposite sidewalls of a mesa, wherein the mesa is on a substrate; and
one or more nanosheet plates above the fill layer section, wherein the fill layer section is beneath at least a portion of the one or more nanosheet plates and extends from a first side of the one or more nanosheet plates to a second side of the one or more nanosheet plates opposite the first side.
2. The nanosheet transistor device of claim 1, further comprising a stack liner section on a portion of opposite sidewalls of the mesa below the fill layer section.
3. The nanosheet transistor device of claim 2, further comprising a spacer layer section on the stack liner section, wherein the fill layer section is on the stack liner section and the spacer layer section.
4. The nanosheet transistor device of claim 3, further comprising a gauge block on the spacer layer section.
5. The nanosheet transistor device of claim 4, wherein a top surface of the gauge block is above a top surface of the fill layer section on the mesa.
6. The nanosheet transistor device of claim 5, further comprising a gate dielectric layer on a top surface of the fill layer section, a top surface of the gauge block, and a portion of a sidewall surface of the gauge block.
7. The nanosheet transistor device of claim 4, wherein the fill layer section is a dielectric material selected from the group consisting of a low-k dielectric material, silicon nitride (SiN), silicon oxy carbonitride (SiOCN), and combinations thereof.
8. The nanosheet transistor device of claim 7, wherein the gate dielectric layer is a dielectric material selected from the group consisting of silicon oxide (SiO), a high-k dielectric, and combinations thereof.
9. The nanosheet transistor device of claim 8, wherein the stack liner section is a dielectric material selected from the group consisting of silicon oxide (SiO), silicon oxynitride (SiON), and combinations thereof.
10. A nanosheet transistor device, comprising:
a fill layer section on a top surface and at least a portion of opposite sidewalls of a mesa, wherein the mesa is on a substrate;
one or more nanosheet plates above the fill layer section, wherein the fill layer section is beneath at least a portion of the one or more nanosheet plates and extends from a first side of the one or more nanosheet plates to a second side of the one or more nanosheet plates opposite the first side; and
a gate dielectric layer on the fill layer section and each of the one or more nanosheet plates.
11. The nanosheet transistor device of claim 10, wherein the fill layer section has a thickness in a range of about 4 nanometers (nm) to about 15 nm.
12. The nanosheet transistor device of claim 11, further comprising a gauge block on the substrate and in direct contact with a portion of the fill layer section.
13. The nanosheet transistor device of claim 12, further comprising a stack liner section on a portion of opposite sidewalls of the mesa below the fill layer section, wherein the stack liner section separates the gauge block from the substrate.
14. The nanosheet transistor device of claim 13, further comprising a spacer layer section on the stack liner section, wherein the spacer layer section separates the gauge block from the stack liner section and the mesa.
15. A nanosheet transistor device, comprising:
a fill layer section on a mesa, wherein the mesa is on a substrate;
one or more nanosheet plates above the fill layer section, wherein the cross-section of the fill layer section has an upside-down U-shape underneath the one or more nanosheet plates and an H-shape between a first gate structure and a second gate structure; and
a gate dielectric layer on the fill layer section and each of the one or more nanosheet plates.
16. The nanosheet transistor device of claim 15, wherein the fill layer section is a dielectric material selected from the group consisting of silicon nitride (SiN), silicon oxy carbonitride (SiOCN), and combinations thereof.
17. The nanosheet transistor device of claim 16, further comprising a conductive gate electrode between the fill layer section and a bottom most nanosheet plate of the one or more nanosheet plates.
18. The nanosheet transistor device of claim 17, further comprising a gauge block adjacent to the fill layer section.
19. The nanosheet transistor device of claim 18, further comprising a stack liner section between the substrate and the gauge block.
20. The nanosheet transistor device of claim 19, further comprising a spacer layer section between the gauge block and the stack liner section.
US17/861,960 2019-09-11 2022-07-11 Nanosheet transistor device with bottom isolation Pending US20220367626A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/861,960 US20220367626A1 (en) 2019-09-11 2022-07-11 Nanosheet transistor device with bottom isolation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/567,767 US11387319B2 (en) 2019-09-11 2019-09-11 Nanosheet transistor device with bottom isolation
US17/861,960 US20220367626A1 (en) 2019-09-11 2022-07-11 Nanosheet transistor device with bottom isolation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/567,767 Continuation US11387319B2 (en) 2019-09-11 2019-09-11 Nanosheet transistor device with bottom isolation

Publications (1)

Publication Number Publication Date
US20220367626A1 true US20220367626A1 (en) 2022-11-17

Family

ID=74849455

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/567,767 Active 2039-10-14 US11387319B2 (en) 2019-09-11 2019-09-11 Nanosheet transistor device with bottom isolation
US17/861,960 Pending US20220367626A1 (en) 2019-09-11 2022-07-11 Nanosheet transistor device with bottom isolation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/567,767 Active 2039-10-14 US11387319B2 (en) 2019-09-11 2019-09-11 Nanosheet transistor device with bottom isolation

Country Status (1)

Country Link
US (2) US11387319B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112420831A (en) * 2019-08-23 2021-02-26 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11195911B2 (en) * 2019-12-23 2021-12-07 International Business Machines Corporation Bottom dielectric isolation structure for nanosheet containing devices
US20230063248A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Rram device as physical unclonable function device and manufacturing method

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5017999A (en) 1989-06-30 1991-05-21 Honeywell Inc. Method for forming variable width isolation structures
US5294559A (en) 1990-07-30 1994-03-15 Texas Instruments Incorporated Method of forming a vertical transistor
FR2945891B1 (en) * 2009-05-19 2011-07-15 Commissariat Energie Atomique SEMICONDUCTOR STRUCTURE AND METHOD FOR PRODUCING SEMICONDUCTOR STRUCTURE.
KR101821672B1 (en) 2011-12-23 2018-01-24 인텔 코포레이션 Non-planar gate all-around device and method of fabrication thereof
CN104054181B (en) * 2011-12-30 2017-10-20 英特尔公司 The variable gate width of all-around-gate transistor
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US8492228B1 (en) * 2012-07-12 2013-07-23 International Business Machines Corporation Field effect transistor devices having thick gate dielectric layers and thin gate dielectric layers
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8796085B2 (en) 2012-10-12 2014-08-05 Viktor Koldiaev Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
KR20140102351A (en) * 2013-02-12 2014-08-22 삼성전자주식회사 Gate all around type semiconductor device
CN105518840B (en) * 2013-10-03 2020-06-12 英特尔公司 Internal spacers for nanowire transistors and methods of fabricating the same
US9263338B2 (en) * 2013-10-23 2016-02-16 Stmicroelectronics, Inc. Semiconductor device including vertically spaced semiconductor channel structures and related methods
WO2015147792A1 (en) * 2014-03-24 2015-10-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors
US9893161B2 (en) * 2015-04-22 2018-02-13 Tokyo Electron Limited Parasitic capacitance reduction structure for nanowire transistors and method of manufacturing
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9437501B1 (en) * 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
US10038053B2 (en) * 2015-10-12 2018-07-31 International Business Machines Corporation Methods for removal of selected nanowires in stacked gate all around architecture
US9741792B2 (en) 2015-10-21 2017-08-22 International Business Machines Corporation Bulk nanosheet with dielectric isolation
US9871099B2 (en) * 2015-11-09 2018-01-16 International Business Machines Corporation Nanosheet isolation for bulk CMOS non-planar devices
KR102409962B1 (en) * 2015-12-16 2022-06-16 삼성전자주식회사 Semiconductor device
US9704962B1 (en) 2015-12-16 2017-07-11 Globalfoundries Inc. Horizontal gate all around nanowire transistor bottom isolation
KR102435521B1 (en) * 2016-02-29 2022-08-23 삼성전자주식회사 Semiconductor devices
US10304936B2 (en) * 2016-05-04 2019-05-28 International Business Machines Corporation Protection of high-K dielectric during reliability anneal on nanosheet structures
US9704863B1 (en) * 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
KR102393744B1 (en) * 2016-11-14 2022-05-02 도쿄엘렉트론가부시키가이샤 Method of Forming Gate Spacers for Nanowire FFT Devices
US9935014B1 (en) * 2017-01-12 2018-04-03 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
US10170584B2 (en) * 2017-01-27 2019-01-01 International Business Machines Corporation Nanosheet field effect transistors with partial inside spacers
US10032867B1 (en) * 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10134901B1 (en) * 2017-06-26 2018-11-20 Globalfoundries Inc. Methods of forming a bulk field effect transistor (FET) with sub-source/drain isolation layers and the resulting structures
US9984936B1 (en) * 2017-07-17 2018-05-29 Globalfoundries Inc. Methods of forming an isolated nano-sheet transistor device and the resulting device
US9947804B1 (en) * 2017-07-24 2018-04-17 Globalfoundries Inc. Methods of forming nanosheet transistor with dielectric isolation of source-drain regions and related structure
US10651291B2 (en) * 2017-08-18 2020-05-12 Globalfoundries Inc. Inner spacer formation in a nanosheet field-effect transistor
KR102283024B1 (en) * 2017-09-01 2021-07-27 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102353251B1 (en) * 2017-09-28 2022-01-19 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US10468311B2 (en) * 2017-10-06 2019-11-05 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10553678B2 (en) * 2017-11-02 2020-02-04 International Business Machines Corporation Vertically stacked dual channel nanosheet devices
US10141403B1 (en) * 2017-11-16 2018-11-27 International Business Machines Corporation Integrating thin and thick gate dielectric nanosheet transistors on same chip
US10553696B2 (en) * 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10312350B1 (en) * 2017-11-28 2019-06-04 International Business Machines Corporation Nanosheet with changing SiGe percentage for SiGe lateral recess
US10553679B2 (en) * 2017-12-07 2020-02-04 International Business Machines Corporation Formation of self-limited inner spacer for gate-all-around nanosheet FET
US10439049B2 (en) * 2017-12-19 2019-10-08 International Business Machines Corporation Nanosheet device with close source drain proximity
US10418493B2 (en) * 2017-12-19 2019-09-17 International Business Machines Corporation Tight pitch stack nanowire isolation
US10263100B1 (en) * 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
US10243054B1 (en) * 2018-04-03 2019-03-26 International Business Machines Corporation Integrating standard-gate and extended-gate nanosheet transistors on the same substrate
US10332803B1 (en) * 2018-05-08 2019-06-25 Globalfoundaries Inc. Hybrid gate-all-around (GAA) field effect transistor (FET) structure and method of forming
US20190378915A1 (en) * 2018-06-12 2019-12-12 International Business Machines Corporation Forming nanosheet transistor with inner spacers at highly scaled gate pitch
US10566248B1 (en) * 2018-07-27 2020-02-18 Globalfoundries Inc. Work function metal patterning for N-P spaces between active nanostructures using unitary isolation pillar
US10615257B2 (en) * 2018-09-07 2020-04-07 International Business Machines Corporation Patterning method for nanosheet transistors
US10714567B2 (en) * 2018-11-09 2020-07-14 Globalfoundries Inc. Nanosheet field-effect transistor with substrate isolation
US10943787B2 (en) * 2019-02-27 2021-03-09 International Business Machines Corporation Confined work function material for gate-all around transistor devices
US10840329B1 (en) * 2019-05-08 2020-11-17 International Business Machines Corporation Nanosheet transistor having improved bottom isolation
US11069819B2 (en) * 2019-10-30 2021-07-20 Globalfoundries U.S. Inc. Field-effect transistors with channel regions that include a two-dimensional material on a mandrel

Also Published As

Publication number Publication date
US11387319B2 (en) 2022-07-12
US20210074809A1 (en) 2021-03-11

Similar Documents

Publication Publication Date Title
US10707128B2 (en) Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US9337193B2 (en) Semiconductor device with epitaxial structures
US20210249412A1 (en) Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US10658246B2 (en) Self-aligned vertical fin field effect transistor with replacement gate structure
US20220367626A1 (en) Nanosheet transistor device with bottom isolation
US11011651B2 (en) Tight pitch stack nanowire isolation
US10833168B2 (en) Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
US11038040B2 (en) Fin field effect transistor devices with robust gate isolation
US10818559B1 (en) Formation of multi-segment channel transistor devices
US20210384320A1 (en) Transistor device having a comb-shaped channel region to increase the effective gate width
US20220352074A1 (en) Semiconductor device having backside gate contact
US20230048829A1 (en) Isolation Structures
US11664455B2 (en) Wrap-around bottom contact for bottom source/drain
US11183389B2 (en) Fin field effect transistor devices with self-aligned gates
US11777034B2 (en) Hybrid complementary field effect transistor device
US20240055502A1 (en) Semiconductor device and formation method thereof
US11355615B2 (en) FinFET having fluorine-doped gate sidewall spacers
US20230207652A1 (en) Nanosheet device having two bottom isolation layers
US20230402512A1 (en) Semiconductor device with dielectric liners on gate refill metal

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION