US20220328371A1 - Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier - Google Patents

Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier Download PDF

Info

Publication number
US20220328371A1
US20220328371A1 US17/850,972 US202217850972A US2022328371A1 US 20220328371 A1 US20220328371 A1 US 20220328371A1 US 202217850972 A US202217850972 A US 202217850972A US 2022328371 A1 US2022328371 A1 US 2022328371A1
Authority
US
United States
Prior art keywords
dielectric layer
circuit carrier
circuit
layer
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/850,972
Inventor
Chih-Wei Wu
Szu-Wei Lu
Ying-Ching Shih
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/850,972 priority Critical patent/US20220328371A1/en
Publication of US20220328371A1 publication Critical patent/US20220328371A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • H01L2223/54486Located on package parts, e.g. encapsulation, leads, package substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1405Shape
    • H01L2224/14051Bump connectors having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16153Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/16155Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation
    • H01L2224/16157Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16153Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/16155Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation
    • H01L2224/16165Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16153Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/16155Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation
    • H01L2224/16168Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/1624Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting between the body and an opposite side of the item with respect to the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/28105Layer connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. layer connectors on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29005Structure
    • H01L2224/29006Layer connector larger than the underlying bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29005Structure
    • H01L2224/29008Layer connector integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29005Structure
    • H01L2224/29009Layer connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/2901Shape
    • H01L2224/29011Shape comprising apertures or cavities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29075Plural core members
    • H01L2224/29078Plural core members being disposed next to each other, e.g. side-to-side arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • H01L2924/10156Shape being other than a cuboid at the periphery
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15151Shape the die mounting substrate comprising an aperture, e.g. for underfilling, outgassing, window type wire connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15159Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking

Definitions

  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic devices.
  • packages such as wafer level packaging (WLP) have begun to be developed.
  • WLP wafer level packaging
  • a common requirement for an advanced electronic circuit is the use of multiple integrated circuit devices (e.g., semiconductor dies) integrated in a single packaged structure.
  • the configuration of a three-dimensional (3D) package is developed.
  • chip on substrate (CoS) or chip-on-wafer-on-substrate (CoWoS) technique is developed.
  • the multi-chip package can achieve the configuration of a system on a chip (SoC).
  • FIG. 1A to FIG. 1H are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 2 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 3 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 4A to FIG. 4D are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 5 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 6A to FIG. 6C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 7 and FIG. 8 are schematic cross-sectional views illustrating different variations in a dashed box A outlined in FIG. 6B in accordance with some exemplary embodiments of the disclosure.
  • FIG. 9A to FIG. 9C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 10A and FIG. 10B are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 11 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • testing structures may be included to aid in the verification testing of the 3D packaging or 3DIC devices.
  • the testing structures may include, for example, test pads formed in a redistribution layer or on a substrate that allows the testing of the 3D packaging or 3DIC, the use of probes and/or probe cards, and the like.
  • the verification testing may be performed on intermediate structures as well as the final structure.
  • the structures and methods disclosed herein may be used in conjunction with testing methodologies that incorporate intermediate verification of known good dies to increase the yield and decrease costs.
  • FIG. 1A to FIG. 1H are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • a plurality of semiconductor dies 110 are disposed on a circuit substrate W 1 .
  • the semiconductor dies 110 are formed in a device wafer (not shown), which may include different device regions that are singulated in subsequent steps to form a plurality of semiconductor dies 110 .
  • the semiconductor dies 110 are bonded to the circuit substrate W 1 through flip-chip (face-to-face) bonding.
  • the aforementioned process may be referred to as a chip-on-wafer process.
  • the semiconductor die 110 includes a semiconductor substrate 112 and a plurality of die connectors 114 distributed on the semiconductor substrate 112 .
  • the semiconductor substrate 112 includes an elementary semiconductor (e.g., silicon or germanium in a crystalline, a polycrystalline, or an amorphous structure, etc.), a compound semiconductor (e.g., silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, etc.), an alloy semiconductor (e.g., silicon-germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminium gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP), etc.), combinations thereof, or other suitable materials.
  • an elementary semiconductor e.g., silicon or germanium
  • the compound semiconductor substrate may have a multilayer structure, or the substrate may include a multilayer compound semiconductor structure.
  • the alloy SiGe is formed over a silicon substrate.
  • a SiGe substrate is strained.
  • the semiconductor substrate 112 includes a plurality of semiconductor devices, such as active devices (e.g., transistors, diodes, etc.) and/or passive devices (e.g., capacitors, resistors, inductors, etc.), or other suitable electrical components, formed therein.
  • the semiconductor substrate 112 may include circuitry (not shown) formed in a front-end-of-line (FEOL), and an interconnect structure (not shown) formed in a back-end-of-line (BEOL).
  • the surface where the die connectors 114 are distributed may be referred to as the active surface of the semiconductor die 110 .
  • the die connectors 114 are metal pillars, controlled collapse chip connection (C4) bumps, micro bumps, electroless nickel-electroless palladium-immersion gold technique (ENEPIG) formed bumps, ball grid array (BGA) bumps, or the like.
  • the die connectors 114 are micro bumps made of copper, nickel, tin, gold, silver, palladium, metal alloy, the like, or a combination thereof.
  • the die connectors 114 are solder free and have substantially vertical sidewalls.
  • the semiconductor die 110 may be or may include a logic die (e.g., central processing unit (CPU), graphics processing unit (GPU), system-on-a-chip (SoC), microcontroller, etc.), a memory die (e.g., dynamic random access memory (DRAM) die, static random access memory (SRAM) die, etc.), a power management die (e.g., power management integrated circuit (PMIC) die), a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, a signal processing die (e.g., digital signal processing (DSP) die), a front-end die (e.g., analog front-end (AFE) dies), the like, or a combination thereof.
  • a logic die e.g., central processing unit (CPU), graphics processing unit (GPU), system-on-a-chip (SoC), microcontroller, etc.
  • a memory die e.g., dynamic random access memory (DRAM) die,
  • the circuit substrate W 1 includes a substrate 122 having a first surface 122 a and a second surface 122 b opposite to each other, a plurality of conductive vias 124 embedded in the substrate 122 and extending from the first surface 122 a towards the second surface 122 b, a circuit layer 126 formed on the first surface 122 a of the substrate 122 and electrically coupled to the conductive vias 124 , and a plurality of conductive connectors 128 disposed on the circuit layer 126 to be in contact with external components (e.g., the semiconductor dies 110 ).
  • the substrate 122 is made of silicon or other suitable materials such as ceramic, glass, plastic, resin or epoxy.
  • the conductive vias 124 are formed by forming recesses (not shown) in the substrate 122 and depositing dielectric liner (not shown), barrier materials (not shown), and conductive materials in the recesses of the substrate 122 , removing excess materials on the substrate 122 .
  • the recesses of the substrate 122 are lined with the dielectric liner to laterally separate the conductive vias 124 from the substrate 122 .
  • the conductive vias 124 may be formed by using a via-first approach, and may be formed during the formation of the circuit layer 126 .
  • the conductive vias 124 are formed by using a via-last approach, and may be formed after the formation of circuit layer 126 .
  • the circuit layer 126 includes circuit patterns (not shown) embedded in a dielectric layer formed in a back-end-of-line (BEOL), and a plurality of conductive pads 126 a electrically coupled to the circuit patterns.
  • a material of the conductive pads 126 a may include aluminum, but other suitable conductive materials (e.g., copper) may be used.
  • the conductive connectors 128 may land on the conductive pads 126 a of the circuit layer 126 , and the conductive connectors 128 are electrically coupled to the conductive vias 124 through the circuit layer 126 .
  • the circuit substrate W 1 is a wafer, and the processes are performed at a die-to-wafer level. Alternatively, the process may be performed at the die-to-die level.
  • the semiconductor dies 110 are coupled to the circuit substrate W 1 by cap layers.
  • the semiconductor dies 110 includes a cap layer (not shown) formed on the die connectors 114 and facing towards the circuit substrate W 1
  • the circuit substrate W 1 may include a cap layer (not shown) formed on the conductive connectors 128 and facing towards the semiconductor dies 110 .
  • the cap layer of the semiconductor dies 110 or the cap layer of the circuit substrate W 1 is omitted.
  • the cap layers are formed by initially forming a layer of solder through methods such as evaporation, electroplating, printing, solder transfer, ball placement, or the like.
  • a reflow process is performed to cause the cap layers to be melted to form conductive joints CJ between each semiconductor die 110 and the circuit substrate W 1 .
  • the conductive joints CJ provide attachment and electrical connections between the semiconductor die 110 and the circuit substrate W 1 .
  • an insulating encapsulation 130 is formed on the circuit substrate W 1 to encapsulate the semiconductor dies 110 .
  • the insulating encapsulation 130 is rigid enough to protect the semiconductor dies 110 .
  • a material of the insulating encapsulation 130 may include epoxy resin, molding compound, molding underfill, or other suitable electrical insulating materials.
  • the insulating encapsulation 130 is formed by compression molding, transfer molding, or the like. After forming the insulating encapsulation 130 , each semiconductor die 110 is surrounded by the insulating encapsulation 130 , and two adjacent semiconductor dies 110 may be spatially separated by the insulating encapsulation 130 .
  • a thinning process e.g., mechanical grinding, chemical mechanical polishing (CMP), etching, or the like
  • CMP chemical mechanical polishing
  • the insulating encapsulation 130 is thinned until back surfaces 110 b of the semiconductor dies 110 are exposed, thereby reducing the overall thickness of the structure.
  • the back surfaces 110 b of the semiconductor dies 110 may be slightly thinned along with the insulating encapsulation 130 during the thinning process.
  • the back surfaces 110 b of the semiconductor dies 110 and the top surface 130 t of the insulating encapsulation 130 are substantially leveled.
  • the thinning process is omitted, and the back surfaces 110 b of the semiconductor dies 110 are covered by the insulating encapsulation 130 .
  • an underfill layer UF is formed between the semiconductor dies 110 and the circuit substrate W 1 .
  • the die connectors 114 , the conductive joints CJ, and the conductive connectors 128 may be surrounded by the underfill layer UF.
  • the underfill material is dispensed and drawn into the gaps between the active surfaces of the semiconductor dies 110 and the circuit substrate W 1 by capillary action, and then the underfill material may be cured to form the underfill layer UF.
  • a portion of the underfill layer UF may climb up to cover the sidewalls of the semiconductor dies 110 to provide a degree of protection.
  • the underfill layer UF may improve the adhesion between semiconductor dies 110 and the circuit substrate W 1 and may provide a stress relief to prevent the conductive joints CJ from cracking.
  • the underfill layer UF is omitted.
  • the insulating encapsulation 130 may be formed in the gaps between the active surfaces of the semiconductor dies 110 and the circuit substrate W 1 .
  • a thinning process (e.g., mechanical grinding, chemical mechanical polishing (CMP), etching, and/or a combination thereof) is performed on the second surface 122 b of the substrate 122 of the circuit substrate W 1 to expose the conductive vias 124 .
  • CMP chemical mechanical polishing
  • etching e.g., etching, and/or a combination thereof
  • the structure illustrated in FIG. 1B may be overturned (e.g., flipped upside down) and then disposed on a temporary carrier TC.
  • a material of the temporary carrier TC may include glass, metal, ceramic, silicon, plastic, combinations thereof, multi-layers thereof, or other suitable material that can hold and support the structure during the following processes.
  • the top surface 130 t of the insulating encapsulation 130 is attached to the temporary carrier TC through a de-bonding layer DB.
  • the de-bonding layer DB may include a polymer adhesive layer (e.g., die attach film (DAF)), a ultra-violet (UV) cured layer, such as a light-to-heat conversion (LTHC) release coating, ultra-violet (UV) glue, which reduces or loses its adhesiveness when exposed to a radiation source (e.g., UV light or a laser).
  • DAF die attach film
  • UV ultra-violet
  • LTHC light-to-heat conversion
  • UV glue ultra-violet glue
  • the thinning process includes at least the following steps.
  • a planarizing process e.g., grinding or CMP
  • a wet or dry etching process having a high etch-rate selectivity between the material of the dielectric liners and the material of the substrate 122 may be performed to recess the substrate 122 so as to leave at least the conductive vias 124 protruding from the thinned second surface 122 b ′ of the substrate 122 .
  • the conductive vias 124 are protruded about a few microns from the thinned second surface 122 b ′ of the substrate 122 .
  • the conductive vias 124 penetrating through the substrate 122 are referred to as through interposer vias (TIV) if the circuit substrate W 1 is diced (as shown in FIG. 1E and FIG. 1H ).
  • an isolation layer 140 is formed on the substrate 122 to at least laterally cover the conductive vias 124 .
  • the isolation layer 140 is a dielectric material, such as SiN, an oxide, SiC, SiON, a polymer, or the like.
  • the isolation layer 140 may be formed by spin-coating, printing, a chemical vapor deposition (CVD), or other suitable deposition process.
  • the dielectric material with a sufficient thickness is formed on the thinned second surface 122 b ′ of the substrate 122 and covers the conductive vias 124 protruded from the thinned second surface 122 b ′, and then the thinning process (e.g., mechanical grinding, CMP, etching, and/or a combination thereof) is performed on the dielectric material to form the isolation layer 140 and leave the conductive vias 124 accessibly revealing from the isolation layer 140 .
  • the top surface of the isolation layer 140 is substantially leveled with the top surfaces of the conductive vias 124 .
  • the conductive vias 124 are slightly protruded from the top surface of the isolation layer 140 .
  • a singulation process is performed on the circuit substrate W 1 to dice the circuit substrate W 1 into a plurality of circuit carriers 120 according to the predetermined areas (not shown).
  • the predetermined areas may be separated by scribe line regions (i.e. non-functional regions; not shown).
  • the circuit substrate W 1 is singulated along scribe lines (not shown) within the scribe line regions by using laser cutting, dicing blade, etching, a combination thereof, or the like.
  • the singulation process includes cutting through the circuit substrate W 1 such that a gap G is formed between two adjacent circuit carriers 120 .
  • the singulation process performing on the circuit substrate W 1 is regarded as a pre-cutting process.
  • the pre-cutting process may be performed to completely cut through the circuit substrate W 1 .
  • a portion of the insulating encapsulation 130 is removed along with the circuit substrate W 1 in the pre-cutting process.
  • a recess R is formed on the insulating encapsulation 130 corresponding to the gap G.
  • a plurality of trenches i.e. gaps G are formed surrounding the predetermined areas in a grid pattern, which may include a group of mutually parallel trenches arranged perpendicular to another group of trenches.
  • the pre-cutting process is to partially cut the circuit substrate W 1 .
  • the circuit substrate W 1 is not completely diced through and a groove is formed on the circuit substrate W 1 at this stage, so that the insulating encapsulation 130 is not recessed but covered by the circuit substrate W 1 .
  • the circuit carriers 120 are diced as rectangular shapes with sharp edges and corners.
  • the substrate 122 of the circuit carrier 120 has substantially vertical diced sidewalls 122 s connected to the first surface 122 a and the thinned second surface 122 b ′.
  • the circuit carrier includes bevel cuts on edges.
  • a patterned dielectric layer 150 is formed over the circuit carriers 120 and wraps the edges and corners of the circuit carriers 120 .
  • a dielectric material is formed over the circuit carriers 120 and extends along the sidewalls 122 s of the circuit carrier 120 by spin-coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like.
  • the isolation layer 140 is interposed between the dielectric material and the substrate 122 .
  • a portion of the dielectric material is removed to form the patterned dielectric layer 150 by lithography (i.e. exposure and development) and etching processes, a laser drilling process, or other suitable removal techniques.
  • the dielectric material may be different from the material of the underlying isolation layer 140 , so that after performing the removal process, the isolation layer 140 is not removed and may remain on the thinned second surface 122 b ′ of the substrate 122 .
  • the patterned dielectric layer 150 includes a thickness T 1 that allows the patterned dielectric layer 150 to act as a buffer for lessening stress on the circuit carriers 120 .
  • the patterned dielectric layer 150 may be a multi-layered structure.
  • the average thickness of the patterned dielectric layer 150 over the circuit carrier 120 ranges from about 2 ⁇ m to about 50 ⁇ m.
  • a material of the patterned dielectric layer 150 is relatively soft to cushion forces exerted on the corners and edges of the circuit carriers 120 .
  • the patterned dielectric layer 150 has a Young's modulus smaller than that of the insulating encapsulation 130 .
  • the Young's modulus of the patterned dielectric layer 150 may be in a range from about 0.5 GPa to about 10 GPa.
  • the patterned dielectric layer 150 may be made of polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), photosensitive polyimide material, soft organic materials, combinations thereof, or other electrical insulating materials.
  • the patterned dielectric layer 150 coves the edges and corners of the circuit carriers 120 and extends along the sidewalls 122 s to fill the gap G and the recess R, so that the patterned dielectric layer 150 may be in physical contact with the insulating encapsulation 130 .
  • the patterned dielectric layer 150 includes a plurality of first openings OP 1 accessibly revealing at least a portion of the TIVs (i.e. conductive vias) 124 for further electrical connection.
  • the width (or diameter) of the first opening OP 1 is greater than the width of the corresponding TIV 124 for better reliability and manufacturability.
  • the width of the first opening OP 1 construe no limitation in the disclosure as long as the TIVs 124 may be accessibly revealed for further electrical connection.
  • the patterned dielectric layer 150 further includes at least one second opening OP 2 formed aside the gap G or formed at the periphery of the predetermined area.
  • the second opening OP 2 serves as an alignment mark for the subsequently process (e.g., singulation).
  • the second opening OP 2 is omitted.
  • a plurality of conductive terminals 160 is formed on the patterned dielectric layer 150 and inside the first openings OP 1 of the patterned dielectric layer 150 to be in physical and electrical contact with the TIVs 124 of the circuit carriers 120 .
  • the conductive terminals 160 are electrically coupled to the semiconductor dies 110 through the circuit carriers 120 .
  • the conductive terminal 160 includes a first portion 162 and a second portion 164 disposed on the first portion 162 .
  • conductive materials are deposited on the patterned dielectric layer 150 and inside the first openings OP 1 of the patterned dielectric layer 150 and patterned to form the first portions 162 of the conductive terminals 160 .
  • the first portions 162 may include conductive vias formed in the first openings OP 1 , conductive pads formed on the conductive vias, under bump metallization (UBM) patterns formed on the conductive pads, etc.
  • the UBM patterns (not shown) may provide additional adhesion to the conductive pads and increase solderability. Alternatively, the UBM patterns are omitted by directly soldering on the conductive pads.
  • the second portions 164 of the conductive terminals 160 are formed on the first portions 162 by, for example, using ball mounting, screen printing, electroless or electroplating, controlled collapse chip connection (C4) plating, or other suitable techniques.
  • the conductive materials of the second portions 164 may include lead based material such as lead-tin compounds or lead free eutectics including tin, copper, silver, nickel, gold, and other lead free materials.
  • a reflow process is performed to reshape the second portions 164 , and each of the second portions 164 are limited by one of the first portions 162 .
  • the dimensions and pitches of the conductive terminals 160 are larger than those of the die connectors 114 of the semiconductor dies 110 since the scale of the semiconductor die 110 is smaller than the following external electrical component (shown in FIG. 3 ).
  • the conductive terminals 160 are referred to as refers to ball grid array (BGA) or controlled collapse chip connection (C4) bumps.
  • a de-bonding process may be performed on the temporary carrier TC to release the temporary carrier TC from the insulating encapsulation 130 after forming the conductive terminals 160 .
  • external energy e.g., UV light or a laser
  • the removal process of the temporary carrier TC may include a mechanical peel-off process, a grinding process, an etching process, or the like.
  • a cleaning process is optionally performed to remove residues of the de-bonding layer DB from the top surface 130 t of the insulating encapsulation 130 (along with the back surfaces 110 b of the semiconductor dies 110 , in some embodiments).
  • the cleaning process may be performed by using suitable solvent, cleaning chemical, or other cleaning techniques.
  • a singulation process may be performed to cut through the patterned dielectric layer 150 and the underlying insulating encapsulation 130 within the scribe line regions.
  • the structure may be transferred to be placed on a dicing tape which may holds the structure in place during the singulation process.
  • the singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 10 .
  • a dicing tool e.g., a saw blade or a laser cutting device
  • FIG. 1H merely serves as an illustrative example, more than one semiconductor die 110 may be encapsulated in the insulating encapsulation 130 to perform multi-functions, and the disclosure is not limited thereto.
  • the patterned dielectric layer 150 formed over the circuit carrier 120 wraps the edges and corners of the circuit carrier 120 and extends to cover the sidewalls 122 s of the circuit carrier 120 .
  • the patterned dielectric layer 150 extends beyond the sidewalls 122 s of the circuit carrier 120 to have a surface 150 a contacting and interfacing with the insulating encapsulation 130 .
  • the surface 150 a of the patterned dielectric layer 150 may be located between the top surface 130 t of the insulating encapsulation 130 and the interface between the circuit carrier 120 and the insulating encapsulation 130 .
  • the singulated sidewall 150 s of the patterned dielectric layer 150 may be substantially leveled with the singulated sidewall 130 s of the insulating encapsulation 130 .
  • FIG. 2 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • a semiconductor structure 15 is provided.
  • the semiconductor structure 15 may be similar to the semiconductor structure 10 described in FIG. 1H , and like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • the difference between the semiconductor structures 10 and 15 includes the profiles of the circuit carrier 120 ′ and the patterned dielectric layer 150 ′.
  • the substrate 122 ′ of the circuit carrier 120 ′ include a slanted sidewall SS connected to the thinned second surface 122 b ′ and the first surface 122 a.
  • the surface area of the thinned second surface 122 b ′ may be greater than the surface area of first surface 122 a.
  • the patterned dielectric layer 150 ′ may cover the slanted sidewall SS.
  • a portion of the patterned dielectric layer 150 ′ formed on the top of the slanted sidewall SS (e.g., immediately adjacent to the thinned second surface 122 b ′) may be thicker than another portion of the patterned dielectric layer 150 ′ formed on the bottom of the slanted sidewall SS (e.g., immediately adjacent to the first surface 122 a ).
  • the forming process of the semiconductor structure 15 may be similar to the forming process of the semiconductor structure 10 , except that the singulation process performing on the circuit substrate as described in FIG. 1E .
  • the beveled trench is formed between adjacent circuit carriers 120 ′ by, for example, using the dicing blade with the corresponding shape to pass through the circuit substrate.
  • the profile of the beveled trench may be an inverted trapezoid shape in a cross-section.
  • the circuit carriers 120 ′ is formed with the slanted sidewalls SS which may correspond to the profile of the beveled trench.
  • the patterned dielectric layer 150 ′ is formed over the circuit carriers 120 ′, wherein the patterned dielectric layer 150 ′ fills the beveled trench. Subsequently, the singulation is performed on the patterned dielectric layer 150 ′ in the beveled trench and the underlying insulating encapsulation 130 to form the semiconductor structures 15 .
  • the forming process of the patterned dielectric layer 150 ′ and the following singulation process may be similar to the processes described in FIG. 1F and FIG. 1G , so the detailed descriptions are omitted for brevity.
  • FIG. 3 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • the semiconductor structure 10 is mounted on an external electric component C 1 to form an electronic device ED 1 .
  • the external electric component C 1 may be or may include an a package substrate, a printed circuit board (PCB), a mother board, a system board, and/or other circuit board that is capable of carrying integrated circuits.
  • the semiconductor structure 10 is in physical and electrical contact with the external electric component C 1 through the conductive terminals 160 .
  • a reflow process is performed to complete the mechanical and electrical connection between the semiconductor structure 10 and the external electric component C 1 by reflowing the second portions 164 of the conductive terminals 160 .
  • an underfill layer UF is formed between the semiconductor structure 10 and the external electric component C 1 and surrounds the conductive terminals 160 to provide adhesion and stress relief therebetween.
  • the circuit carrier 120 is separated from the underfill UF by the patterned dielectric layer 150 .
  • the underfill layer UF is formed in the gap between the patterned dielectric layer 150 and the external electric component C 1 and extends into the second openings OP 2 the patterned dielectric layer 150 .
  • the stack of the semiconductor structure 10 and the external electric component C 1 is heated and cooled down repeatedly in a thermal cycling and/or subjected to shearing and stress.
  • the conductive terminals 160 and the underfill layer UF near the edges and corners of the circuit carrier 120 may suffer from serious stress.
  • the patterned dielectric layer 150 formed on the edges and corners of the circuit carrier 120 may serve as a stress buffer to absorb and/or disperse the stress between the circuit carrier 120 and the external electric component C 1 .
  • the occurrence of cracks in the underfill layer UF and/or in the circuit carrier 120 may be prevented, and thus the conductive terminals 160 may provide a reliable electrical connection.
  • significant stress reduction (about 80%) exerted on the corners of the circuit carrier may be achieved by wrapping the corners of the circuit carrier with the patterned dielectric layer, compared with the structure without the patterned dielectric layer covering the corners of the circuit carrier.
  • FIG. 4A to FIG. 4D are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • a pre-cutting process is performed on the circuit substrate W 1 (as shown in FIG. 1D ) to form a pre-cut circuit substrate W 2 .
  • the processes prior to the pre-cutting may be similar to the processes described in FIG. 1A to FIG. 1D , so the detailed descriptions are omitted for brevity.
  • Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • the pre-cutting process may include bevel cutting, laser cutting, blade sawing, or the like.
  • the pre-cutting process is to partially cut the circuit substrate W 1 (as shown in FIG. 1D ) to form a groove GV thereon.
  • the pre-cutting process does not penetrate the circuit substrate W 1 .
  • the pre-cutting process may partially remove materials within the scribe line regions, including the isolation layer 140 and the underlying substrate 122 (as shown in FIG. 1D ), to result in the groove GV.
  • the sidewalls 240 s ′ of the pre-diced isolation layer 240 ′ and the sidewalls 222 s ′ of the pre-diced substrate 222 ′ are continuously formed as sidewalls GVs of the groove GV.
  • the shape of the groove GV may be defined by the shape of the dicing tool.
  • the dicing tool has a cross-section of a rectangular shape, a triangular shape, a round shape, a polygon shape, a polygon shape with chamfered or beveled endpoint, or the like.
  • the groove GV is a bevel cut formed by using a V-shaped dicing blade.
  • a beveled groove may be chemically formed along the predetermined area.
  • the opposing sidewalls GVs of the groove GV are slanted towards each other.
  • the groove GV reaches a depth D 1 of the pre-cut circuit substrate W 2 .
  • the depth D 1 may be in a range from about 10 ⁇ m to about 150 ⁇ m.
  • the sidewall 222 s ′ of the pre-diced substrate 222 ′ has an angle ⁇ between approximately 5 and 90 degrees relative to the reference plane 240 t ′ which is extended from the top surface of the pre-diced isolation layer 240 ′.
  • the groove GV has a curved profile in a cross section.
  • the V-shaped dicing blade cuts deeper in the pre-cut circuit substrate W 2 to render the groove GV having a V-shaped bottom connected to a vertical sidewall.
  • the groove GV may have a substantially flat bottom connected to a slanted sidewall by using a different dicing blade.
  • FIG. 4A is merely serves as an illustrative example, the shape and the depth of the groove GV construe no limitation in the disclosure.
  • a plurality of grooves GV are formed in a grid pattern in a top-down view (not shown), which may include a group of mutually parallel grooves arranged perpendicular to another group of grooves.
  • a first dielectric sub-layer DM 1 is formed over the pre-cut circuit substrate W 2 .
  • a material of the first dielectric sub-layer DM 1 may include polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), a photo-sensitive resin, but is not limited to the above-mentioned materials.
  • the first dielectric sub-layer DM 1 is formed by spin-coating, dispensing, deposition, or other suitable technique(s).
  • the first dielectric sub-layer DM 1 is conformally formed to cover the pre-diced isolation layer 240 ′ and the TIVs 124 of the pre-cut circuit substrate W 2 .
  • the sidewalls 240 s ′ of the pre-diced isolation layer 240 ′ and the sidewalls 222 s ′ of the pre-diced substrate 222 ′ are in physical contact with the first dielectric sub-layer DM 1 .
  • the groove GV is filled by the first dielectric sub-layer DM 1 .
  • a second dielectric sub-layer DM 2 may be formed on the first dielectric sub-layer DM 1 , and then patterned to form the patterned dielectric layer 250 .
  • the first dielectric material is deposited and etched back to form the first dielectric sub-layer DM 1 with openings (not shown) exposing the conductive vias 124 of the pre-cut circuit substrate W 2 .
  • the second dielectric material is deposited on the first dielectric sub-layer DM 1 and fills the openings of the first dielectric sub-layer DM 1 , and then portions of the second dielectric sub-layer DM 2 corresponding to the openings of the first dielectric sub-layer DM 1 are removed to form the second dielectric sub-layer DM 2 with openings (not shown).
  • the openings of the first dielectric sub-layer DM 1 and the second dielectric sub-layer DM 2 may accessibly expose the conductive vias 124 of the pre-cut circuit substrate W 2 for further electrical connection.
  • the first dielectric material and the second dielectric material are formed sequentially as blanket layers over the pre-cut circuit substrate W 2 , and then lithography and etching processes are performed to remove portions of the second dielectric material and the underlying first dielectric material together so as to accessibly expose the conductive vias 124 of the pre-cut circuit substrate W 2 for further electrical connection.
  • a region of the patterned dielectric layer 250 corresponding to the groove GV is slightly recessed relative to other region of the patterned dielectric layer 250 as shown in FIG. 4C .
  • the material of the second dielectric sub-layer DM 2 may be the same or different from that of the underlying first dielectric sub-layer DM 1 .
  • the second dielectric sub-layer DM 2 is a polymer layer, including benzocyclobutene (BCB), polybenzoxazole (PBO), polyimide (PI), or a solder resist material layer, etc.
  • the second dielectric sub-layer DM 2 has an electrical insulating material, such as a low-temperature polyimide, different from that of the first dielectric sub-layer DM 1 .
  • one of the second dielectric sub-layer DM 2 and the first dielectric sub-layer DM is omitted. It should be noted that the number of sub-layers illustrated in FIG. 4C merely serves as an illustrative example, more than two sub-layers or a single sub-layer may be employed as long as the patterned dielectric layer 250 may function as a buffer that reduces stress exerted on the pre-cut circuit substrate W 2 .
  • the conductive terminals 160 are formed on the patterned dielectric layer 250 and extend into the openings (not labeled) of the patterned dielectric layer 250 to be in physical and electrical contact with the conductive vias 124 of the pre-cut circuit substrate W 2 .
  • the materials and the forming process of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • the de-bonding process may be performed on the temporary carrier TC to remove the temporary carrier TC. The de-bonding process is similar to the process described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • a singulation process may be performed to cut through the patterned dielectric layer 250 , the pre-cut circuit substrate W 2 , and the insulating encapsulation 130 within the scribe line regions. For example, after removing the temporary carrier TC, the structure may be transferred to be placed on the dicing tape for singulation.
  • the singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 20 .
  • the singulation process may provide a full cut passing through the pre-cut circuit substrate W 2 to render a plurality of circuit carriers 220 .
  • the dicing tool (not shown) cuts along the scribe lines SL, within the groove GV, so that a portion of the patterned dielectric layer 250 in the groove GV is remained on the circuit carrier 220 .
  • the circuit substrate is cut by two-step cutting process (i.e., the pre-cut and the singulation) so that the periphery of the circuit carrier 220 has a chamfered profile as shown in FIG. 4D .
  • the groove GV which is a bevel cut, is formed with a width greater than the width of a kerf created by the dicing tool, so that after singulation, the circuit carrier 220 of the semiconductor structure 20 includes the beveled edge 220 e , and a substantially vertical singulated sidewall 220 s connected to the beveled edge 220 e .
  • the beveled edge 220 e is an edge that is not substantially perpendicular to the surfaces (e.g., second surface or sidewall of the substrate) connected thereto.
  • a singulated sidewall 250 s of the patterned dielectric layer 250 disposed on the beveled edge 220 e is substantially leveled with the singulated sidewall 220 s of the circuit carrier 220 and the singulated sidewall 130 s of the insulating encapsulation 130 . Due to the existence of the beveled edge 220 e of the circuit carrier 220 and the patterned dielectric layer 250 covering the beveled edge 220 e, edge/corner cracking of the circuit carrier 220 may be eliminated and the reliability of the semiconductor structure 20 is improved.
  • FIG. 5 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • the semiconductor structure 20 is mounted on the external electric component C 1 to form an electronic device ED 2 .
  • the semiconductor structure 20 is in physical and electrical contact with the external electric component C 1 through the conductive terminals 160 , and the underfill layer UF is optionally formed between the external electric component C 1 and the semiconductor structure 20 to provide adhesion and stress relief therebetween.
  • the electronic device ED 2 is similar to the electronic device ED 1 described in FIG. 3 , so the detailed descriptions are omitted for brevity.
  • a sufficient amount of the underfill layer UF is dispensed so that a portion of the underfill layer UF climbs upwardly to cover at least a portion of the singulated sidewall 250 s of the patterned dielectric layer 250 or extend further to cover at least a portion of the singulated sidewall 220 s of the circuit carrier 220 for protection.
  • FIG. 6A to FIG. 6C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • the structure shown in FIG. 6A is fabricated by the processes similar to the processes described in FIG. 4A and FIG. 4B , for example, the pre-cutting process is performed on the circuit substrate to form the groove, and then the first dielectric sub-layer is formed over the circuit substrate and fills the groove.
  • Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • a portion of the first dielectric sub-layer is removed to form the first patterned sub-layer 352 with first recesses R 1 and a second recess R 2 by, for example, using lithography and etching processes or other suitable techniques.
  • the first recesses R 1 of the first patterned sub-layer 352 may accessibly reveal at least a portion of the TIVs 124 for further electrical connection.
  • the width (or diameter) of the first recess R 1 may be wider than the width of the corresponding TIV 124 .
  • the width of the first recess R 1 is narrower than the width of the corresponding TIV 124 .
  • a portion of the first dielectric sub-layer formed in the groove GV is removed to form the second recess R 2 .
  • the width of the groove GV may be greater than the width of the second recess R 2 .
  • the bottoms of the slanted sidewalls GVs are accessibly exposed by the second recess R 2 , while the tops of the slanted sidewalls GVs are still covered by the first patterned sub-layer 352 .
  • the profiles of the first recesses R 1 and the second recess R 2 shown in FIG. 6A are merely serves as an illustrative example, the profiles of the first recesses R 1 and the second recess R 2 may be tapered or may have substantially vertical sidewalls, which depends on the employed formation techniques.
  • a second patterned sub-layer 354 is optionally formed on the first patterned sub-layer 352 .
  • the forming process and the material of the second patterned sub-layer 354 may be similar to those of the second dielectric sub-layer DM 2 described in FIG. 4C , except that the second patterned sub-layer 354 further includes first recesses R 1 and the second recess R 2 respectively corresponding to the first recesses R 1 and the second recess R 2 of the first patterned sub-layer 352 .
  • the first patterned sub-layer 352 and the second patterned sub-layer 354 may be collectively viewed as the patterned dielectric layer 350 .
  • the first recesses R 1 and the second recess R 2 of the second patterned sub-layer 354 are respectively aligned with the underlying first recesses R 1 and the second recess R 2 of the first patterned sub-layer 354 .
  • the width (or diameter) of the first recess R 1 of the second patterned sub-layer 354 and/or the width of the second recess R 2 of the second patterned sub-layer 354 are substantially aligned with the width of the first recess R 1 of the underlying first patterned sub-layer 352 and/or the width of the second recess R 2 of the underlying first patterned sub-layer 352 .
  • the width of the first recess of the first and second patterned sub-layers are not aligned and/or the width of the second recess of the first and second patterned sub-layers are not aligned.
  • the recesses of the second patterned sub-layer 354 and the first patterned sub-layer 352 are formed during the same damascene process. It should be noted that the number of sub-layers illustrated in FIG. 6B merely serves as an illustrative example, and the patterned dielectric layer 350 may include more than two sub-layers or a single sub-layer which depends on the design requirements.
  • the conductive terminals 160 are formed on the patterned dielectric layer 350 and embedded in the patterned dielectric layer 350 to be in physical and electrical contact with the underlying TIVs 124 .
  • the forming process and the material of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • the second recess R 2 may remain unmasked so that the bottoms of the groove GV are not covered. Subsequently, the de-bonding process may be performed on the temporary carrier TC to release from the insulating encapsulation 130 .
  • the de-bonding process is similar to the process described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • a singulation process may be performed to cut through the pre-cut circuit substrate W 2 and the underlying insulating encapsulation 130 within the scribe line regions.
  • the singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 30 .
  • the singulation process may provide a full cut penetrating through the pre-cut circuit substrate W 2 to render a plurality of circuit carriers 220 .
  • the dicing tool cuts along the scribe lines SL, within the second recess R 2 , so that the dicing tool cuts the pre-cut circuit substrate W 2 and the underlying insulating encapsulation 130 without dicing the patterned dielectric layer 350 , so that the patterned dielectric layer 350 partially covers the beveled edge 220 e of the circuit carrier 220 for protection, as shown in FIG. 6C .
  • the sidewalls of the patterned dielectric layer 350 in the scribe line region are slightly cut, but at least a portion of the patterned dielectric layer 350 remains partially covering the beveled edge 220 e of the circuit carrier 220 for protection.
  • the coverage of the patterned dielectric layer 350 to the beveled edge 220 e of the circuit carrier 220 construes no limitation in the disclosure as long as the patterned dielectric layer 350 may function as a buffer during the subsequent mounting or testing process.
  • FIG. 7 and FIG. 8 are schematic cross-sectional views illustrating different variations in a dashed box A outlined in FIG. 6B in accordance with some exemplary embodiments of the disclosure.
  • the width RW 2 of the second recess R 2 ′ of the second patterned sub-layer 354 ′ is less than the width RW 1 of the second recess R 2 of the first patterned sub-layer 352 .
  • the second patterned sub-layer 354 ′ covers the inner sidewalls of the first patterned sub-layer 352 defining the second recess R 2 . As shown in FIG.
  • the width RW 2 ′ of the second recess R 2 ′ of the second patterned sub-layer 354 ′′ is greater than the width RW 1 of the second recess R 2 of the first patterned sub-layer 352 , so that at least a portion of the first patterned sub-layer 352 may be exposed by the overlying second patterned sub-layer 354 ′′.
  • first recess of the first patterned sub-layer and the second patterned sub-layer may have the same or similar configuration(s) as illustrated in FIG. 7 and FIG. 8 .
  • the misalignment of the recess of the second patterned sub-layer and the recess of the first patterned sub-layer may result from the overlay error caused by variations in lithography and etching processes or other factors.
  • the overlay error may be within the process variation window, and may not cause reliability issues for the semiconductor structure.
  • FIG. 9A to FIG. 9C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • a first dielectric material is formed over the circuit substrate W 1 (shown in FIG. 1D ).
  • the first dielectric material is deposited on the isolation layer 140 and covers the TIVs 124 .
  • a pre-cutting process is performed on the first dielectric material and the underlying circuit substrate W 1 to form the pre-cut dielectric layer DM 1 ′ and the pre-cut circuit substrate W 2 .
  • the pre-cutting process is to partially remove the first dielectric material and the underlying circuit substrate W 1 so as to render the groove GV on the structure as shown in FIG. 9A .
  • the sidewalls 450 s ′ of the pre-cut dielectric layer DM 1 ′, the sidewalls 240 s ′ of the pre-diced isolation layer 240 ′, and the sidewalls 222 s ′ of the pre-diced substrate 222 ′ are continuously formed as sidewalls GVs of the groove GV.
  • the shape of the groove GV may be defined by the shape of the dicing tool or the formation techniques as mentioned above, the profile of the groove illustrated in FIG. 9A merely serves as an illustrative example, the profile of the groove GV construe no limitation in the disclosure.
  • a second dielectric material DM 2 ′ is optionally formed on the pre-cut dielectric layer DM 1 ′ and fills the groove GV.
  • a region of the second dielectric material DM 2 ′ corresponding to the groove GV is slightly recessed relative to other region of the second dielectric material DM 2 ′.
  • a portion of the second dielectric material DM 2 ′ formed in the groove GV may be in physical contact with the sidewalls 450 s ′ of the pre-cut dielectric layer DM 1 ′, the sidewalls 240 s ′ of the pre-diced isolation layer 240 ′, and the sidewalls 222 s ′ of the pre-diced substrate 222 ′.
  • portions of the second dielectric material DM 2 ′ and the underlying pre-cut dielectric layer DM 1 ′ at the predetermined locations may be removed by, for example, using lithography and etching processes or other suitable techniques, to form the patterned dielectric layer 450 with the openings.
  • the openings of the patterned dielectric layer 450 may accessibly reveal at least a portion of the underlying TIVs 124 for further electrical connection.
  • the conductive terminals 160 are formed on the patterned dielectric layer 450 and fill in the openings of the patterned dielectric layer 450 to be in physical and electrical contact with the TIVs 124 .
  • the materials and the forming process of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • a de-bonding process may be performed on the temporary carrier TC to release from the insulating encapsulation 130 . The de-bonding process is similar to the process described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • a singulation process may be performed to cut through the patterned dielectric layer 450 , the pre-cut circuit substrate W 2 , and the insulating encapsulation 130 .
  • the structure may be transferred to be placed on the dicing tape for singulation.
  • the singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 40 .
  • the singulation process may provide a full cut passing through the pre-cut circuit substrate W 2 to render the circuit carriers 220 .
  • the dicing tool cuts along the scribe lines SL, within the groove GV, so that a portion of the patterned dielectric layer 450 in the groove GV is remained on the circuit carrier 220 .
  • a singulated sidewall 450 s of the second dielectric material DM 2 ′ is substantially leveled with the singulated sidewall 220 s of the circuit carrier 220 and the singulated sidewall 130 s of the insulating encapsulation 130 .
  • the semiconductor structure 40 includes the second dielectric material DM 2 ′ of the patterned dielectric layer 450 encapsulating the pre-cut dielectric layer DM 1 ′ and extending to cover the beveled edge 220 e of the circuit carrier 220 .
  • edge/corner cracking of the circuit carrier 220 may be eliminated and the reliability of the semiconductor structure 40 is improved.
  • FIG. 10A and FIG. 10B are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • the structure shown in FIG. 10A is fabricated by the processes similar to the processes described in FIG. 9A and FIG. 9B , for example, the pre-cutting process is performed on the first dielectric material and the underlying circuit substrate to form the pre-cut dielectric layer and the pre-cut circuit substrate, and then the second dielectric material is formed on the pre-cut dielectric layer.
  • the pre-cutting process is performed on the first dielectric material and the underlying circuit substrate to form the pre-cut dielectric layer and the pre-cut circuit substrate, and then the second dielectric material is formed on the pre-cut dielectric layer.
  • Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. Referring to FIG.
  • portions of the second dielectric material and the underlying pre-cut dielectric layer at the predetermined locations may be removed by, for example, lithography and etching processes or other suitable techniques, to respectively form the first patterned sub-layer 552 and the second patterned sub-layer 554 .
  • the first patterned sub-layer 552 and the second patterned sub-layer 554 may be collectively viewed as the patterned dielectric layer 550 .
  • the patterned dielectric layer 550 may include the first recesses R 1 accessibly revealing the underlying TIVs 124 , and the second recess R 2 partially exposing the sidewall GVs of the groove GV.
  • the first recesses R 1 and the second recess R 2 are similar to the first recesses R 1 and the second recess R 2 described in FIG. 6A and FIG. 6B , so the detailed descriptions are omitted for brevity.
  • the conductive terminals 160 are formed on the patterned dielectric layer 550 and fill the first recesses R 1 of the patterned dielectric layer 550 to be in physical and electrical contact with the TIVs 124 .
  • the materials and the forming process of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • the de-bonding process may be performed on the temporary carrier TC to release the temporary carrier TC from the insulating encapsulation 130 .
  • the de-bonding process may be similar to the process described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • a singulation process may be performed to cut through the pre-cut circuit substrate W 2 and the underlying insulating encapsulation 130 .
  • the singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 50 .
  • the singulation process may provide a full cut passing through the pre-cut circuit substrate W 2 to render the circuit carriers 220 .
  • the dicing tool cuts along the scribe lines SL, within the second recess R 2 , so that the dicing tool cuts the pre-cut circuit substrate W 2 and the underlying insulating encapsulation 130 without dicing the patterned dielectric layer 550 .
  • the patterned dielectric layer 550 is remained partially covering the beveled edge 220 e of the circuit carrier 220 for protection, as shown in FIG. 6C .
  • the sidewalls of the patterned dielectric layer 550 in the scribe line region are slightly cut, but the patterned dielectric layer 550 remains partially covering the beveled edge 220 e of the circuit carrier 220 for protection. It should be noted that the coverage of the patterned dielectric layer 550 to the beveled edge 220 e of the circuit carrier 220 construe no limitation in the disclosure as long as the patterned dielectric layer 550 may serve as a buffer during the subsequent mounting or testing process.
  • FIG. 11 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • a semiconductor structure 60 is provided.
  • the semiconductor structure 60 is similar to the semiconductor structure 20 described in FIG. 4D , and like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • the difference between the semiconductor structures 20 and 60 lies in that the semiconductor structure 60 further includes a redistribution circuitry 372 .
  • a portion of the first dielectric sub-layer DM 1 is removed to form a first patterned sub-layer 352 ′ with openings (not labeled) by, for example, using lithography and etching processes or other suitable techniques.
  • the openings of the first patterned sub-layer 352 ′ may accessibly reveal at least a portion of the underlying TIVs 124 .
  • the width (or diameter) of the opening may be wider than the width of the corresponding TIV 124 .
  • the width of the opening of the first patterned sub-layer 352 ′ is narrower than the width of the corresponding TIV 124 .
  • a redistribution circuitry 372 is formed on the first patterned sub-layer 352 ′ and in the openings of the first patterned sub-layer 352 ′ to be in physical and electrical contact with the TIVs 124 .
  • the redistribution circuitry 372 is formed by using patterning and metallization techniques to form conductive vias, conductive pads, conductive lines, or the like.
  • the redistribution circuitry 372 may be formed to remap a layout for the circuit carrier 220 .
  • the second patterned sub-layer 254 may be formed on the first patterned sub-layer 352 ′ to partially cover the redistribution circuitry 372 .
  • the second patterned sub-layer 254 includes openings (not labeled) accessibly exposing at least a portion of the underlying redistribution circuitry 372 for further electrical connection.
  • the conductive terminals 160 are formed on the second patterned sub-layer 254 and in the openings of the second patterned sub-layer 254 to be in physical and electrical contact with the redistribution circuitry 372 .
  • the forming process of the conductive terminals 160 may be similar to the process described in FIG. 1G , so the detailed descriptions are omitted for brevity.
  • the redistribution circuitry 372 and the patterned dielectric layer 350 ′ including first and second patterned dielectric sub-layers 352 ′ and 354 ′ are collectively referred to as a redistribution layer (RDL).
  • RDL redistribution layer
  • the redistribution circuitry 372 forms connections, which may run horizontally and map the conductive terminals 160 to different TIVs 124 , to provide flexibility in the formation of conductive terminals 160 .
  • forming the redistribution circuitry 372 between the circuit carrier 220 and the conductive terminals 160 allows the layout of the circuit carrier 220 to expand wider than the given area, so that the occurrence of undesired bridging issues due to the finer pitch between adjacent conductive terminals may be prevented.
  • combination schemes of the redistribution layer may be formed to include different types of semiconductor structure discussed herein, so that variations thereof may be carried out while still remaining within the scope of the claims and disclosure.
  • a manufacturing method of a semiconductor structure includes at least the following steps.
  • An encapsulated semiconductor die is disposed on a first surface of a circuit carrier to be in electrical contact with the circuit carrier.
  • a second surface of the circuit carrier and an edge of the circuit carrier is protected with a patterned dielectric layer, where the second surface of the circuit carrier is opposite to the first surface, and the edge of the circuit carrier is connected to the second surface.
  • a conductive terminal is formed penetrating through the patterned dielectric layer to be in electrical contact with the circuit carrier.
  • a manufacturing method of a semiconductor structure includes at least the following steps.
  • a plurality of semiconductor dies on a circuit substrate is encapsulated with an insulating encapsulation.
  • the circuit substrate is cut to form a plurality of circuit carriers with diced sidewalls.
  • a patterned dielectric layer is formed on the circuit carriers opposite to the insulating encapsulation, where a portion of the patterned dielectric layer extends to cover the diced sidewalls of the circuit carriers.
  • a plurality of conductive terminals s formed on the patterned dielectric layer to be electrically coupled to the semiconductor dies through the circuit carriers.
  • a singulation process is performed to at least cut through the insulating encapsulation.
  • a manufacturing method of a semiconductor structure includes at least the following steps.
  • a semiconductor die is encapsulated on a circuit substrate with an insulating encapsulation.
  • the circuit substrate is cut to form a circuit carrier, where the circuit carrier comprising a first surface coupled to the insulating encapsulation and the semiconductor die, a second surface opposite to the first surface, a sidewall connected to the first surface and the second surface, and an edge between the second surface and the sidewall.
  • a dielectric layer is formed on the second surface circuit carrier and extends to at least cover the edge of the circuit carrier.
  • a conductive terminal is formed on the dielectric layer, where the conductive terminal is partially embedded in the dielectric layer to be in contact with the circuit carrier, and the semiconductor die being electrically coupled to the conductive terminal through the circuit carrier.

Abstract

A manufacturing method of a semiconductor structure includes at least the following steps. An encapsulated semiconductor die is disposed on a first surface of a circuit carrier to be in electrical contact with the circuit carrier. A second surface of the circuit carrier and an edge of the circuit carrier is protected with a patterned dielectric layer, where the second surface of the circuit carrier is opposite to the first surface, and the edge of the circuit carrier is connected to the second surface. A conductive terminal is formed to penetrate through the patterned dielectric layer to be in electrical contact with the circuit carrier.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional application of U.S. application Ser. No. 16/454,099, filed on Jun. 27, 2019. The entirety of the above-mentioned patent application is hereby incorporated by reference herein and made a part of this specification.
  • BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic devices. As the demand for shrinking electronic devices has grown, a need for smaller and more creative packaging techniques of semiconductor dies has emerged. Thus, packages such as wafer level packaging (WLP) have begun to be developed. A common requirement for an advanced electronic circuit is the use of multiple integrated circuit devices (e.g., semiconductor dies) integrated in a single packaged structure. As such, the configuration of a three-dimensional (3D) package is developed. In another example, chip on substrate (CoS) or chip-on-wafer-on-substrate (CoWoS) technique is developed. As the amount and complexity of the integrated circuit devices mounted in a semiconductor package increase, the multi-chip package can achieve the configuration of a system on a chip (SoC). These new integration types for semiconductor structures face challenges relative to performance and reliability issues.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1A to FIG. 1H are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 2 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 3 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 4A to FIG. 4D are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 5 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 6A to FIG. 6C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 7 and FIG. 8 are schematic cross-sectional views illustrating different variations in a dashed box A outlined in FIG. 6B in accordance with some exemplary embodiments of the disclosure.
  • FIG. 9A to FIG. 9C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 10A and FIG. 10B are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • FIG. 11 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Other features and processes may also be included. For example, testing structures may be included to aid in the verification testing of the 3D packaging or 3DIC devices. The testing structures may include, for example, test pads formed in a redistribution layer or on a substrate that allows the testing of the 3D packaging or 3DIC, the use of probes and/or probe cards, and the like. The verification testing may be performed on intermediate structures as well as the final structure. Additionally, the structures and methods disclosed herein may be used in conjunction with testing methodologies that incorporate intermediate verification of known good dies to increase the yield and decrease costs.
  • FIG. 1A to FIG. 1H are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Referring to FIG. 1A, a plurality of semiconductor dies 110 are disposed on a circuit substrate W1. For example, the semiconductor dies 110 are formed in a device wafer (not shown), which may include different device regions that are singulated in subsequent steps to form a plurality of semiconductor dies 110. After performing a singulation process to separate individual semiconductor dies 110 from the device wafer, the semiconductor dies 110 are bonded to the circuit substrate W1 through flip-chip (face-to-face) bonding. The aforementioned process may be referred to as a chip-on-wafer process.
  • In some embodiments, the semiconductor die 110 includes a semiconductor substrate 112 and a plurality of die connectors 114 distributed on the semiconductor substrate 112. In some embodiments, the semiconductor substrate 112 includes an elementary semiconductor (e.g., silicon or germanium in a crystalline, a polycrystalline, or an amorphous structure, etc.), a compound semiconductor (e.g., silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, etc.), an alloy semiconductor (e.g., silicon-germanium (SiGe), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminium gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide (GaInP), etc.), combinations thereof, or other suitable materials. For example, the compound semiconductor substrate may have a multilayer structure, or the substrate may include a multilayer compound semiconductor structure. In some embodiments, the alloy SiGe is formed over a silicon substrate. In other embodiments, a SiGe substrate is strained. For example, the semiconductor substrate 112 includes a plurality of semiconductor devices, such as active devices (e.g., transistors, diodes, etc.) and/or passive devices (e.g., capacitors, resistors, inductors, etc.), or other suitable electrical components, formed therein. The semiconductor substrate 112 may include circuitry (not shown) formed in a front-end-of-line (FEOL), and an interconnect structure (not shown) formed in a back-end-of-line (BEOL).
  • In some embodiments, the surface where the die connectors 114 are distributed may be referred to as the active surface of the semiconductor die 110. For example, the die connectors 114 are metal pillars, controlled collapse chip connection (C4) bumps, micro bumps, electroless nickel-electroless palladium-immersion gold technique (ENEPIG) formed bumps, ball grid array (BGA) bumps, or the like. In an embodiment, the die connectors 114 are micro bumps made of copper, nickel, tin, gold, silver, palladium, metal alloy, the like, or a combination thereof. In some embodiments, the die connectors 114 are solder free and have substantially vertical sidewalls.
  • The semiconductor die 110 may be or may include a logic die (e.g., central processing unit (CPU), graphics processing unit (GPU), system-on-a-chip (SoC), microcontroller, etc.), a memory die (e.g., dynamic random access memory (DRAM) die, static random access memory (SRAM) die, etc.), a power management die (e.g., power management integrated circuit (PMIC) die), a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, a signal processing die (e.g., digital signal processing (DSP) die), a front-end die (e.g., analog front-end (AFE) dies), the like, or a combination thereof. It should be appreciated that the number of the semiconductor dies and the functions of the semiconductor dies to be encapsulated may depend on the design requirements.
  • Continue to FIG. 1A, the circuit substrate W1 includes a substrate 122 having a first surface 122 a and a second surface 122 b opposite to each other, a plurality of conductive vias 124 embedded in the substrate 122 and extending from the first surface 122 a towards the second surface 122 b, a circuit layer 126 formed on the first surface 122 a of the substrate 122 and electrically coupled to the conductive vias 124, and a plurality of conductive connectors 128 disposed on the circuit layer 126 to be in contact with external components (e.g., the semiconductor dies 110). For example, the substrate 122 is made of silicon or other suitable materials such as ceramic, glass, plastic, resin or epoxy. In some embodiments in which the substrate 122 is made of silicon, the conductive vias 124 are formed by forming recesses (not shown) in the substrate 122 and depositing dielectric liner (not shown), barrier materials (not shown), and conductive materials in the recesses of the substrate 122, removing excess materials on the substrate 122. For example, the recesses of the substrate 122 are lined with the dielectric liner to laterally separate the conductive vias 124 from the substrate 122.
  • The conductive vias 124 may be formed by using a via-first approach, and may be formed during the formation of the circuit layer 126. Alternatively, the conductive vias 124 are formed by using a via-last approach, and may be formed after the formation of circuit layer 126. In some embodiments, the circuit layer 126 includes circuit patterns (not shown) embedded in a dielectric layer formed in a back-end-of-line (BEOL), and a plurality of conductive pads 126 a electrically coupled to the circuit patterns. A material of the conductive pads 126 a may include aluminum, but other suitable conductive materials (e.g., copper) may be used. The conductive connectors 128 may land on the conductive pads 126 a of the circuit layer 126, and the conductive connectors 128 are electrically coupled to the conductive vias 124 through the circuit layer 126. In some embodiments, the circuit substrate W1 is a wafer, and the processes are performed at a die-to-wafer level. Alternatively, the process may be performed at the die-to-die level.
  • Still referring to FIG. 1A, in some embodiments, the semiconductor dies 110 are coupled to the circuit substrate W1 by cap layers. For example, the semiconductor dies 110 includes a cap layer (not shown) formed on the die connectors 114 and facing towards the circuit substrate W1, and the circuit substrate W1 may include a cap layer (not shown) formed on the conductive connectors 128 and facing towards the semiconductor dies 110. Alternatively, the cap layer of the semiconductor dies 110 or the cap layer of the circuit substrate W1 is omitted. In some embodiments, the cap layers are formed by initially forming a layer of solder through methods such as evaporation, electroplating, printing, solder transfer, ball placement, or the like. For example, once the semiconductor dies 110 have been disposed on the circuit substrate W1, a reflow process is performed to cause the cap layers to be melted to form conductive joints CJ between each semiconductor die 110 and the circuit substrate W1. The conductive joints CJ provide attachment and electrical connections between the semiconductor die 110 and the circuit substrate W1.
  • Referring to FIG. 1B, an insulating encapsulation 130 is formed on the circuit substrate W1 to encapsulate the semiconductor dies 110. The insulating encapsulation 130 is rigid enough to protect the semiconductor dies 110. A material of the insulating encapsulation 130 may include epoxy resin, molding compound, molding underfill, or other suitable electrical insulating materials. For example, the insulating encapsulation 130 is formed by compression molding, transfer molding, or the like. After forming the insulating encapsulation 130, each semiconductor die 110 is surrounded by the insulating encapsulation 130, and two adjacent semiconductor dies 110 may be spatially separated by the insulating encapsulation 130. In some embodiments, a thinning process (e.g., mechanical grinding, chemical mechanical polishing (CMP), etching, or the like) is performed to thin the insulating encapsulation 130. For example, the insulating encapsulation 130 is thinned until back surfaces 110 b of the semiconductor dies 110 are exposed, thereby reducing the overall thickness of the structure. In other embodiments, the back surfaces 110 b of the semiconductor dies 110 may be slightly thinned along with the insulating encapsulation 130 during the thinning process. For example, the back surfaces 110 b of the semiconductor dies 110 and the top surface 130 t of the insulating encapsulation 130 are substantially leveled. Alternatively, the thinning process is omitted, and the back surfaces 110 b of the semiconductor dies 110 are covered by the insulating encapsulation 130.
  • Continue to FIG. 1B, in some embodiments, before forming the insulating encapsulation 130, an underfill layer UF is formed between the semiconductor dies 110 and the circuit substrate W1. The die connectors 114, the conductive joints CJ, and the conductive connectors 128 may be surrounded by the underfill layer UF. For example, the underfill material is dispensed and drawn into the gaps between the active surfaces of the semiconductor dies 110 and the circuit substrate W1 by capillary action, and then the underfill material may be cured to form the underfill layer UF. In some embodiments, when a sufficient amount of the underfill material is dispensed, a portion of the underfill layer UF may climb up to cover the sidewalls of the semiconductor dies 110 to provide a degree of protection. The underfill layer UF may improve the adhesion between semiconductor dies 110 and the circuit substrate W1 and may provide a stress relief to prevent the conductive joints CJ from cracking. Alternatively, the underfill layer UF is omitted. In such embodiments, the insulating encapsulation 130 may be formed in the gaps between the active surfaces of the semiconductor dies 110 and the circuit substrate W1.
  • Referring to FIG. 1C, a thinning process (e.g., mechanical grinding, chemical mechanical polishing (CMP), etching, and/or a combination thereof) is performed on the second surface 122 b of the substrate 122 of the circuit substrate W1 to expose the conductive vias 124. In some embodiments, to perform the thinning process on the second surface 122 b of the substrate 122, the structure illustrated in FIG. 1B may be overturned (e.g., flipped upside down) and then disposed on a temporary carrier TC. A material of the temporary carrier TC may include glass, metal, ceramic, silicon, plastic, combinations thereof, multi-layers thereof, or other suitable material that can hold and support the structure during the following processes. For example, the top surface 130 t of the insulating encapsulation 130 is attached to the temporary carrier TC through a de-bonding layer DB. The de-bonding layer DB may include a polymer adhesive layer (e.g., die attach film (DAF)), a ultra-violet (UV) cured layer, such as a light-to-heat conversion (LTHC) release coating, ultra-violet (UV) glue, which reduces or loses its adhesiveness when exposed to a radiation source (e.g., UV light or a laser). Other suitable temporary adhesives may be used. Alternatively, the de-bonding layer DB is omitted.
  • In an exemplary embodiment, the thinning process includes at least the following steps. A planarizing process (e.g., grinding or CMP) may be performed to initially expose the conductive vias 124. Subsequently, a wet or dry etching process having a high etch-rate selectivity between the material of the dielectric liners and the material of the substrate 122 may be performed to recess the substrate 122 so as to leave at least the conductive vias 124 protruding from the thinned second surface 122 b′ of the substrate 122. In an embodiment, the conductive vias 124 are protruded about a few microns from the thinned second surface 122 b′ of the substrate 122. In some embodiments, the conductive vias 124 penetrating through the substrate 122 are referred to as through interposer vias (TIV) if the circuit substrate W1 is diced (as shown in FIG. 1E and FIG. 1H).
  • Referring to FIG. 1D, an isolation layer 140 is formed on the substrate 122 to at least laterally cover the conductive vias 124. For example, the isolation layer 140 is a dielectric material, such as SiN, an oxide, SiC, SiON, a polymer, or the like. The isolation layer 140 may be formed by spin-coating, printing, a chemical vapor deposition (CVD), or other suitable deposition process. In some embodiments, the dielectric material with a sufficient thickness is formed on the thinned second surface 122 b′ of the substrate 122 and covers the conductive vias 124 protruded from the thinned second surface 122 b′, and then the thinning process (e.g., mechanical grinding, CMP, etching, and/or a combination thereof) is performed on the dielectric material to form the isolation layer 140 and leave the conductive vias 124 accessibly revealing from the isolation layer 140. In some embodiments, the top surface of the isolation layer 140 is substantially leveled with the top surfaces of the conductive vias 124. In other embodiments, the conductive vias 124 are slightly protruded from the top surface of the isolation layer 140.
  • Referring to FIG. 1E, a singulation process is performed on the circuit substrate W1 to dice the circuit substrate W1 into a plurality of circuit carriers 120 according to the predetermined areas (not shown). The predetermined areas may be separated by scribe line regions (i.e. non-functional regions; not shown). For example, the circuit substrate W1 is singulated along scribe lines (not shown) within the scribe line regions by using laser cutting, dicing blade, etching, a combination thereof, or the like. In some embodiments, the singulation process includes cutting through the circuit substrate W1 such that a gap G is formed between two adjacent circuit carriers 120. In some embodiments, the singulation process performing on the circuit substrate W1 is regarded as a pre-cutting process. The pre-cutting process may be performed to completely cut through the circuit substrate W1. In some embodiments, to ensure the circuit substrate W1 is completely cut through, a portion of the insulating encapsulation 130 is removed along with the circuit substrate W1 in the pre-cutting process. In such embodiments, a recess R is formed on the insulating encapsulation 130 corresponding to the gap G. In some embodiments, in a top-down view (not shown), a plurality of trenches (i.e. gaps G) are formed surrounding the predetermined areas in a grid pattern, which may include a group of mutually parallel trenches arranged perpendicular to another group of trenches.
  • In other embodiments, the pre-cutting process is to partially cut the circuit substrate W1. For example, the circuit substrate W1 is not completely diced through and a groove is formed on the circuit substrate W1 at this stage, so that the insulating encapsulation 130 is not recessed but covered by the circuit substrate W1. The details of such embodiments will be described later in accompanying with figures. In some embodiments, the circuit carriers 120 are diced as rectangular shapes with sharp edges and corners. For example, the substrate 122 of the circuit carrier 120 has substantially vertical diced sidewalls 122 s connected to the first surface 122 a and the thinned second surface 122 b′. In other embodiments in which the groove is formed on the circuit substrate in the pre-cutting process, the circuit carrier includes bevel cuts on edges.
  • Referring to FIG. 1F, a patterned dielectric layer 150 is formed over the circuit carriers 120 and wraps the edges and corners of the circuit carriers 120. For example, a dielectric material is formed over the circuit carriers 120 and extends along the sidewalls 122 s of the circuit carrier 120 by spin-coating, chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. The isolation layer 140 is interposed between the dielectric material and the substrate 122. Subsequently, a portion of the dielectric material is removed to form the patterned dielectric layer 150 by lithography (i.e. exposure and development) and etching processes, a laser drilling process, or other suitable removal techniques. The dielectric material may be different from the material of the underlying isolation layer 140, so that after performing the removal process, the isolation layer 140 is not removed and may remain on the thinned second surface 122 b′ of the substrate 122.
  • In some embodiments, the patterned dielectric layer 150 includes a thickness T1 that allows the patterned dielectric layer 150 to act as a buffer for lessening stress on the circuit carriers 120. The patterned dielectric layer 150 may be a multi-layered structure. For example, the average thickness of the patterned dielectric layer 150 over the circuit carrier 120 ranges from about 2 μm to about 50 μm. In some embodiments, a material of the patterned dielectric layer 150 is relatively soft to cushion forces exerted on the corners and edges of the circuit carriers 120. For example, the patterned dielectric layer 150 has a Young's modulus smaller than that of the insulating encapsulation 130. The Young's modulus of the patterned dielectric layer 150 may be in a range from about 0.5 GPa to about 10 GPa. The patterned dielectric layer 150 may be made of polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), photosensitive polyimide material, soft organic materials, combinations thereof, or other electrical insulating materials.
  • In some embodiments in which the insulating encapsulation 130 is recessed, the patterned dielectric layer 150 coves the edges and corners of the circuit carriers 120 and extends along the sidewalls 122 s to fill the gap G and the recess R, so that the patterned dielectric layer 150 may be in physical contact with the insulating encapsulation 130. In some embodiments, the patterned dielectric layer 150 includes a plurality of first openings OP1 accessibly revealing at least a portion of the TIVs (i.e. conductive vias) 124 for further electrical connection. In some embodiments, the width (or diameter) of the first opening OP1 is greater than the width of the corresponding TIV 124 for better reliability and manufacturability. It should be noted that the width of the first opening OP1 construe no limitation in the disclosure as long as the TIVs 124 may be accessibly revealed for further electrical connection. In some embodiments, the patterned dielectric layer 150 further includes at least one second opening OP2 formed aside the gap G or formed at the periphery of the predetermined area. For example, the second opening OP2 serves as an alignment mark for the subsequently process (e.g., singulation). Alternatively, the second opening OP2 is omitted.
  • Referring to FIG. 1G, a plurality of conductive terminals 160 is formed on the patterned dielectric layer 150 and inside the first openings OP1 of the patterned dielectric layer 150 to be in physical and electrical contact with the TIVs 124 of the circuit carriers 120. The conductive terminals 160 are electrically coupled to the semiconductor dies 110 through the circuit carriers 120. In some embodiments, the conductive terminal 160 includes a first portion 162 and a second portion 164 disposed on the first portion 162. For example, conductive materials are deposited on the patterned dielectric layer 150 and inside the first openings OP1 of the patterned dielectric layer 150 and patterned to form the first portions 162 of the conductive terminals 160. The first portions 162 may include conductive vias formed in the first openings OP1, conductive pads formed on the conductive vias, under bump metallization (UBM) patterns formed on the conductive pads, etc. The UBM patterns (not shown) may provide additional adhesion to the conductive pads and increase solderability. Alternatively, the UBM patterns are omitted by directly soldering on the conductive pads.
  • The second portions 164 of the conductive terminals 160 are formed on the first portions 162 by, for example, using ball mounting, screen printing, electroless or electroplating, controlled collapse chip connection (C4) plating, or other suitable techniques. The conductive materials of the second portions 164 may include lead based material such as lead-tin compounds or lead free eutectics including tin, copper, silver, nickel, gold, and other lead free materials. In some embodiments, after the second portions 164 are formed on the first portions 162, a reflow process is performed to reshape the second portions 164, and each of the second portions 164 are limited by one of the first portions 162. In some embodiments, the dimensions and pitches of the conductive terminals 160 are larger than those of the die connectors 114 of the semiconductor dies 110 since the scale of the semiconductor die 110 is smaller than the following external electrical component (shown in FIG. 3). In some embodiments, the conductive terminals 160 are referred to as refers to ball grid array (BGA) or controlled collapse chip connection (C4) bumps.
  • Continue to FIG. 1G, a de-bonding process may be performed on the temporary carrier TC to release the temporary carrier TC from the insulating encapsulation 130 after forming the conductive terminals 160. For example, external energy (e.g., UV light or a laser) is applied on the de-bonding layer DB. Alternatively, the removal process of the temporary carrier TC may include a mechanical peel-off process, a grinding process, an etching process, or the like. A cleaning process is optionally performed to remove residues of the de-bonding layer DB from the top surface 130 t of the insulating encapsulation 130 (along with the back surfaces 110 b of the semiconductor dies 110, in some embodiments). The cleaning process may be performed by using suitable solvent, cleaning chemical, or other cleaning techniques.
  • Referring to FIG. 1G and FIG. 1H, a singulation process may be performed to cut through the patterned dielectric layer 150 and the underlying insulating encapsulation 130 within the scribe line regions. For example, after removing the temporary carrier TC, the structure may be transferred to be placed on a dicing tape which may holds the structure in place during the singulation process. The singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 10. For example, a dicing tool (e.g., a saw blade or a laser cutting device) is used to cut through the patterned dielectric layer 150 in the gap G and the underlying insulating encapsulation 130 along the scribe lines SL. It should be noted that a single semiconductor die 110 illustrated in FIG. 1H merely serves as an illustrative example, more than one semiconductor die 110 may be encapsulated in the insulating encapsulation 130 to perform multi-functions, and the disclosure is not limited thereto.
  • As shown in FIG. 1H, after performing the singulation process, the patterned dielectric layer 150 formed over the circuit carrier 120 wraps the edges and corners of the circuit carrier 120 and extends to cover the sidewalls 122 s of the circuit carrier 120. In some embodiments, the patterned dielectric layer 150 extends beyond the sidewalls 122 s of the circuit carrier 120 to have a surface 150 a contacting and interfacing with the insulating encapsulation 130. The surface 150 a of the patterned dielectric layer 150 may be located between the top surface 130 t of the insulating encapsulation 130 and the interface between the circuit carrier 120 and the insulating encapsulation 130. After performing the singulation process, the singulated sidewall 150 s of the patterned dielectric layer 150 may be substantially leveled with the singulated sidewall 130 s of the insulating encapsulation 130.
  • FIG. 2 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Referring to FIG. 2, a semiconductor structure 15 is provided. The semiconductor structure 15 may be similar to the semiconductor structure 10 described in FIG. 1H, and like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. The difference between the semiconductor structures 10 and 15 includes the profiles of the circuit carrier 120′ and the patterned dielectric layer 150′. For example, the substrate 122′ of the circuit carrier 120′ include a slanted sidewall SS connected to the thinned second surface 122 b′ and the first surface 122 a. The surface area of the thinned second surface 122 b′ may be greater than the surface area of first surface 122 a. The patterned dielectric layer 150′ may cover the slanted sidewall SS. A portion of the patterned dielectric layer 150′ formed on the top of the slanted sidewall SS (e.g., immediately adjacent to the thinned second surface 122 b′) may be thicker than another portion of the patterned dielectric layer 150′ formed on the bottom of the slanted sidewall SS (e.g., immediately adjacent to the first surface 122 a).
  • The forming process of the semiconductor structure 15 may be similar to the forming process of the semiconductor structure 10, except that the singulation process performing on the circuit substrate as described in FIG. 1E. After performing the singulation process on the circuit substrate to dice the circuit substrate into the circuit carriers 120′, the beveled trench is formed between adjacent circuit carriers 120′ by, for example, using the dicing blade with the corresponding shape to pass through the circuit substrate. For example, the profile of the beveled trench may be an inverted trapezoid shape in a cross-section. The circuit carriers 120′ is formed with the slanted sidewalls SS which may correspond to the profile of the beveled trench. Next, the patterned dielectric layer 150′ is formed over the circuit carriers 120′, wherein the patterned dielectric layer 150′ fills the beveled trench. Subsequently, the singulation is performed on the patterned dielectric layer 150′ in the beveled trench and the underlying insulating encapsulation 130 to form the semiconductor structures 15. The forming process of the patterned dielectric layer 150′ and the following singulation process may be similar to the processes described in FIG. 1F and FIG. 1G, so the detailed descriptions are omitted for brevity.
  • FIG. 3 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. Referring to FIG. 3, the semiconductor structure 10 is mounted on an external electric component C1 to form an electronic device ED1. The external electric component C1 may be or may include an a package substrate, a printed circuit board (PCB), a mother board, a system board, and/or other circuit board that is capable of carrying integrated circuits. For example, the semiconductor structure 10 is in physical and electrical contact with the external electric component C1 through the conductive terminals 160. In some embodiments, a reflow process is performed to complete the mechanical and electrical connection between the semiconductor structure 10 and the external electric component C1 by reflowing the second portions 164 of the conductive terminals 160.
  • In some embodiments, an underfill layer UF is formed between the semiconductor structure 10 and the external electric component C1 and surrounds the conductive terminals 160 to provide adhesion and stress relief therebetween. The circuit carrier 120 is separated from the underfill UF by the patterned dielectric layer 150. In certain embodiments in which the patterned dielectric layer 150 is provided with the second openings OP2, the underfill layer UF is formed in the gap between the patterned dielectric layer 150 and the external electric component C1 and extends into the second openings OP2 the patterned dielectric layer 150. After mounting the semiconductor structure 10 onto the external electric component C1, the semiconductor die 110 is able to receive and transmit signals from the external electric component C1 through the circuit carrier 120 and the conductive terminals 160. It should be noted that a single semiconductor structure 10 illustrated in FIG. 3 merely serves as an illustrative example, and the quantity and the type of the semiconductor structure is not limited to the illustrations.
  • For example, during the mounting process and/or the following reliability test, the stack of the semiconductor structure 10 and the external electric component C1 is heated and cooled down repeatedly in a thermal cycling and/or subjected to shearing and stress. The conductive terminals 160 and the underfill layer UF near the edges and corners of the circuit carrier 120 may suffer from serious stress. The patterned dielectric layer 150 formed on the edges and corners of the circuit carrier 120 may serve as a stress buffer to absorb and/or disperse the stress between the circuit carrier 120 and the external electric component C1. The occurrence of cracks in the underfill layer UF and/or in the circuit carrier 120, during the mounting process and/or the reliability test, may be prevented, and thus the conductive terminals 160 may provide a reliable electrical connection. In some embodiments, significant stress reduction (about 80%) exerted on the corners of the circuit carrier may be achieved by wrapping the corners of the circuit carrier with the patterned dielectric layer, compared with the structure without the patterned dielectric layer covering the corners of the circuit carrier.
  • FIG. 4A to FIG. 4D are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Referring to FIG. 4A, a pre-cutting process is performed on the circuit substrate W1 (as shown in FIG. 1D) to form a pre-cut circuit substrate W2. The processes prior to the pre-cutting may be similar to the processes described in FIG. 1A to FIG. 1D, so the detailed descriptions are omitted for brevity. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein.
  • The pre-cutting process may include bevel cutting, laser cutting, blade sawing, or the like. In some embodiments, the pre-cutting process is to partially cut the circuit substrate W1 (as shown in FIG. 1D) to form a groove GV thereon. The pre-cutting process does not penetrate the circuit substrate W1. For example, the pre-cutting process may partially remove materials within the scribe line regions, including the isolation layer 140 and the underlying substrate 122 (as shown in FIG. 1D), to result in the groove GV. Since a portion of the substrate is removed along with a portion of the overlying isolation layer, the sidewalls 240 s′ of the pre-diced isolation layer 240′ and the sidewalls 222 s′ of the pre-diced substrate 222′ are continuously formed as sidewalls GVs of the groove GV.
  • The shape of the groove GV may be defined by the shape of the dicing tool. For example, the dicing tool has a cross-section of a rectangular shape, a triangular shape, a round shape, a polygon shape, a polygon shape with chamfered or beveled endpoint, or the like. In some embodiments, the groove GV is a bevel cut formed by using a V-shaped dicing blade. Alternatively, a beveled groove may be chemically formed along the predetermined area. For example, the opposing sidewalls GVs of the groove GV are slanted towards each other. In some embodiments, the groove GV reaches a depth D1 of the pre-cut circuit substrate W2. The depth D1 may be in a range from about 10 μm to about 150 μm. In some embodiments, the sidewall 222 s′ of the pre-diced substrate 222′ has an angle θ between approximately 5 and 90 degrees relative to the reference plane 240 t′ which is extended from the top surface of the pre-diced isolation layer 240′. In other embodiments, the groove GV has a curved profile in a cross section. In another embodiment, the V-shaped dicing blade cuts deeper in the pre-cut circuit substrate W2 to render the groove GV having a V-shaped bottom connected to a vertical sidewall. Alternatively, the groove GV may have a substantially flat bottom connected to a slanted sidewall by using a different dicing blade. It should be noted that FIG. 4A is merely serves as an illustrative example, the shape and the depth of the groove GV construe no limitation in the disclosure. In some embodiments, a plurality of grooves GV are formed in a grid pattern in a top-down view (not shown), which may include a group of mutually parallel grooves arranged perpendicular to another group of grooves.
  • Referring to FIG. 4B, a first dielectric sub-layer DM1 is formed over the pre-cut circuit substrate W2. A material of the first dielectric sub-layer DM1 may include polybenzoxazole (PBO), polyimide (PI), benzocyclobutene (BCB), a photo-sensitive resin, but is not limited to the above-mentioned materials. For example, the first dielectric sub-layer DM1 is formed by spin-coating, dispensing, deposition, or other suitable technique(s). In some embodiments, the first dielectric sub-layer DM1 is conformally formed to cover the pre-diced isolation layer 240′ and the TIVs 124 of the pre-cut circuit substrate W2. The sidewalls 240 s′ of the pre-diced isolation layer 240′ and the sidewalls 222 s′ of the pre-diced substrate 222′ are in physical contact with the first dielectric sub-layer DM1. For example, the groove GV is filled by the first dielectric sub-layer DM1.
  • Referring to FIG. 4C, a second dielectric sub-layer DM2 may be formed on the first dielectric sub-layer DM1, and then patterned to form the patterned dielectric layer 250. In an exemplary embodiment, the first dielectric material is deposited and etched back to form the first dielectric sub-layer DM1 with openings (not shown) exposing the conductive vias 124 of the pre-cut circuit substrate W2. Next, the second dielectric material is deposited on the first dielectric sub-layer DM1 and fills the openings of the first dielectric sub-layer DM1, and then portions of the second dielectric sub-layer DM2 corresponding to the openings of the first dielectric sub-layer DM1 are removed to form the second dielectric sub-layer DM2 with openings (not shown). The openings of the first dielectric sub-layer DM1 and the second dielectric sub-layer DM2 may accessibly expose the conductive vias 124 of the pre-cut circuit substrate W2 for further electrical connection. In other embodiments, the first dielectric material and the second dielectric material are formed sequentially as blanket layers over the pre-cut circuit substrate W2, and then lithography and etching processes are performed to remove portions of the second dielectric material and the underlying first dielectric material together so as to accessibly expose the conductive vias 124 of the pre-cut circuit substrate W2 for further electrical connection.
  • In some embodiments, a region of the patterned dielectric layer 250 corresponding to the groove GV is slightly recessed relative to other region of the patterned dielectric layer 250 as shown in FIG. 4C. The material of the second dielectric sub-layer DM2 may be the same or different from that of the underlying first dielectric sub-layer DM1. For example, the second dielectric sub-layer DM2 is a polymer layer, including benzocyclobutene (BCB), polybenzoxazole (PBO), polyimide (PI), or a solder resist material layer, etc. In some embodiments, the second dielectric sub-layer DM2 has an electrical insulating material, such as a low-temperature polyimide, different from that of the first dielectric sub-layer DM1. Alternatively, one of the second dielectric sub-layer DM2 and the first dielectric sub-layer DM is omitted. It should be noted that the number of sub-layers illustrated in FIG. 4C merely serves as an illustrative example, more than two sub-layers or a single sub-layer may be employed as long as the patterned dielectric layer 250 may function as a buffer that reduces stress exerted on the pre-cut circuit substrate W2.
  • After forming the patterned dielectric layer 250, the conductive terminals 160 are formed on the patterned dielectric layer 250 and extend into the openings (not labeled) of the patterned dielectric layer 250 to be in physical and electrical contact with the conductive vias 124 of the pre-cut circuit substrate W2. The materials and the forming process of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G, so the detailed descriptions are omitted for brevity. Subsequently, the de-bonding process may be performed on the temporary carrier TC to remove the temporary carrier TC. The de-bonding process is similar to the process described in FIG. 1G, so the detailed descriptions are omitted for brevity.
  • Referring to FIG. 4C and FIG. 4D, a singulation process may be performed to cut through the patterned dielectric layer 250, the pre-cut circuit substrate W2, and the insulating encapsulation 130 within the scribe line regions. For example, after removing the temporary carrier TC, the structure may be transferred to be placed on the dicing tape for singulation. The singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 20. The singulation process may provide a full cut passing through the pre-cut circuit substrate W2 to render a plurality of circuit carriers 220. For example, the dicing tool (not shown) cuts along the scribe lines SL, within the groove GV, so that a portion of the patterned dielectric layer 250 in the groove GV is remained on the circuit carrier 220. The circuit substrate is cut by two-step cutting process (i.e., the pre-cut and the singulation) so that the periphery of the circuit carrier 220 has a chamfered profile as shown in FIG. 4D.
  • In some embodiments, the groove GV, which is a bevel cut, is formed with a width greater than the width of a kerf created by the dicing tool, so that after singulation, the circuit carrier 220 of the semiconductor structure 20 includes the beveled edge 220 e, and a substantially vertical singulated sidewall 220 s connected to the beveled edge 220 e. The beveled edge 220 e is an edge that is not substantially perpendicular to the surfaces (e.g., second surface or sidewall of the substrate) connected thereto. In some embodiments, a singulated sidewall 250 s of the patterned dielectric layer 250 disposed on the beveled edge 220 e is substantially leveled with the singulated sidewall 220 s of the circuit carrier 220 and the singulated sidewall 130 s of the insulating encapsulation 130. Due to the existence of the beveled edge 220 e of the circuit carrier 220 and the patterned dielectric layer 250 covering the beveled edge 220 e, edge/corner cracking of the circuit carrier 220 may be eliminated and the reliability of the semiconductor structure 20 is improved.
  • FIG. 5 is a schematic cross-sectional view illustrating an application of a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. Referring to FIG. 5, the semiconductor structure 20 is mounted on the external electric component C1 to form an electronic device ED2. The semiconductor structure 20 is in physical and electrical contact with the external electric component C1 through the conductive terminals 160, and the underfill layer UF is optionally formed between the external electric component C1 and the semiconductor structure 20 to provide adhesion and stress relief therebetween. The electronic device ED2 is similar to the electronic device ED1 described in FIG. 3, so the detailed descriptions are omitted for brevity. In some embodiments, a sufficient amount of the underfill layer UF is dispensed so that a portion of the underfill layer UF climbs upwardly to cover at least a portion of the singulated sidewall 250 s of the patterned dielectric layer 250 or extend further to cover at least a portion of the singulated sidewall 220 s of the circuit carrier 220 for protection.
  • FIG. 6A to FIG. 6C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure. The structure shown in FIG. 6A is fabricated by the processes similar to the processes described in FIG. 4A and FIG. 4B, for example, the pre-cutting process is performed on the circuit substrate to form the groove, and then the first dielectric sub-layer is formed over the circuit substrate and fills the groove. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. Referring to FIG. 6A, a portion of the first dielectric sub-layer is removed to form the first patterned sub-layer 352 with first recesses R1 and a second recess R2 by, for example, using lithography and etching processes or other suitable techniques.
  • The first recesses R1 of the first patterned sub-layer 352 may accessibly reveal at least a portion of the TIVs 124 for further electrical connection. The width (or diameter) of the first recess R1 may be wider than the width of the corresponding TIV 124. Alternatively, the width of the first recess R1 is narrower than the width of the corresponding TIV 124. In some embodiments, a portion of the first dielectric sub-layer formed in the groove GV is removed to form the second recess R2. The width of the groove GV may be greater than the width of the second recess R2. For example, the the bottoms of the slanted sidewalls GVs are accessibly exposed by the second recess R2, while the tops of the slanted sidewalls GVs are still covered by the first patterned sub-layer 352. It should be noted that the profiles of the first recesses R1 and the second recess R2 shown in FIG. 6A are merely serves as an illustrative example, the profiles of the first recesses R1 and the second recess R2 may be tapered or may have substantially vertical sidewalls, which depends on the employed formation techniques.
  • Referring to FIG. 6B, a second patterned sub-layer 354 is optionally formed on the first patterned sub-layer 352. The forming process and the material of the second patterned sub-layer 354 may be similar to those of the second dielectric sub-layer DM2 described in FIG. 4C, except that the second patterned sub-layer 354 further includes first recesses R1 and the second recess R2 respectively corresponding to the first recesses R1 and the second recess R2 of the first patterned sub-layer 352. The first patterned sub-layer 352 and the second patterned sub-layer 354 may be collectively viewed as the patterned dielectric layer 350.
  • In some embodiments, the first recesses R1 and the second recess R2 of the second patterned sub-layer 354 are respectively aligned with the underlying first recesses R1 and the second recess R2 of the first patterned sub-layer 354. For example, the width (or diameter) of the first recess R1 of the second patterned sub-layer 354 and/or the width of the second recess R2 of the second patterned sub-layer 354 are substantially aligned with the width of the first recess R1 of the underlying first patterned sub-layer 352 and/or the width of the second recess R2 of the underlying first patterned sub-layer 352. Alternatively, as will be described later in other embodiments, the width of the first recess of the first and second patterned sub-layers are not aligned and/or the width of the second recess of the first and second patterned sub-layers are not aligned. In other embodiments, the recesses of the second patterned sub-layer 354 and the first patterned sub-layer 352 are formed during the same damascene process. It should be noted that the number of sub-layers illustrated in FIG. 6B merely serves as an illustrative example, and the patterned dielectric layer 350 may include more than two sub-layers or a single sub-layer which depends on the design requirements.
  • Continue to FIG. 6B, after forming the patterned dielectric layer 350, the conductive terminals 160 are formed on the patterned dielectric layer 350 and embedded in the patterned dielectric layer 350 to be in physical and electrical contact with the underlying TIVs 124. For example, the bottoms of the conductive terminals 160 formed in the first recesses R1 of the first patterned sub-layer 352 and the second patterned sub-layer 354. The forming process and the material of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G, so the detailed descriptions are omitted for brevity. After forming the conductive terminals 160, the second recess R2 may remain unmasked so that the bottoms of the groove GV are not covered. Subsequently, the de-bonding process may be performed on the temporary carrier TC to release from the insulating encapsulation 130. The de-bonding process is similar to the process described in FIG. 1G, so the detailed descriptions are omitted for brevity.
  • Referring to FIG. 6B and FIG. 6C, a singulation process may be performed to cut through the pre-cut circuit substrate W2 and the underlying insulating encapsulation 130 within the scribe line regions. The singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 30. The singulation process may provide a full cut penetrating through the pre-cut circuit substrate W2 to render a plurality of circuit carriers 220. For example, the dicing tool cuts along the scribe lines SL, within the second recess R2, so that the dicing tool cuts the pre-cut circuit substrate W2 and the underlying insulating encapsulation 130 without dicing the patterned dielectric layer 350, so that the patterned dielectric layer 350 partially covers the beveled edge 220 e of the circuit carrier 220 for protection, as shown in FIG. 6C. In other embodiments, the sidewalls of the patterned dielectric layer 350 in the scribe line region are slightly cut, but at least a portion of the patterned dielectric layer 350 remains partially covering the beveled edge 220 e of the circuit carrier 220 for protection. It should be noted that the coverage of the patterned dielectric layer 350 to the beveled edge 220 e of the circuit carrier 220 construes no limitation in the disclosure as long as the patterned dielectric layer 350 may function as a buffer during the subsequent mounting or testing process.
  • FIG. 7 and FIG. 8 are schematic cross-sectional views illustrating different variations in a dashed box A outlined in FIG. 6B in accordance with some exemplary embodiments of the disclosure. Referring to FIG. 7 and FIG. 8, the width RW2 of the second recess R2′ of the second patterned sub-layer 354′ is less than the width RW1 of the second recess R2 of the first patterned sub-layer 352. In some embodiments, the second patterned sub-layer 354′ covers the inner sidewalls of the first patterned sub-layer 352 defining the second recess R2. As shown in FIG. 8, the width RW2′ of the second recess R2′ of the second patterned sub-layer 354″ is greater than the width RW1 of the second recess R2 of the first patterned sub-layer 352, so that at least a portion of the first patterned sub-layer 352 may be exposed by the overlying second patterned sub-layer 354″.
  • It should be noted that the first recess of the first patterned sub-layer and the second patterned sub-layer may have the same or similar configuration(s) as illustrated in FIG. 7 and FIG. 8. The misalignment of the recess of the second patterned sub-layer and the recess of the first patterned sub-layer may result from the overlay error caused by variations in lithography and etching processes or other factors. The overlay error may be within the process variation window, and may not cause reliability issues for the semiconductor structure.
  • FIG. 9A to FIG. 9C are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. Referring to FIG. 9A, a first dielectric material is formed over the circuit substrate W1 (shown in FIG. 1D). For example, the first dielectric material is deposited on the isolation layer 140 and covers the TIVs 124. Next, a pre-cutting process is performed on the first dielectric material and the underlying circuit substrate W1 to form the pre-cut dielectric layer DM1′ and the pre-cut circuit substrate W2. The pre-cutting process is to partially remove the first dielectric material and the underlying circuit substrate W1 so as to render the groove GV on the structure as shown in FIG. 9A.
  • Since a portion of the circuit substrate W1 is removed along with a portion of the overlying first dielectric material during the pre-cutting process, the sidewalls 450 s′ of the pre-cut dielectric layer DM1′, the sidewalls 240 s′ of the pre-diced isolation layer 240′, and the sidewalls 222 s′ of the pre-diced substrate 222′ are continuously formed as sidewalls GVs of the groove GV. The shape of the groove GV may be defined by the shape of the dicing tool or the formation techniques as mentioned above, the profile of the groove illustrated in FIG. 9A merely serves as an illustrative example, the profile of the groove GV construe no limitation in the disclosure.
  • Referring to FIG. 9B, after performing the pre-cutting process, a second dielectric material DM2′ is optionally formed on the pre-cut dielectric layer DM1′ and fills the groove GV. In some embodiments, a region of the second dielectric material DM2′ corresponding to the groove GV is slightly recessed relative to other region of the second dielectric material DM2′. A portion of the second dielectric material DM2′ formed in the groove GV may be in physical contact with the sidewalls 450 s′ of the pre-cut dielectric layer DM1′, the sidewalls 240 s′ of the pre-diced isolation layer 240′, and the sidewalls 222 s′ of the pre-diced substrate 222′. Next, portions of the second dielectric material DM2′ and the underlying pre-cut dielectric layer DM1′ at the predetermined locations may be removed by, for example, using lithography and etching processes or other suitable techniques, to form the patterned dielectric layer 450 with the openings. The openings of the patterned dielectric layer 450 may accessibly reveal at least a portion of the underlying TIVs 124 for further electrical connection.
  • Subsequently, the conductive terminals 160 are formed on the patterned dielectric layer 450 and fill in the openings of the patterned dielectric layer 450 to be in physical and electrical contact with the TIVs 124. The materials and the forming process of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G, so the detailed descriptions are omitted for brevity. Subsequently, a de-bonding process may be performed on the temporary carrier TC to release from the insulating encapsulation 130. The de-bonding process is similar to the process described in FIG. 1G, so the detailed descriptions are omitted for brevity.
  • Referring to FIG. 9B and FIG. 9C, a singulation process may be performed to cut through the patterned dielectric layer 450, the pre-cut circuit substrate W2, and the insulating encapsulation 130. For example, after removing the temporary carrier TC, the structure may be transferred to be placed on the dicing tape for singulation. The singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 40. The singulation process may provide a full cut passing through the pre-cut circuit substrate W2 to render the circuit carriers 220. For example, the dicing tool cuts along the scribe lines SL, within the groove GV, so that a portion of the patterned dielectric layer 450 in the groove GV is remained on the circuit carrier 220.
  • In some embodiments, after singulation, a singulated sidewall 450 s of the second dielectric material DM2′ is substantially leveled with the singulated sidewall 220 s of the circuit carrier 220 and the singulated sidewall 130 s of the insulating encapsulation 130. In some embodiments, the semiconductor structure 40 includes the second dielectric material DM2′ of the patterned dielectric layer 450 encapsulating the pre-cut dielectric layer DM1′ and extending to cover the beveled edge 220 e of the circuit carrier 220. Due to the existence of the beveled edge 220 e of the circuit carrier 220 and the patterned dielectric layer 450 covering the beveled edge 220 e, edge/corner cracking of the circuit carrier 220 may be eliminated and the reliability of the semiconductor structure 40 is improved.
  • FIG. 10A and FIG. 10B are schematic cross-sectional views of various stages of manufacturing a semiconductor structure in accordance with some exemplary embodiments of the disclosure. The structure shown in FIG. 10A is fabricated by the processes similar to the processes described in FIG. 9A and FIG. 9B, for example, the pre-cutting process is performed on the first dielectric material and the underlying circuit substrate to form the pre-cut dielectric layer and the pre-cut circuit substrate, and then the second dielectric material is formed on the pre-cut dielectric layer. Like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. Referring to FIG. 10A, portions of the second dielectric material and the underlying pre-cut dielectric layer at the predetermined locations may be removed by, for example, lithography and etching processes or other suitable techniques, to respectively form the first patterned sub-layer 552 and the second patterned sub-layer 554. The first patterned sub-layer 552 and the second patterned sub-layer 554 may be collectively viewed as the patterned dielectric layer 550.
  • The patterned dielectric layer 550 may include the first recesses R1 accessibly revealing the underlying TIVs 124, and the second recess R2 partially exposing the sidewall GVs of the groove GV. The first recesses R1 and the second recess R2 are similar to the first recesses R1 and the second recess R2 described in FIG. 6A and FIG. 6B, so the detailed descriptions are omitted for brevity. After forming the patterned dielectric layer 550, the conductive terminals 160 are formed on the patterned dielectric layer 550 and fill the first recesses R1 of the patterned dielectric layer 550 to be in physical and electrical contact with the TIVs 124. The materials and the forming process of the conductive terminals 160 may be similar to those of the conductive terminals 160 described in FIG. 1G, so the detailed descriptions are omitted for brevity. Subsequently, the de-bonding process may be performed on the temporary carrier TC to release the temporary carrier TC from the insulating encapsulation 130. The de-bonding process may be similar to the process described in FIG. 1G, so the detailed descriptions are omitted for brevity.
  • Referring to FIG. 10A and FIG. 10B, a singulation process may be performed to cut through the pre-cut circuit substrate W2 and the underlying insulating encapsulation 130. The singulation process includes cutting the predetermined areas that the pre-cutting process previously cut to separate the predetermined areas into a plurality of semiconductor structures 50. The singulation process may provide a full cut passing through the pre-cut circuit substrate W2 to render the circuit carriers 220. For example, the dicing tool cuts along the scribe lines SL, within the second recess R2, so that the dicing tool cuts the pre-cut circuit substrate W2 and the underlying insulating encapsulation 130 without dicing the patterned dielectric layer 550. After dicing, a portion of the patterned dielectric layer 550 is remained partially covering the beveled edge 220 e of the circuit carrier 220 for protection, as shown in FIG. 6C. In other embodiments, the sidewalls of the patterned dielectric layer 550 in the scribe line region are slightly cut, but the patterned dielectric layer 550 remains partially covering the beveled edge 220 e of the circuit carrier 220 for protection. It should be noted that the coverage of the patterned dielectric layer 550 to the beveled edge 220 e of the circuit carrier 220 construe no limitation in the disclosure as long as the patterned dielectric layer 550 may serve as a buffer during the subsequent mounting or testing process.
  • FIG. 11 is a schematic cross-sectional view illustrating a semiconductor structure in accordance with some exemplary embodiments of the disclosure. Referring to FIG. 11, a semiconductor structure 60 is provided. The semiconductor structure 60 is similar to the semiconductor structure 20 described in FIG. 4D, and like elements are designated with the same reference numbers for ease of understanding and the details thereof are not repeated herein. The difference between the semiconductor structures 20 and 60 lies in that the semiconductor structure 60 further includes a redistribution circuitry 372.
  • For example, after forming the first dielectric sub-layer DM1 as described in FIG. 4B, a portion of the first dielectric sub-layer DM1 is removed to form a first patterned sub-layer 352′ with openings (not labeled) by, for example, using lithography and etching processes or other suitable techniques. The openings of the first patterned sub-layer 352′ may accessibly reveal at least a portion of the underlying TIVs 124. In some embodiments, the width (or diameter) of the opening may be wider than the width of the corresponding TIV 124. Alternatively, the width of the opening of the first patterned sub-layer 352′ is narrower than the width of the corresponding TIV 124. Next, a redistribution circuitry 372 is formed on the first patterned sub-layer 352′ and in the openings of the first patterned sub-layer 352′ to be in physical and electrical contact with the TIVs 124. In an exemplary embodiment, the redistribution circuitry 372 is formed by using patterning and metallization techniques to form conductive vias, conductive pads, conductive lines, or the like. The redistribution circuitry 372 may be formed to remap a layout for the circuit carrier 220. Next, the second patterned sub-layer 254 may be formed on the first patterned sub-layer 352′ to partially cover the redistribution circuitry 372. For example, the second patterned sub-layer 254 includes openings (not labeled) accessibly exposing at least a portion of the underlying redistribution circuitry 372 for further electrical connection.
  • Subsequently, the conductive terminals 160 are formed on the second patterned sub-layer 254 and in the openings of the second patterned sub-layer 254 to be in physical and electrical contact with the redistribution circuitry 372. The forming process of the conductive terminals 160 may be similar to the process described in FIG. 1G, so the detailed descriptions are omitted for brevity. In some embodiments, the redistribution circuitry 372 and the patterned dielectric layer 350′ including first and second patterned dielectric sub-layers 352′ and 354′ are collectively referred to as a redistribution layer (RDL). The redistribution circuitry 372 forms connections, which may run horizontally and map the conductive terminals 160 to different TIVs 124, to provide flexibility in the formation of conductive terminals 160. In some embodiments, forming the redistribution circuitry 372 between the circuit carrier 220 and the conductive terminals 160 allows the layout of the circuit carrier 220 to expand wider than the given area, so that the occurrence of undesired bridging issues due to the finer pitch between adjacent conductive terminals may be prevented. It should be noted that combination schemes of the redistribution layer may be formed to include different types of semiconductor structure discussed herein, so that variations thereof may be carried out while still remaining within the scope of the claims and disclosure.
  • According to some embodiments, a manufacturing method of a semiconductor structure includes at least the following steps. An encapsulated semiconductor die is disposed on a first surface of a circuit carrier to be in electrical contact with the circuit carrier. A second surface of the circuit carrier and an edge of the circuit carrier is protected with a patterned dielectric layer, where the second surface of the circuit carrier is opposite to the first surface, and the edge of the circuit carrier is connected to the second surface. A conductive terminal is formed penetrating through the patterned dielectric layer to be in electrical contact with the circuit carrier.
  • According to some alternative embodiments, a manufacturing method of a semiconductor structure includes at least the following steps. A plurality of semiconductor dies on a circuit substrate is encapsulated with an insulating encapsulation. The circuit substrate is cut to form a plurality of circuit carriers with diced sidewalls. A patterned dielectric layer is formed on the circuit carriers opposite to the insulating encapsulation, where a portion of the patterned dielectric layer extends to cover the diced sidewalls of the circuit carriers. A plurality of conductive terminals s formed on the patterned dielectric layer to be electrically coupled to the semiconductor dies through the circuit carriers. A singulation process is performed to at least cut through the insulating encapsulation.
  • According to some alternative embodiments, a manufacturing method of a semiconductor structure includes at least the following steps. A semiconductor die is encapsulated on a circuit substrate with an insulating encapsulation. The circuit substrate is cut to form a circuit carrier, where the circuit carrier comprising a first surface coupled to the insulating encapsulation and the semiconductor die, a second surface opposite to the first surface, a sidewall connected to the first surface and the second surface, and an edge between the second surface and the sidewall. A dielectric layer is formed on the second surface circuit carrier and extends to at least cover the edge of the circuit carrier. A conductive terminal is formed on the dielectric layer, where the conductive terminal is partially embedded in the dielectric layer to be in contact with the circuit carrier, and the semiconductor die being electrically coupled to the conductive terminal through the circuit carrier.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A manufacturing method of a semiconductor structure, comprising:
disposing an encapsulated semiconductor die on a first surface of a circuit carrier to be in electrical contact with the circuit carrier;
protecting a second surface of the circuit carrier and an edge of the circuit carrier with a patterned dielectric layer, wherein the second surface of the circuit carrier is opposite to the first surface, and the edge of the circuit carrier is connected to the second surface; and
forming a conductive terminal penetrating through the patterned dielectric layer to be in electrical contact with the circuit carrier.
2. The manufacturing method as claimed in claim 1, wherein before protecting the second surface and the edge of the circuit carrier with the patterned dielectric layer,
recessing a substrate of the circuit carrier to have a conductive via of the circuit carrier protruded from a thinned second surface of the circuit carrier, and
forming an isolation layer on the thinned second surface of the circuit carrier, wherein at least a portion of the conductive via is accessibly revealed by the isolation layer.
3. The manufacturing method as claimed in claim 1, wherein protecting the second surface and the edge of the circuit carrier with the patterned dielectric layer comprises:
forming the patterned dielectric layer on the second surface of the circuit carrier and extending across the edge of the circuit carrier and beyond a sidewall of the circuit carrier connected to the first surface of the circuit carrier.
4. The manufacturing method as claimed in claim 3, further comprising:
leveling a sidewall of the patterned dielectric layer and a sidewall of the encapsulated semiconductor die.
5. The manufacturing method as claimed in claim 1, wherein the edge of the circuit carrier is formed as a beveled edge before forming the patterned dielectric layer.
6. The manufacturing method as claimed in claim 5, wherein protecting the second surface and the edge of the circuit carrier with the patterned dielectric layer comprises:
forming a dielectric material on the second surface and the beveled edge of the circuit carrier; and
removing a portion of the dielectric material on the beveled edge, so that the patterned dielectric layer partially covers the beveled edge of the circuit carrier.
7. A manufacturing method of a semiconductor structure, comprising:
encapsulating a plurality of semiconductor dies on a circuit substrate with an insulating encapsulation;
cutting the circuit substrate to form a plurality of circuit carriers with diced sidewalls;
forming a patterned dielectric layer on the circuit carriers opposite to the insulating encapsulation, wherein a portion of the patterned dielectric layer extends to cover the diced sidewalls of the circuit carriers;
forming a plurality of conductive terminals on the patterned dielectric layer to be electrically coupled to the semiconductor dies through the circuit carriers; and
performing a singulation process to at least cut through the insulating encapsulation.
8. The manufacturing method as claimed in claim 7, wherein
when cutting the circuit substrate to form the circuit carriers, the circuit substrate is cut through to form a gap between the adjacent circuit carriers;
after forming the patterned dielectric layer, the portion of the patterned dielectric layer is formed in the gap between the adjacent circuit carriers; and
the singulation process comprises cutting through the portion of the patterned dielectric layer and the underlying insulating encapsulation.
9. The manufacturing method as claimed in claim 7, wherein
cutting the circuit substrate comprises forming a groove on a surface of the circuit substrate opposite to the insulating encapsulation; and
after forming the patterned dielectric layer, the portion of the patterned dielectric layer is formed in the groove; and
the singulation process comprises cutting within the groove.
10. The manufacturing method as claimed in claim 9, wherein the patterned dielectric layer is formed with a recess corresponding to the groove, and the recess is formed smaller than the groove.
11. The manufacturing method as claimed in claim 10, wherein when performing the singulation process, the circuit substrate is cut corresponding to the recess of the patterned dielectric layer, so that after performing the singulation process, a portion of the patterned dielectric layer is remained on the groove.
12. The manufacturing method as claimed in claim 9, wherein a first dielectric material of the patterned dielectric layer is formed on the circuit substrate, and then a portion of the first dielectric material of the patterned dielectric layer and the underlying circuit substrate are removed to form the groove.
13. The manufacturing method as claimed in claim 7, further comprising:
forming a redistribution circuitry in the patterned dielectric layer to reroute an electrical path of the circuit carriers before forming the conductive terminals.
14. A manufacturing method of a semiconductor structure, comprising:
encapsulating a semiconductor die on a circuit substrate with an insulating encapsulation;
cutting the circuit substrate to form a circuit carrier, wherein the circuit carrier comprising a first surface coupled to the insulating encapsulation and the semiconductor die, a second surface opposite to the first surface, a sidewall connected to the first surface and the second surface, and an edge between the second surface and the sidewall;
forming a dielectric layer on the second surface circuit carrier and extending to at least cover the edge of the circuit carrier; and
forming a conductive terminal on the dielectric layer, wherein the conductive terminal is partially embedded in the dielectric layer to be in contact with the circuit carrier, and the semiconductor die being electrically coupled to the conductive terminal through the circuit carrier.
15. The manufacturing method as claimed in claim 14, further comprising:
forming an isolation layer on the second surface of the circuit carrier before forming the dielectric layer, wherein the dielectric layer is formed on the isolation layer, and a material of the isolation layer is different from that of the dielectric layer.
16. The manufacturing method as claimed in claim 14, wherein forming the dielectric layer comprising:
extending the dielectric layer beyond the edge of the circuit carrier and along the sidewall of the circuit carrier to be in contact with the insulating encapsulation.
17. The manufacturing method as claimed in claim 16, wherein when cutting the circuit substrate, a portion of the insulating encapsulation is also removed, so that an interface between the dielectric layer and the insulating encapsulation is between the first surface of the circuit carrier and a surface of the insulating encapsulation opposite to the first surface of the circuit carrier.
18. The manufacturing method as claimed in claim 14, wherein cutting the circuit substrate comprises:
performing a bevel cutting process on the circuit substrate, wherein the edge of the circuit carrier is a bevel cut, and after the dielectric layer is formed, the dielectric layer extends beyond the second surface of the circuit carrier to partially cover the bevel cut.
19. The manufacturing method as claimed in claim 14, wherein cutting the circuit substrate comprises:
performing a bevel cutting process on the circuit substrate, wherein the edge of the circuit carrier is a bevel cut, and after the dielectric layer is formed, the dielectric layer extends to cover the edge of the circuit carrier, and a sidewall of the dielectric layer is substantially leveled with the sidewall of the circuit carrier.
20. The manufacturing method as claimed in claim 14, further comprising:
forming a redistribution circuitry on the circuit carrier and in the dielectric layer before forming the conductive terminal, wherein after the conductive terminal is formed, the conductive terminal is electrically coupled to the circuit carrier through the redistribution layer.
US17/850,972 2019-06-27 2022-06-27 Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier Pending US20220328371A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/850,972 US20220328371A1 (en) 2019-06-27 2022-06-27 Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/454,099 US11410897B2 (en) 2019-06-27 2019-06-27 Semiconductor structure having a dielectric layer edge covering circuit carrier
US17/850,972 US20220328371A1 (en) 2019-06-27 2022-06-27 Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/454,099 Division US11410897B2 (en) 2019-06-27 2019-06-27 Semiconductor structure having a dielectric layer edge covering circuit carrier

Publications (1)

Publication Number Publication Date
US20220328371A1 true US20220328371A1 (en) 2022-10-13

Family

ID=74043323

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/454,099 Active 2039-09-25 US11410897B2 (en) 2019-06-27 2019-06-27 Semiconductor structure having a dielectric layer edge covering circuit carrier
US17/850,972 Pending US20220328371A1 (en) 2019-06-27 2022-06-27 Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/454,099 Active 2039-09-25 US11410897B2 (en) 2019-06-27 2019-06-27 Semiconductor structure having a dielectric layer edge covering circuit carrier

Country Status (1)

Country Link
US (2) US11410897B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210023021A (en) * 2019-08-21 2021-03-04 삼성전자주식회사 Semiconductor package
US11424219B2 (en) * 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
FR3109466A1 (en) * 2020-04-16 2021-10-22 Stmicroelectronics (Grenoble 2) Sas Device for supporting an electronic chip and corresponding manufacturing process
US11063012B1 (en) * 2020-04-24 2021-07-13 Nanya Technology Corporation Semiconductor structure having buffer under bump pad and manufacturing method thereof
US20220310411A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
WO2023050093A1 (en) * 2021-09-28 2023-04-06 华为技术有限公司 Chip package structure and packaging method thereof, and communication device

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4445274A (en) * 1977-12-23 1984-05-01 Ngk Insulators, Ltd. Method of manufacturing a ceramic structural body
JP3301262B2 (en) * 1995-03-28 2002-07-15 松下電器産業株式会社 Surface acoustic wave device
US5796165A (en) * 1996-03-19 1998-08-18 Matsushita Electronics Corporation High-frequency integrated circuit device having a multilayer structure
US6529027B1 (en) * 2000-03-23 2003-03-04 Micron Technology, Inc. Interposer and methods for fabricating same
JP4431747B2 (en) * 2004-10-22 2010-03-17 富士通株式会社 Manufacturing method of semiconductor device
US7838976B2 (en) * 2006-07-28 2010-11-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a semiconductor chip enclosed by a body structure and a base
TWI351743B (en) * 2007-03-09 2011-11-01 Unimicron Technology Corp Chip carrier structure having semiconductor chip e
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9831170B2 (en) * 2011-12-30 2017-11-28 Deca Technologies, Inc. Fully molded miniaturized semiconductor module
ITVI20120060A1 (en) * 2012-03-19 2013-09-20 St Microelectronics Srl ELECTRONIC SYSTEM HAVING INCREASED CONNECTION THROUGH THE USE OF HORIZONTAL AND VERTICAL COMMUNICATION CHANNELS
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9859265B2 (en) * 2014-06-06 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of forming the same
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US20160055975A1 (en) * 2014-08-22 2016-02-25 Apple Inc. Axial lead capacitors
US10269722B2 (en) * 2014-12-15 2019-04-23 Bridge Semiconductor Corp. Wiring board having component integrated with leadframe and method of making the same
TWI555098B (en) * 2015-02-13 2016-10-21 矽品精密工業股份有限公司 Electronic package structure and the manufacture thereof
KR102097179B1 (en) * 2015-04-07 2020-04-03 앰코테크놀로지코리아(주) Package of finger print sensor and fabricating method thereof
US9437536B1 (en) * 2015-05-08 2016-09-06 Invensas Corporation Reversed build-up substrate for 2.5D
US10008439B2 (en) * 2015-07-09 2018-06-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Thin recon interposer package without TSV for fine input/output pitch fan-out
US9686866B2 (en) * 2015-08-23 2017-06-20 Unimicron Technology Corp. Package structure and manufacturing method thereof
DE102018106434B4 (en) * 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor component and method for its manufacture
US10515921B2 (en) * 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10468307B2 (en) * 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20190363039A1 (en) * 2018-05-22 2019-11-28 Advanced Semiconductor Engineering, Inc. Semiconductor package and manufacturing process
US10867925B2 (en) * 2018-07-19 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US11114311B2 (en) * 2018-08-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US20200203287A1 (en) * 2018-12-20 2020-06-25 Qualcomm Incorporated Device comprising compartmental shielding with improved heat dissipation and routing
US11004786B2 (en) * 2019-03-15 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11145623B2 (en) * 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11088059B2 (en) * 2019-06-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same

Also Published As

Publication number Publication date
US11410897B2 (en) 2022-08-09
US20200411399A1 (en) 2020-12-31

Similar Documents

Publication Publication Date Title
US11443995B2 (en) Integrated circuit package and method
US20220328371A1 (en) Manufacturing method of semiconductor structure having dielectric layer edge covering circuit carrier
CN111799227B (en) Semiconductor device and method of forming the same
US11854921B2 (en) Integrated circuit package and method
US20210066151A1 (en) Package structure and method of fabricating the same
US11164824B2 (en) Package structure and method of fabricating the same
US11854986B2 (en) Chamfered die of semiconductor package and method for forming the same
US11756855B2 (en) Method of fabricating package structure
US11450654B2 (en) Package structure and method of fabricating the same
US11424219B2 (en) Package structure and method of fabricating the same
US20220230969A1 (en) Package structure and method of fabricating the same
US11855060B2 (en) Package structure and method of fabricating the same
US20220359465A1 (en) Package structures and method for forming the same
KR20240005646A (en) Integrated circuit package and method
US20230378012A1 (en) Integrated Circuit Packages and Methods of Forming the Same
US20230369274A1 (en) Integrated circuit package and method of forming same
US11823887B2 (en) Package structure and method of fabricating the same
TWI758129B (en) Semiconductor packages
US11830859B2 (en) Package structures and method for forming the same
US20230065884A1 (en) Package structure and manufacturing method thereof

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION