US20220216322A1 - Semiconductor Devices and Methods of Formation - Google Patents
Semiconductor Devices and Methods of Formation Download PDFInfo
- Publication number
- US20220216322A1 US20220216322A1 US17/705,804 US202217705804A US2022216322A1 US 20220216322 A1 US20220216322 A1 US 20220216322A1 US 202217705804 A US202217705804 A US 202217705804A US 2022216322 A1 US2022216322 A1 US 2022216322A1
- Authority
- US
- United States
- Prior art keywords
- spacer
- dummy gate
- gate electrode
- width
- fins
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 141
- 239000004065 semiconductor Substances 0.000 title claims abstract description 46
- 230000015572 biosynthetic process Effects 0.000 title description 31
- 125000006850 spacer group Chemical group 0.000 claims abstract description 236
- 239000000463 material Substances 0.000 claims abstract description 222
- 239000000758 substrate Substances 0.000 claims abstract description 45
- 238000004519 manufacturing process Methods 0.000 claims description 3
- 229910052751 metal Inorganic materials 0.000 abstract description 24
- 239000002184 metal Substances 0.000 abstract description 24
- 238000000151 deposition Methods 0.000 abstract description 23
- 239000010410 layer Substances 0.000 description 144
- 230000008569 process Effects 0.000 description 88
- 239000003989 dielectric material Substances 0.000 description 35
- 238000002955 isolation Methods 0.000 description 27
- 238000000059 patterning Methods 0.000 description 27
- 238000005530 etching Methods 0.000 description 23
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 18
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- 239000010703 silicon Substances 0.000 description 16
- 244000208734 Pisonia aculeata Species 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 239000002135 nanosheet Substances 0.000 description 12
- 239000002243 precursor Substances 0.000 description 11
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- 238000005137 deposition process Methods 0.000 description 9
- 239000011229 interlayer Substances 0.000 description 9
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 8
- 239000002019 doping agent Substances 0.000 description 8
- 230000000873 masking effect Effects 0.000 description 8
- 239000007769 metal material Substances 0.000 description 8
- 239000007789 gas Substances 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- 150000001875 compounds Chemical class 0.000 description 6
- 239000003292 glue Substances 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 6
- 229910010271 silicon carbide Inorganic materials 0.000 description 6
- 229910052726 zirconium Inorganic materials 0.000 description 6
- -1 SiGE Chemical compound 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 239000002210 silicon-based material Substances 0.000 description 5
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 4
- 229910004200 TaSiN Inorganic materials 0.000 description 4
- 229910010037 TiAlN Inorganic materials 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N hydrofluoric acid Substances F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- 229910052748 manganese Inorganic materials 0.000 description 4
- 238000004544 sputter deposition Methods 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 229910016570 AlCu Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 239000007943 implant Substances 0.000 description 3
- 239000002086 nanomaterial Substances 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 230000000717 retained effect Effects 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 229910004129 HfSiO Inorganic materials 0.000 description 2
- 150000004645 aluminates Chemical class 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910052914 metal silicate Inorganic materials 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- 229910000314 transition metal oxide Inorganic materials 0.000 description 2
- 229910000326 transition metal silicate Inorganic materials 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 101000755816 Homo sapiens Inactive rhomboid protein 1 Proteins 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 102100022420 Inactive rhomboid protein 1 Human genes 0.000 description 1
- 229910020968 MoSi2 Inorganic materials 0.000 description 1
- 229910012990 NiSi2 Inorganic materials 0.000 description 1
- 229910003910 SiCl4 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004217 TaSi2 Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910021354 zirconium(IV) silicide Inorganic materials 0.000 description 1
Images
Classifications
-
- H01L29/6656—
-
- H01L29/0673—
-
- H01L29/0847—
-
- H01L29/401—
-
- H01L29/42356—
-
- H01L29/42376—
-
- H01L29/66439—
-
- H01L29/6653—
-
- H01L29/66545—
-
- H01L29/66795—
-
- H01L29/775—
-
- H01L29/785—
-
- H01L29/7851—
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
Definitions
- Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
- FIG. 1A illustrates a perspective view of a semiconductor device, in accordance with some embodiments.
- FIGS. 1B and 1C to 3A and 3B illustrate cross-sectional views of forming fins in a substrate, isolation regions between the fins, and forming dummy gate electrodes over the fins in intermediate steps of forming the semiconductor device, according to some embodiments.
- FIGS. 4A to 4C illustrate the formation of an opening through remaining portions of a dummy gate material and through the fins, in accordance with some embodiments.
- FIGS. 5A to 5C illustrate an etch pull-back process that is performed to recess bottom portions of the dummy gate electrodes, in accordance with some embodiments.
- FIGS. 6A and 6B to 8C and 8D illustrate formation of bottom spacers in the recesses of the dummy gate electrodes and source/drain regions forming in the openings through the fins, in accordance with some embodiments.
- FIGS. 9A to 9E illustrate the removal of the dummy gate electrode and formation of gate electrode stacks in place of the dummy gate electrodes, in accordance with some embodiments.
- FIGS. 10A and 10B to 11A and 11B illustrate the recessing of the top spacers and/or recessing of the bottom spacers and further illustrate the formation of the gate electrode stacks, in accordance with some other embodiments.
- FIGS. 12A and 12B to 16A and 16B illustrate the top spacers and the bottom spacers, in accordance with still other embodiments.
- first and second features are formed in direct contact
- additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
- present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
- the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
- the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- FIG. 1A there is illustrated a perspective view of a formation of a semiconductor device 100 with a fin field effect transistor (FinFET) 107 formed at least partially over a substrate 101 .
- the semiconductor device 100 may be located in a core region or an I/O region and may comprise parts of logic devices, memory devices, combinations of these, or the like, which are utilized to perform the desired functions of the semiconductor device 100 or to transmit and receive signals into and out of the semiconductor device 100 .
- any suitable regions and any suitable functionalities may be utilized.
- the substrate 101 may be a silicon substrate, although other substrates, such as semiconductor-on-insulator (SOI), strained SOI, and silicon germanium on insulator, could be used.
- the substrate 101 may be a p-type semiconductor, although in other embodiments, it could be an n-type semiconductor.
- the substrate 101 may be chosen to be a material which will specifically boost the performance (e.g., boost the carrier mobility) of the devices formed from the substrate 101 .
- the material of the substrate 101 may be chosen to be a layer of epitaxially grown semiconductor material, such as epitaxially grown silicon germanium which helps to boost some of the measurements of performance of devices formed from the epitaxially grown silicon germanium.
- epitaxially grown semiconductor material such as epitaxially grown silicon germanium which helps to boost some of the measurements of performance of devices formed from the epitaxially grown silicon germanium.
- these materials may be able to boost some of the performance characteristics of the devices, the use of these same materials may affect other performance characteristics of the device.
- a plurality of the fin field effect transistor (FinFET) 107 is formed, with only one such device being illustrated in FIG. 1A for clarity.
- FinFET fin field effect transistor
- fewer fins may be implemented to form a respective transistor, and a spacing between neighboring gates (and hence, a width of an intervening source/drain region) may be smaller than other regions (e.g., the I/O region).
- Portions of the substrate 101 may be removed as an initial step in the eventual formation of isolation regions 111 .
- the portions of the substrate 101 may be removed using a masking layer (not separately illustrated in FIG. 1A ) along with a suitable etching process.
- the masking layer may be a hardmask comprising silicon nitride formed through a process such as chemical vapor deposition (CVD), although other materials, such as oxides, oxynitrides, silicon carbide, combinations of these, or the like, and other processes, such as plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), or even silicon oxide formation followed by nitridation, may be utilized.
- CVD chemical vapor deposition
- PECVD plasma enhanced chemical vapor deposition
- LPCVD low pressure chemical vapor deposition
- silicon oxide formation followed by nitridation may be utilized.
- the processes and materials described above to form the masking layer are not the only method that may be used to protect portions of the substrate 101 while exposing other portions of the substrate 101 .
- Any suitable process such as a patterned and developed photoresist, may be utilized to expose portions of the substrate 101 to be removed. All such methods are fully intended to be included in the scope of the present embodiments.
- the portions of the substrate 101 may be removed.
- the exposed substrate 101 may be removed through a suitable process such as reactive ion etching (RIE) in order to remove the portions of the substrate 101 , although any suitable process may be used.
- RIE reactive ion etching
- the portions of the substrate 101 may be removed to a first depth of less than about 5,000 ⁇ from the surface of the substrate 101 .
- the masking and etching process additionally forms the fins 113 from those portions of the substrate 101 that remain unremoved.
- the fins 113 have been illustrated in the figures as being separated from the substrate 101 , although a physical indication of the separation may or may not be present. These fins 113 may be used, as discussed below, to form the channel region of multiple-gate FinFET transistors. While FIG. 1A only illustrates one of the fins 113 formed from the substrate 101 , any number of fins 113 may be utilized.
- the fins 113 may be formed such that they have a width at the surface of the substrate 101 of between about 5 nm and about 80 nm. Furthermore, while a particular process of forming the fins 113 has been described, the fins 113 may be patterned by any suitable method. For example, the fins 113 may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process.
- a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the fins 113 .
- a dielectric material may be deposited and the dielectric material may be recessed to form the isolation regions 111 .
- the dielectric material may be an oxide material, a high-density plasma (HDP) oxide, or the like.
- the dielectric material may be formed, after optional cleaning and lining steps, using either a chemical vapor deposition (CVD) method (e.g., the HARP process), a high density plasma CVD method, or other suitable method of formation as is known in the art.
- CVD chemical vapor deposition
- HARP high density plasma CVD
- the deposition process may fill or overfill the regions around the fins 113 and then excess material may be removed from over the fins 113 through a suitable process such as chemical mechanical polishing (CMP), an etch, a combination of these, or the like.
- CMP chemical mechanical polishing
- the removal process removes any dielectric material that is located over the fins 113 as well, so that the removal of the dielectric material will expose the surface of the fins 113 to further processing steps.
- the dielectric material may then be recessed away from the surface of the fins 113 .
- the recessing may be performed to expose at least a portion of the sidewalls of the fins 113 adjacent to the top surface of the fins 113 .
- the dielectric material may be recessed using a wet etch by dipping the top surface of the fins 113 into an etchant such as HF, although other etchants, such as H 2 , and other methods, such as a reactive ion etch, a dry etch with etchants such as NH 3 /NF 3 , chemical oxide removal, or dry chemical clean may be used.
- the dielectric material may be recessed to a distance from the surface of the fins 113 of between about 50 ⁇ and about 500 ⁇ . Additionally, the recessing may also remove any leftover dielectric material located over the fins 113 to ensure that the fins 113 are exposed for further processing.
- steps described above may be only part of the overall process flow used to fill and recess the dielectric material.
- lining steps, cleaning steps, annealing steps, gap filling steps, combinations of these, and the like may also be utilized. All of the potential process steps are fully intended to be included within the scope of the present embodiment.
- a dummy gate dielectric 115 and a dummy gate electrode 117 may be formed over each of the fins 113 .
- the dummy gate dielectric 115 may be formed by thermal oxidation, chemical vapor deposition, sputtering, or any other methods known and used in the art for forming a gate dielectric.
- the dummy gate dielectric 115 thickness on the top of the fins 113 may be different from the gate dielectric thickness on the sidewall of the fins 113 .
- the dummy gate dielectric 115 may comprise a material such as silicon dioxide or silicon oxynitride with a thickness ranging from about 3 ⁇ to about 100 ⁇ .
- the dummy gate dielectric 115 may be formed from a high permittivity (high-k) material (e.g., with a relative permittivity greater than about 5) such as lanthanum oxide (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), hafnium oxynitride (HfON), or zirconium oxide (ZrO 2 ), or combinations thereof, with an equivalent oxide thickness of about 0.5 ⁇ to about 100 ⁇ . Additionally, any combination of silicon dioxide, silicon oxynitride, and/or high-k materials may also be used for the dummy gate dielectric 115 .
- a gate oxide (e.g., oxide liner) may be formed over the fins 113 prior to the formation of the dummy gate dielectric 115 .
- the dummy gate dielectric 115 may be formed over the fins 113 and the gate oxide and the dummy gate dielectric 115 may be patterned, as set forth above.
- the dummy gate electrode 117 may comprise a conductive or non-conductive material and may be a silicon-based material such as silicon, SiGE, SiN, SiC, SiON, combinations, or the like and may be, although any suitable materials such as polysilicon may also be utilized. According to some embodiments, the dummy gate electrode 117 may be formed using a complex dummy gate material layer 125 (see, e.g., FIG. 1B-1C ) including a bottom layer comprising a first dummy gate material 119 and a top layer comprising a second dummy gate material 121 that is different from the first dummy gate material 119 .
- the first dummy gate material 119 may be selected to have an etch rate that is greater than the etch rate of the fin 113 . Selecting the materials of the first dummy gate material 119 , the second dummy gate material 121 and the fins 113 with the different etch rates is useful in patterning the dummy gate electrodes 117 , as is described in greater detail below.
- the first dummy gate material 119 may be formed using silicon germanium (SiGe) and the second dummy gate material 121 may be formed using silicon (Si).
- the etch rate of the first dummy gate material 119 may be greater than the etch rate of the fin 113 .
- the first dummy gate material 119 may also be silicon germanium, but may have a higher concentration of germanium in order to generate different etch rates.
- the materials of the complex dummy gate material layer 125 may be deposited by one or more processes such as chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), sputter deposition, epitaxial growth, or other techniques known and used in the art for depositing materials.
- CVD chemical vapor deposition
- PVD physical vapor deposition
- PECVD plasma enhanced chemical vapor deposition
- ALD atomic layer deposition
- sputter deposition epitaxial growth, or other techniques known and used in the art for depositing materials.
- the thickness of the complex dummy gate material layer 125 may be in the range of about 5 ⁇ to about 200 ⁇ , according to some embodiments, although any suitable thickness may be used.
- the top surface of the complex dummy gate material layer 125 may have a non-planar top surface, and may be planarized using, for example, a chemical mechanical planarization (CMP) process prior to patterning of the complex dummy gate material layer 125 .
- CMP chemical mechanical planarization
- Ions may or may not be introduced into the complex dummy gate material layer 125 at this point. Ions may be introduced, for example, by ion implantation techniques.
- the dummy gate electrode 117 may be patterned.
- the dummy gate electrode 117 may define a single channel or may define multiple channel regions located within the fin 113 beneath the dummy gate dielectric 115 .
- the dummy gate electrode 117 may be formed by initially depositing and patterning a gate mask 123 on the dummy gate material layer using, for example, deposition and photolithography techniques known in the art.
- the gate mask 123 may incorporate commonly used masking and sacrificial materials, such as (but not limited to) silicon oxide, silicon oxynitride, SiCON, SiC, SiOC, and/or silicon nitride and may be deposited to a thickness of between about 5 ⁇ and about 200 ⁇ .
- the material of the gate mask 123 may be etched using a dry etching process to form the gate mask 123 .
- FIG. 1A further illustrates several cut lines through the semiconductor device 100 that will be referenced throughout the following discussion and with regard to the remaining figures.
- FIG. 1A illustrates a first cutline A-A taken through a vertical section in the fin 113 (e.g., a “cut-on-fin” view) and a second cutline B-B taken through a vertical section adjacent the fin 113 (e.g., a “cut-without-fin” view).
- FIGS. 1B and 1C illustrate cross-sectional views along the first cutline A-A (e.g., the “cut-on-fin” view) and the second cutline B-B (e.g., the “cut-without-fin” view) in an intermediate step of forming the FinFET 107 , according to some embodiments.
- the intermediate step includes forming the dummy gate material layer as a complex dummy gate material layer 125 and forming two of the gate masks 123 over the complex dummy gate material layer 125 , according to some embodiments.
- FIGS. 2A and 2B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate a first patterning step to form top portions 203 of the dummy gate electrodes 117 in an intermediate step of forming the FinFET 107 , according to some embodiments.
- a dry etching process may be used to form openings 201 in the second dummy gate material 121 (e.g., silicon (Si)) and/or into the first dummy gate material 119 (e.g., silicon germanium (SiGe)), in accordance with some embodiments.
- any suitable etching process may be used to form the openings 201 .
- the top portions 203 of the dummy gate electrodes 117 are formed in the complex dummy gate material layer 125 .
- the top portions 203 of the dummy gate electrodes 117 comprise a single material (e.g., the second dummy gate material 121 ). In other embodiments, the top portions 203 comprise multiple materials (e.g., the second dummy gate material 121 and the first dummy gate material 119 ). According to some embodiments the openings 201 may be formed to a first depth D 1 that is between about 5 nm and about 300 nm. However, any suitable depth may be used.
- FIGS. 3A and 3B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate an initiation of the formation of a top spacer 301 adjacent to the gate masks 123 and the dummy gate electrodes 117 and over the exposed surface of the first dummy gate material 119 .
- the material of the top spacers 301 may comprise one material layer (e.g., a single film) or may comprise multiple material layers (e.g., multiple films) such as two films, three films, or even as many as up to ten films.
- the material of the top spacer 301 may comprise a first dielectric material such as a silicon-based material such as SiN, SiON, SiOCN, SiC, SiOC, and SiO 2 .
- the top spacer 301 may be formed in a blanket deposition to a thickness of between about 5 ⁇ and about 500 ⁇ , in accordance with some embodiments.
- the material of the top spacer 301 may be formed by initially using a deposition process such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), plasma enhanced chemical vapor deposition (PECVD), a treatment such as oxidation, combinations of these, or the like.
- CVD chemical vapor deposition
- PVD physical vapor deposition
- ALD atomic layer deposition
- PECVD plasma enhanced chemical vapor deposition
- any suitable material, thickness, and method of formation may be utilized.
- FIGS. 4A and 4B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate a patterning step.
- the patterning step comprises an etching of the top spacers 301 .
- the material of the top spacers 301 may be patterned to form the top spacers 301 .
- the material of the top spacers 301 are patterned using one or more anisotropic etching processes, such as reactive ion etches, in order to remove the material of the top spacers 301 from at least some of the horizontal surfaces of the structure.
- the material of the top spacers 301 may be removed from each horizontal surface of the structure.
- the material of the top spacers 301 may be removed from the horizontal surfaces of the substrate 101 and the isolation regions 111 while over other horizontal surfaces or nearly horizontal surfaces such as the gate masks 123 , the material of the top spacers 301 is merely thinned and is not removed enough to expose the underlying structures. Any suitable patterning process may be utilized.
- the patterning step further comprises extending the openings 201 through the remaining portion of the complex dummy gate material layer 125 (e.g., the first dummy gate material 119 ) and through the underlying material of the fins 113 (e.g., channel etch).
- the gate masks 123 and the top spacers 301 protect the top portions 203 of the dummy gate electrodes 117 .
- the bottom portions 401 of the dummy gate electrodes 117 are formed from the remaining materials of the complex dummy gate material layer 125 .
- the bottom portions 401 of the dummy gate electrodes 117 comprise multiple materials (e.g., the second dummy gate material 121 and the first dummy gate material 119 ). In other embodiments, the bottom portions 401 comprise a single material (e.g., the first dummy gate material 119 ).
- the removal of the exposed portions of the first dummy gate material 119 and the underlying material of the fins 113 from those areas that are not protected may be performed by a reactive ion etch (RIE) using the gate masks 123 , the dummy gate electrodes 117 and the top spacers 301 as hardmasks, or by any other suitable removal process.
- the removal may be continued until the fins 113 are either planar with or below the surface of the isolation regions 111 .
- Etching the exposed portions of the fins 113 in the patterning step with the first dummy gate material 119 there is no restriction of the space between fins 113 (e.g., channel to channel space) which allows for an enlarged etching capability.
- the patterning step may be performed using etching condition tuning to minimize and/or prevent damage to the tops of the channel regions when cutting through the fins 113 .
- the openings 201 extend to the bases of the fins 113 stopping on the substrate 101 (not shown in FIG. 4A ) and outside of the fins 113 stopping on the isolation regions 111 . In other embodiments, the openings 201 may extend below the bases of the fins 113 and into the substrate 101 and outside of the fins 113 extending into the isolation regions 111 . According to some embodiments, the openings 201 extend below the top portions 203 of the dummy gate electrodes 117 to a second depth D 2 of between about 10 nm and about 300 nm. However, any suitable depth may be used.
- FIG. 4C illustrates a top down view below the channel after the patterning step has been performed (in a direction that is into and out of the page of FIGS. 4A and 4B ).
- FIG. 4C illustrates the formation of the openings 201 through two of the fins 113 .
- the fins 113 may be a first width W1 of between about 0.5 nm and about 20 nm.
- the fins 113 may be separated from one another by a first distance Dist1 of between about 1 nm and about 300 nm.
- FIG. 4C further illustrates that the formation of the openings 201 separate the first dummy gate material 119 into two bottom portions 401 of the dummy gate electrodes 117 , as illustrated in FIGS.
- the separation between the two bottom portions 401 and the separation between the channel regions of the fins 113 may be a second distance Dist2 of between about 5 nm and about 1000 nm. However, any suitable distance may be used for the second distance Dist2.
- FIGS. 5A to 5C illustrate an etch pull-back process that is performed to recess the bottom portions 401 of the dummy gate electrodes 117 .
- the bottom portions 401 are exposed and the top portions 203 are protected by the top spacer 301 and the gate masks 123 .
- the precursors selected for the etch pull-back process may be highly selective to the exposed materials of the dummy gate electrode 117 and less selective to the materials of the fins 113 (e.g., channel regions).
- the etch rate of the dummy gate electrode 117 is greater than the etch rate of the channel materials of the fins 113 for the etchant used.
- the bottom portions 401 of the dummy gate electrode 117 may be recessed a third distance Dist3 from the sidewalls with little to no recessing of the fins 113 .
- the third distance Dist3 may be a distance of between about 0.3 nm and about 50 nm. However, any suitable distance may be used.
- the etch pull-back process may be performed using a plasma etch, a remote plasma etch, a radical etch, combinations, or the like.
- the etch pull-back process may use a first precursor (e.g., main gas), a second precursor (e.g., passivation gas), and/or a third precursor (e.g., dilute gas).
- the first precursor includes but is not limited to gases such as Cl 2 , HBr, CF 4 , CHF 3 , CH 2 F 2 , CH 3 F, C 4 F 6 , BC 13 , SF 6 , H 2 , or the like.
- the second precursor may be used in the etch pull-back process for tuning the etch selectivity and includes gases such as N 2 , O 2 , CO 2 , SO 2 , CO, SiCl 4 , or the like.
- the third precursor includes but is not limited to gases such as Ar, He, Ne, or the like.
- the concentrations of the first precursor, the second precursor, and the third precursor may be selected based on a desired selectivity of the materials of the first dummy gate material 119 (e.g., SiGe) and/or the materials of the fins 113 (e.g., Si).
- the etch pull-back process may be performed using a plasma source power of between about 10 W and about 3,000 W (control ion/radical ratio), according to some embodiments. However, any suitable plasma source power may be utilized. Further, the etch pull-back process may be performed using a plasma bias power of between about OW and about 3,000 W. However, any suitable plasma bias power may be used. A direction of the plasma etch may be controlled. The plasma etch may be an isotropic etch or an anisotropic etch. According to some embodiments, the etch pull-back process may be performed at a process pressure of between about 1 mTorr and about 800 mTorr. However, any suitable pressure may be utilized. In some embodiments, the etch pull-back process may be performed using an etch gas with a process flow of between about 1 sccm and about 5,000 sccm. However, any suitable process flow may be utilized.
- the etch pull-back process comprises a wet clean etch.
- the wet clean etch may be performed using first etchants (e.g., main chemicals) such as hydrofluoric acid (HF), fluorine (F 2 ), combinations, or the like.
- first etchants e.g., main chemicals
- reactants may be added to assist in the chemical etching of certain materials and may be used for selective tuning of the wet clean etch.
- Reactants include but are not limited to compounds such as H 2 SO 4 , HCl, HBr, NH 3 , combinations, or the like.
- solvents may be used to provide the etchant as a solution for the wet clean etch.
- Solvents include but are not limited to de-ionized water (e.g., DI water), alcohol, acetone, combinations, or the like.
- FIG. 5C illustrates the etch pull-back process in the top down view.
- FIG. 5C illustrates the third distance Dist3 of the recesses formed in the first dummy gate material 119 .
- the formation of the openings 201 and the etch pull-back process allows for a large space for the source/drain regions to be formed without channel-channel restrictions, thereby increasing the dummy gate residue defect window.
- the large dummy gate residue defect window enables increased etch capability with a smaller risk of defects (e.g., metal gate shorts) due to dummy gate material residue being left behind.
- FIGS. 6A and 6B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate the beginning of a formation of a bottom spacer 601 adjacent to the top spacer 301 , the first dummy gate material 119 , the fins 113 , and over the exposed surfaces of the substrate 101 (not shown in FIGS. 6A and 6B ) and the isolation regions 111 .
- the bottom spacers 601 may comprise one material layer (e.g., a single film) or may comprise multiple material layers (e.g., multiple films) such as two films, three films, or even as many as up to ten films.
- the bottom spacers 601 may be formed using any of the materials and any of the processes suitable for forming the top spacers 301 .
- the material selected for the bottom spacers 601 is different from the material selected for the top spacers 301 , although the materials may also be the same.
- the top spacers 301 are silicon nitride (SiN)
- the bottom spacers 601 may be silicon oxynitride (SiON).
- any suitable materials may be used.
- the materials of the bottom spacer 601 fill in the recesses at the bottom portions 401 of the dummy gate electrodes 117 .
- the material of the bottom spacer 601 may be formed to a first thickness Th1 of between about 5 ⁇ and about 500 ⁇ over the top spacer 301 and formed to a second thickness Th2 of between about 8 ⁇ and about 1000 ⁇ within the recesses.
- Th1 first thickness of between about 5 ⁇ and about 500 ⁇ over the top spacer 301
- Th2 of between about 8 ⁇ and about 1000 ⁇ within the recesses.
- any suitable material, thickness, and method of formation may be utilized.
- FIGS. 7A and 7B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate an etching of the material of the bottom spacers 601 , the isolation regions 111 , and/or the substrate 101 (not shown in FIGS. 7A and 7B ).
- the material of the bottom spacers 601 may be patterned to form the bottom spacers 601 .
- the material of the bottom spacers 601 are patterned using one or more anisotropic etching processes, such as reactive ion etches, in order to remove the bottom spacers 601 from the horizontal surfaces of the structure.
- any suitable patterning process may be utilized.
- the bottom spacers 601 may be patterned to a first height H1 and a first width W1 over the fins 113 .
- the first height H1 may be between about 3 ⁇ and about 2,000 ⁇ and the first width W1 may be between about 3 ⁇ and about 500 ⁇ .
- any suitable height and width may be utilized.
- the bottom spacers 601 may be patterned to a second height H2 and a second width W2 over the isolation regions 111 .
- the second height H2 may be between about 3 ⁇ and about 2,000 ⁇ and the second width W2 may be between about 3 ⁇ and about 500 ⁇ .
- any suitable height and width may be used.
- the patterning process of one or more anisotropic etching processes that are used to remove the bottom spacers 601 from the horizontal surfaces of the structure may also be used to recess portions of the isolation regions 111 that are exposed by the patterning process.
- the isolation regions 111 that are not covered by the dummy gate electrodes 117 and the bottom spacers 601 may be recessed to a third depth D 3 that is below the portion of the isolation regions 111 that is covered, thereby extending the openings 201 into the isolation regions 111 .
- the isolation regions 111 may be recessed to the third depth D 3 of between about 0.5 nm and about 50 nm.
- the isolation regions 111 are not recessed, and any suitable patterning of the isolation regions 111 is fully intended to be included within the scope of the embodiments.
- FIGS. 8A and 8B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate the formation of source/drain regions 801 , in accordance with some embodiments.
- the source/drain regions 801 may be formed in contact with each of the fins 113 .
- the source/drain regions 801 may be formed and, in some embodiments the source/drain regions 801 may be formed to form a stressor that will impart a stress to the channel regions of the fins 113 located underneath the dummy gate electrodes 117 .
- the source/drain regions 801 may be formed through a selective epitaxial process with a material, such as silicon or else a material such as silicon germanium that has a different lattice constant than the channel regions.
- the epitaxial growth process may use precursors such as silane, dichlorosilane, germane, and the like, and may continue for between about 5 minutes and about 120 minutes.
- the source/drain regions 801 may be formed to have a third height H3 of between about 5 ⁇ and about 1000 ⁇ . Furthermore, the source/drain regions 801 may be formed to have a third width W3 of between about 50 ⁇ and about 10,000 ⁇ , according to some embodiments. However, any suitable height and width may be used. However, any suitable height may be used. Although embodiments illustrated show the tops of the source/drain regions 801 being below the tops of the bottom spacers 601 , the source/drain regions 801 may also be above the tops of the bottom spacers 601 and such embodiments are fully intended to be included within the scope of the present embodiments.
- dopants may be implanted into the source/drain regions 801 by implanting appropriate dopants to complement the dopants in the fins 113 .
- p-type dopants such as boron, gallium, indium, or the like may be implanted to form a PMOS device.
- n-type dopants such as phosphorous, arsenic, antimony, or the like may be implanted to form an NMOS device. These dopants may be implanted using the dummy gate electrodes 117 , the top spacers 301 and the bottom spacers 601 as masks.
- FIGS. 8C to 8D illustrate cross-sectional views along the first cutline A-A and the second cutline B-B, respectively, and further illustrate the formation of an inter-layer dielectric layer 901 and the replacement of the dummy gate electrodes 117 with gate stacks 903 , with FIG. 8C corresponding to the structure of FIG. 8A and FIG. 8D corresponding to the structure of FIG. 8B .
- a blanket deposition of a contact etch stop layer (not shown) is formed over the exposed surfaces of the source/drain regions 801 , the bottom spacers 601 , the top spacers 301 , and the isolation regions 111 of the structures in FIGS. 8A and 8B .
- the contact etch stop layer is used to protect the underlying structures from damage caused by further processing and provide for a control point for further etching processes.
- the contact etch stop layer may be formed of silicon nitride using plasma enhanced chemical vapor deposition (PECVD), although other materials such as nitride, oxynitride, carbide, boride, combinations thereof, or the like, and alternative techniques of forming the contact etch stop layer, such as low pressure CVD (LPCVD), PVD, or the like, could alternatively be used.
- PECVD plasma enhanced chemical vapor deposition
- LPCVD low pressure CVD
- PVD polyvinyl deposition
- the contact etch stop layer may have a thickness of between about 50 ⁇ and about 2,000 ⁇ .
- an inter-layer dielectric layer 901 is deposited over the contact etch stop layer, according to some embodiments.
- the inter-layer dielectric layer 901 may comprise a material such as boron phosphorous silicate glass (BPSG), although any suitable dielectrics may be used.
- BPSG boron phosphorous silicate glass
- the inter-layer dielectric layer 901 may be formed using a process such as PECVD, although other processes, such as LPCVD, may alternatively be used.
- the inter-layer dielectric layer 901 may be formed to a thickness of between about 100 ⁇ and about 3,000 ⁇ . However, any suitable thickness may be used.
- the inter-layer dielectric layer 901 and the contact etch stop layer may be planarized with the top spacers 301 and the dummy gate electrode 117 .
- the inter-layer dielectric layer 901 , the contact etch stop layer and the top spacers 301 may be planarized using, e.g., a planarization process such as chemical mechanical polishing process, although any suitable process may be utilized.
- the planarization process may be utilized to remove the gate masks 123 and expose the dummy gate electrodes 117 .
- FIGS. 9A-9B illustrate that, once the dummy gate electrodes 117 have been exposed, the dummy gate electrode 117 and underlying dummy gate dielectric 115 may be removed.
- the dummy gate electrodes 117 and the dummy gate dielectric 115 are removed using, e.g., one or more wet or dry etching processes that utilize etchants that are selective to the materials of the dummy gate electrode 117 and/or the dummy gate dielectric 115 but not the materials of the underlying fins 113 (e.g., channel regions).
- any suitable removal process or processes may be utilized.
- the etching processes may remove some of the materials of the top spacers 301 and/or the bottom spacers 601 . As such, the thicknesses of the top spacers 301 and/or the bottom spacers 601 may be reduced from their original thicknesses (e.g., the third distance Dist3).
- the thicknesses of the top spacers 301 may be reduced further than the thicknesses of the bottom spacers 601 .
- the thickness of the bottom spacers 601 may also be reduced further than the thicknesses of the top spacers 301 , the thicknesses may be reduced a same amount, or the thicknesses may not be reduced from their original thicknesses (e.g., the third distance Dist3 as shown in FIG. 5C ).
- the thicknesses of the top spacers 301 and the bottom spacers 601 are not reduced from their original thicknesses (e.g., the third distance Dist3).
- the gate stacks 903 may be formed.
- the gate stacks 903 may be formed by initially depositing a series of layers.
- the series of layers may include an interfacial layer, a first gate dielectric material, a first metal material, and a first p-metal work function layer (each of which is not separately illustrated in FIGS. 9A and 9B for clarity).
- the interfacial layer may be formed prior to the formation of the first gate dielectric material.
- the interfacial layer may be a material such as silicon dioxide formed through a process such as in situ steam generation (ISSG) or a deposition process such as chemical vapor deposition or atomic layer deposition.
- the interfacial layer may be a high-k material such as HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta 2 O 5 , combinations of these, or the like, to a first thickness of between about 5 ⁇ and about 20 ⁇ .
- the interfacial layer may be formed conformably, while in embodiments in which ISSG is utilized the interfacial layer may be formed along the bottom of the opening without extending along the sidewalls.
- the first gate dielectric material may be formed as a capping layer over the interfacial layer.
- the first gate dielectric material is a high-k material such as HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta 2 O 5 , combinations of these, or the like, deposited through a process such as atomic layer deposition, chemical vapor deposition, or the like.
- the first gate dielectric material may be deposited to a second thickness of between about 5 ⁇ and about 200 ⁇ , although any suitable material and thickness may be utilized.
- the first metal material or metal gate capping layer may be formed adjacent to the first gate dielectric material as a barrier layer and may be formed from a metallic material such as TaN, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like.
- a metallic material such as TaN, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal alumina
- the first metal material may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like, to a third thickness of between about 5 ⁇ and about 200 ⁇ , although any suitable deposition process or thickness may be used.
- a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like, to a third thickness of between about 5 ⁇ and about 200 ⁇ , although any suitable deposition process or thickness may be used.
- the first p-metal work function layer may be formed adjacent to the first metal material and, in a particular embodiment, may be similar to the first metal material.
- the first p-metal work function layer may be formed from a metallic material such as W, Al, Cu, TiN, Ti, TiAlN, Ta, TaN, Co, Ni, TaC, TaCN, TaSiN, TaSi 2 , NiSi 2 , Mn, Zr, ZrSi 2 , TaN, Ru, AlCu, Mo, MoSi 2 , WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like.
- the first p-metal work function layer may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like, to a fourth thickness of between about 5 ⁇ and about 500 ⁇ , although any suitable deposition process or thickness may be used.
- a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like, to a fourth thickness of between about 5 ⁇ and about 500 ⁇ , although any suitable deposition process or thickness may be used.
- a first n-metal work function layer may be deposited.
- the first n-metal work function layer may be a material such as W, Cu, AlCu, TiAlC, TiAlN, Ti, TiN, Ta, TaN, Co, Ni, Ag, Al, TaAl, TaAlC, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof.
- the first n-metal work function layer may be deposited utilizing an atomic layer deposition (ALD) process, CVD process, or the like, to a sixth thickness of between about 5 ⁇ and about 5000 ⁇ .
- ALD atomic layer deposition
- CVD chemical vapor deposition
- any suitable materials and processes may be utilized to form the first n-metal work function layer.
- a glue layer and a fill material are also deposited.
- the glue layer may be formed in order to help adhere the overlying fill material with the underlying first n-metal work function layer as well as provide a nucleation layer for the formation of the fill material.
- the glue layer may be a material such as titanium nitride or else may be a material similar to the first n-metal work function layer and may be formed using a similar process such as ALD to a seventh thickness of between about 10 ⁇ and about 100 ⁇ .
- ALD atomic layer
- the fill material is deposited to fill a remainder of the opening using the glue layer.
- the fill material may be a material such as tungsten, Al, Cu, AlCu, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, combinations of these, or the like, and may be formed using a deposition process such as plating, chemical vapor deposition, atomic layer deposition, physical vapor deposition, combinations of these, or the like. Additionally, the fill material may be deposited to a thickness of between about 1000 ⁇ and about 2000 ⁇ . However, any suitable material may be utilized.
- FIGS. 9A and 9B further illustrate that, after the fill material has been deposited to fill and overfill the opening, the materials may be planarized to form the gate stacks 903 .
- the materials may be planarized using, e.g., a chemical mechanical polishing process, although any suitable process, such as grinding or etching, may be utilized.
- the interfacial layer may be planar along a bottom surface of the gate stacks 903 ; the first gate dielectric material, the first metal material, the first p-metal work function layer, the first n-metal work function layer, the glue layer and the fill material may fill a remainder of the space of the gate stacks 903 .
- FIG. 9A further highlights a first section 905 of the structure along the first cutline A-A and FIG. 9B further highlights a second section 907 of the structure along the second cutline B-B.
- the first section 905 and the second section 907 are referenced in the discussion below with respect to FIGS. 9D and 9E .
- FIGS. 9A-9C further illustrate a bottom portion critical dimension (CD B ) and a top portion critical dimension (CD T ) of the gate stack 903 .
- the bottom portion critical dimension (CD B ) is a distance between the bottom spacers 601 separated by the gate stack 903 and the top portion critical dimension (CD T ) is a distance between the top spacers 301 separated by the gate stack 903 .
- the top portion critical dimension (CD T ) may be about the same distance as the bottom portion critical dimension (CD B ) such as a distance of between about 1 nm and about 500 nm. However any suitable distance may be used.
- FIG. 9C illustrates the formation of the gate stacks 903 in the top down view. In particular, FIG. 9C illustrates the formation of the gate stacks 903 between the two adjacent ones of the fins 113 and between the bottom spacers 601 .
- FIG. 9D illustrates a magnified view of the first section 905 highlighted in FIG. 9A
- FIG. 9E illustrates a magnified view of the second section 907 highlighted in FIG. 9B
- FIGS. 9D and 9E further illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of an embodiment in which the top portion critical dimension CD T of the gate stack 903 and the bottom portion critical dimension CD B are about equal distances.
- the top spacers 301 intersect with the bottom spacers 601 at spacer interfaces 909 .
- the spacer interfaces 909 have lengths that are about equal to the third distance Dist3.
- the spacer interfaces 909 are located above a level of the tops of the source/drain regions 801 , although they may also be located at or below the level of the tops of the source/drain regions 801 .
- FIG. 9D further illustrates that the bottom spacers 601 are located adjacent to the gate stacks 903 and adjacent to the tops of the channel regions (e.g., the fins 113 ).
- the interfaces between the bottom spacers 601 and the gate stacks 903 and the interfaces between the bottom spacers 601 and the channel regions form a first angle ⁇ ′.
- the first angle ⁇ ′ is about 90°, such as within a range between about 60° and about 120°.
- FIGS. 10A and 10B illustrate magnified views of the first section 905 highlighted in FIG. 9A and the second section 907 highlighted in FIG. 9B , respectively.
- FIGS. 10A and 10B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of another embodiment in which the top portion critical dimension CD T of the gate stacks 903 are greater than the bottom portion critical dimension CD B of the gate stacks 903 .
- the top spacers 301 comprise the single film of the first dielectric material (e.g., SiN) and the bottom spacers 601 comprise a single film of a second dielectric material, which may be any material as described above with respect to the first dielectric material (e.g., SiON), and wherein the first dielectric material has a first etch rate that is greater than a second etch rate of the second dielectric material.
- the first dielectric material e.g., SiN
- the bottom spacers 601 comprise a single film of a second dielectric material, which may be any material as described above with respect to the first dielectric material (e.g., SiON), and wherein the first dielectric material has a first etch rate that is greater than a second etch rate of the second dielectric material.
- the thicknesses of the top spacers 301 are reduced to a third thickness Th3 of between about 0.3 nm and about 49.8 nm and the thicknesses of the bottom spacers 601 are not reduced (or are only minimally reduced) from their original thicknesses (e.g., the third distance Dist3).
- the top portion critical dimension CD T of the gate stacks 903 is greater than the bottom portion critical dimension CD B of the gate stacks 903 .
- the top portion critical dimension CD T may be between about 1.4 nm and about 1000 nm and the bottom portion critical dimension CD B may be between about 1 nm and about 1000 nm.
- any suitable dimensions may be used.
- the length of the spacer interfaces 909 is reduced to the third thickness Th3 and the tops of the bottom spacers 601 interface with both the top spacers 301 and the gate stacks 903 .
- FIGS. 11A and 11B illustrate magnified views of the first section 905 highlighted in FIG. 9A and the second section 907 highlighted in FIG. 9B , respectively.
- FIGS. 11A and 11B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of yet another embodiment in which the bottom portion critical dimension CD B of the gate stacks 903 are greater than the top portion critical dimension CD T of the gate stacks 903 .
- the top spacers 301 comprise a single film of the first dielectric material (e.g., SiOCN) and the bottom spacers 601 comprise a single film of the second dielectric material (e.g., SiC), wherein the second dielectric material has a fourth etch rate that is greater than a third etch rate of the first dielectric material.
- the first dielectric material e.g., SiOCN
- the second dielectric material e.g., SiC
- the thicknesses of the bottom spacers 601 are reduced to a fourth thickness Th4 of between about 0.3 nm and about 49.8 nm and the thicknesses of the top spacers 301 are not reduced (or are only minimally reduced) from their original thicknesses (e.g., the third distance Dist3).
- the bottom portion critical dimension CD B of the gate stacks 903 is greater than the top portion critical dimension CD T of the gate stacks 903 .
- the bottom portion critical dimension CD B may be between about 1.4 nm and about 1000 nm and the top portion critical dimension CD T may be between about 1.0 nm and about 1000 nm.
- any suitable dimensions may be used.
- the length of the spacer interfaces 909 is reduced to the fourth thickness Th4 and the bottoms of the top spacers 301 interface with both the bottom spacers 601 and the gate stacks 903 .
- FIGS. 12A and 12B illustrate magnified views of the first section 905 highlighted in FIG. 9A and the second section 907 highlighted in FIG. 9B , respectively.
- FIGS. 12A and 12B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of still further embodiments in which a portion of the spacer material used to form the bottom spacers 601 is retained during the etching process (see, e.g., FIGS. 6A-7B ) adjacent the outer sidewalls of the top spacers 301 and the sidewalls of the fins 113 .
- the material of the bottom spacers 601 may be removed from the horizontal surfaces of the top spacers 301 , the substrate 101 , and the isolation regions 111 as discussed in regards to FIGS. 6A to 7B .
- the material of the bottom spacers 601 formed along the vertical surfaces in these figures may be removed to a lesser degree.
- the remaining portions of the material of the bottom spacers 601 may be reduced from the original thickness along the sidewalls from the first thickness Th1 (shown in FIGS. 6A and 6B ) to a fifth thickness Th5.
- the material of the bottom spacers 601 may be reduced in the recesses at the bottom portions 401 of the dummy gate electrodes 117 from the second thickness Th2 (shown in FIGS. 6A and 6B ) to a sixth thickness Th6.
- the fifth thickness Th5 may be between about 0.3 nm and about 50 nm and the sixth thickness Th6 may be between about 0.6 nm and about 100 nm.
- any suitable thicknesses may be used.
- FIGS. 12A and 12B further illustrate that the spacer interfaces 909 between the bottom spacers 601 and the top spacers 301 form a backwards “L-shape” along the bottom surfaces and outer sidewall surfaces of the top spacers 301 .
- the bottom spacers 601 may also form interfaces with the fins 113 that are shaped as an upside down backwards “L-shape” along the top surfaces and the outer sidewalls of the fins 113 .
- FIGS. 13A and 13B illustrate magnified views of the first section 905 and the second section 907 similar to those of FIGS. 12A and 12B , respectively.
- FIGS. 13A and 13B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of still further embodiments in which the top spacers 301 comprise multiple films.
- the top spacers 301 comprise a first dielectric film 1301 and a second dielectric film 1303 .
- the first dielectric film 1301 may comprise the first dielectric material (e.g., SiN) and the second dielectric film 1303 may comprise the second dielectric material (e.g., SiOCN).
- the first dielectric film 1301 may be deposited to a seventh thickness Th7 of between about 0.3 nm and about 50 nm, and the second dielectric film 1303 may be deposited over the first dielectric film 1301 .
- Th7 of between about 0.3 nm and about 50 nm
- any suitable thickness may be used.
- the openings 201 may be extended through the top spacers 301 , the first dummy gate material 119 and the fins 113 as discussed above with regard to FIGS. 4A to 4C .
- the first dielectric film 1301 may be formed with the spacer interface 909 and an “L-shape” that faces away from the gate stack 903 and the second dielectric film 1303 may be formed with an “I-shape.”
- the second dielectric film 1303 may be patterned to have an eighth thickness Th8 of between about 0.3 nm and about 50 nm. However, any suitable thickness may be used.
- FIGS. 14A and 14B illustrate magnified views of the first section 905 and the second section 907 similar to those of FIGS. 13A and 13B , respectively.
- FIGS. 14A and 14B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of still further embodiments in which the top spacers 301 comprise multiple dielectric films.
- the top spacers 301 comprise the first dielectric film 1301 , the second dielectric film 1303 , and a third dielectric film 1401 .
- the third dielectric film 1401 may comprise the first dielectric material (e.g., SiC).
- the third dielectric film 1401 may be blanket deposited over the second dielectric film 1303 using any of the materials and processes set forth above for forming the top spacers 301 , as illustrated in FIGS. 3A and 3B .
- the first dielectric film 1301 may be deposited to an ninth thickness Th9 of between about 0.3 nm and about 50 nm and the second dielectric film 1303 may be deposited to a tenth thickness Th10 of between about 0.3 nm and about 50 nm, in accordance with some embodiments.
- the openings 201 may be extended through the top spacers 301 , the first dummy gate material 119 and the fins 113 as discussed above with regard to FIGS. 4A to 4C .
- the first dielectric film 1301 may be formed with the spacer interface 909 .
- the first dielectric film 1301 and the second dielectric film 1303 may both be formed with “L-shapes” that face away from the gate stack 903 and the third dielectric film 1401 may be formed with an “I-shape.”
- the third dielectric film 1401 may have an eleventh thickness Th11 of between about 0.3 nm and about 50 nm. However, any suitable thicknesses may be used.
- FIGS. 15A and 15B illustrate magnified views of the first section 905 and the second section 907 similar to those of FIGS. 12A and 12B , respectively.
- FIGS. 15A and 15B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of still further embodiments in which a portion of the spacer material used to form the bottom spacers 601 comprise multiple layers and is retained adjacent the outer sidewalls of the top spacers 301 and the sidewalls of the fins 113 .
- the bottom spacers 601 comprise a first dielectric layer 1501 and a second dielectric layer 1503 .
- the first dielectric layer 1501 may comprise the first dielectric material (e.g., SiON) and the second dielectric layer 1503 may comprise the second dielectric material (e.g., SiOC).
- the first dielectric layer 1501 may be blanket deposited over the structure and the second dielectric layer 1503 may be blanket deposited over the first dielectric layer 1501 using any of the materials and processes set forth above for forming the bottom spacers 601 , as illustrated in FIGS. 6A and 6B .
- the first dielectric layer 1501 may be deposited to the fifth thickness Th5, as discussed above.
- the material of the bottom spacers 601 may be removed from the horizontal surfaces of the top spacers 301 , the substrate 101 , and the isolation regions 111 as discussed in regards to FIGS. 6A to 7B . However, the materials of bottom spacers 601 formed along the vertical surfaces of the structure may be removed to a lesser degree.
- FIGS. 15A and 15B further illustrate that the spacer interfaces 909 forms a backwards “L-shape” between the bottom surfaces and outer sidewall surfaces of the top spacers 301 and the first dielectric layer 1501 .
- the first dielectric layer 1501 may also form interfaces with the fins 113 that are shaped as an upside down backwards “L-shape” along the top surfaces and the outer sidewalls of the fins 113 .
- the second dielectric layer 1503 separates the inter-layer dielectric layer 901 and the source/drain regions 801 from the first dielectric layer 1501 , according to some embodiments.
- the second dielectric layer 1503 after patterning, may have a twelfth thickness Th12 of between about 0.3 nm and about 50 nm, in accordance with some embodiments. However, any suitable thicknesses may be used.
- FIGS. 16A and 16B illustrate magnified views of the first section 905 and the second section 907 similar to those of FIGS. 15A and 15B , respectively.
- FIGS. 16A and 16B illustrate certain features of the gate stacks 903 , the top spacers 301 , and the bottom spacers 601 of still further embodiments in which a portion of the spacer material used to form the bottom spacers 601 comprise multiple layers and is retained adjacent the outer sidewalls of the top spacers 301 and the sidewalls of the fins 113 .
- the bottom spacers 601 comprise the first dielectric layer 1501 , the second dielectric layer 1503 , and a third dielectric layer 1601 .
- the third dielectric layer 1601 may be blanket deposited over the second dielectric layer 1503 using any of the materials and processes set forth above for forming the bottom spacers 601 , as illustrated in FIGS. 6A and 6B .
- the third dielectric layer 1601 may be deposited using the first dielectric material (e.g., SiO 2 ).
- the material of the bottom spacers 601 may be removed from the horizontal surfaces of the top spacers 301 , the substrate 101 , and the isolation regions 111 as discussed in regards to FIGS. 6A to 7B . However, the materials of bottom spacers 601 formed along the vertical surfaces of the structure may be removed to a lesser degree.
- FIGS. 16A and 16B further illustrate that the spacer interfaces 909 forms a backwards “L-shape” between the bottom surfaces and outer sidewall surfaces of the top spacers 301 and the first dielectric layer 1501 .
- the first dielectric layer 1501 may also form interfaces with the fins 113 that are shaped as an upside down backwards “L-shape” along the top surfaces and the outer sidewalls of the fins 113 .
- the third dielectric layer 1601 separates the inter-layer dielectric layer 901 and the source/drain regions 801 from the second dielectric layer 1503 , according to some embodiments.
- the third dielectric layer 1601 may have a thirteenth thickness Th13 of between about 0.3 nm and about 50 nm, in accordance with some embodiments. However, any suitable thickness may be used.
- fin-type field effect transistors FinFETs
- the fins may be patterned to produce a relatively close spacing between features, for which the above disclosure is well suited.
- spacers used in forming fins of FinFETs also referred to as mandrels, can be processed according to the above disclosure.
- the materials and processes described herein may be equally applied to other semiconductor devices such as gradient-channel device, multi-channel devices, combinations or the like are fully included within the scopes of the embodiments.
- the formation of the fins 113 may be directed towards patterning the fins from compound material layer stacks (e.g., gradient compound materials, nanosheets, or the like).
- compound material layer stacks e.g., gradient compound materials, nanosheets, or the like.
- gradient channel devices and/or multi-channel devices e.g., nanosheet FETs (NSFETs), gate-all-around FETs (GAAFETs), or the like
- NSFETs nanosheet FETs
- GAAFETs gate-all-around FETs
- FinFET devices may be formed with gradient channels.
- the fins 113 may be formed as gradient channels from a gradient material layer formed over the substrate 101 .
- the gradient material layer may comprise a first silicon-based gradient compound (e.g., SiGe (low Ge %) ) with a relatively low percentage concentration of germanium to the percentage concentration of silicon in the gradient material layer.
- the fins 113 may be patterned using the techniques described above and isolation regions 111 are formed between the fins 113 . Once the isolation regions 111 have been formed between the fins 113 , the complex dummy gate material layer 125 is formed over the fins 113 and the isolation regions 111 .
- the fins 113 may be patterned using the techniques described above and the complex dummy gate material layer 125 is formed over the fins 113 .
- the first dummy gate material 119 of the complex dummy gate material layer 125 comprises a second silicon-based gradient compound with an etch rate that is greater than an etch rate of the fins 113 .
- the second silicon-based gradient compound comprises a material (e.g., SiGe (high Ge %) ) with a relatively high percentage concentration of germanium to the percentage concentration of silicon.
- the second dummy gate material 121 of the complex dummy gate material layer 125 comprises another silicon-based material (e.g., Si) and is initially patterned into the top portions 203 of the dummy gate electrodes 117 and then protected by the top spacer 301 .
- Si silicon-based material
- the techniques described above for extending the openings 201 to pattern the bottom portions 401 of the dummy gate electrodes 117 and to etch through the fins 113 may be equally applied to these embodiments. With the difference in etch rates between the first dummy gate material 119 and the material of the fins 113 , damage to the tops of the fins 113 is prevented during the patterning of the dummy gate electrodes 117 and the etching through the fins 113 .
- multi-channel FET devices e.g., nanosheet FETs (NSFETs), gate-all-around FETs (GAAFETs), or the like
- the multi-layered stack comprises alternating nanosheets of first nanosheet material layers (e.g., SiGe) and second nanosheet material layers (e.g., Si).
- the first nanosheet material layers may also be referred to as sacrificial channel layers.
- the fins 113 are formed into the multi-layered stack using the patterning techniques disclosed above.
- the complex dummy gate material layer 125 comprises a first dummy gate material 119 and a second dummy gate material 121 .
- the first dummy gate material 119 comprises a first silicon-based material (e.g., SiGe) and the second dummy gate material 121 comprises a second silicon-based material (e.g., Si).
- the top portions 203 of the dummy gate electrodes 117 are patterned into the second dummy gate material 121 and then protected by the top spacer 301 using the techniques disclosed above.
- the techniques described above for extending the openings 201 to pattern the bottom portions 401 of the dummy gate electrodes 117 and to etch through the fins 113 may be equally applied to these embodiments.
- the etch-pull back techniques described above for recessing of the first dummy gate material 119 may also be applied to these embodiments and may further be used to recess the first nanosheets material layers (e.g., SiGe) within the channel regions.
- the material deposited to form the bottom spacers 601 may also be used to form inner spacers within the recesses of the second nanosheets.
- the source/drain regions 801 may be formed in the openings 201 .
- the first dummy gate material 119 e.g., SiGe
- the sacrificial channel layers e.g., SiGe
- the etch rate of the sacrificial channel layers e.g., SiGe
- the second nanosheet material layers e.g., Si
- the first nanosheet material layers (e.g., SiGe) in the channel regions of the fins 113 are removed (e.g., in a wire-release technique).
- the remaining material of the second nanosheet material layers (e.g., Si) forms nanostructures separated by the inner spacers within the channel regions.
- a gate dielectric and gate electrode may be formed surrounding the nanostructures within the channel regions.
- Embodiments of semiconductor devices and methods of forming such devices such as FinFETs, NSFETs, and GAA devices are disclosed herein. Certain features of the disclosed embodiments provide benefits including large device production yields and device performance are achieved as follows.
- the methods and devices disclosed herein provide one or more of the following advantages and benefits.
- the two-step etching process used on the complex dummy gate material layer 125 allows for the formation of the dummy gate electrodes 117 having top portions 203 comprising a first material and bottom portions 401 comprising a second material.
- the top spacers 301 are formed over and protect the top portions 203 of the dummy gate electrodes 117 during the patterning of the bottom portions 401 of the dummy gate electrodes 117 .
- the bottom portions of the dummy gate electrode 117 and the openings for the source/drain regions 801 are patterned in a same etching step.
- the tops of the fins 113 are protected during the formation of the bottom portions 401 of the dummy gate electrode 117 and formation of the openings in the source/drain regions 801 which minimizes or altogether eliminates channel-top damage, dummy gate residue defects, and channel-channel space restrictions.
- the bottom spacers 601 form first interfaces with the tops of the fins 105 and second interfaces with the gate electrodes 903 , the angle between the first interfaces and the second interfaces is about 90°.
- the critical dimensions of the metal gate electrode may be controlled to a high degree by the shaping of the top spacers 301 and/or the bottom spacers 601 .
- a method includes forming a fin over a semiconductor substrate; depositing a dummy gate material layer over the fin; patterning a top portion of a dummy gate electrode from the dummy gate material layer; forming a top spacer along sidewalls of the top portion of the dummy gate electrode; etching an opening through the dummy gate material layer and through the fin to form a bottom portion of the dummy gate electrode; forming a bottom spacer along a sidewall of the opening; forming a source/drain region in the opening; removing the dummy gate electrode; and depositing a gate stack over the fin.
- the method includes depositing a first dummy gate material over the fin and depositing a second dummy gate material over the first dummy gate material, the second dummy gate material being different from the first dummy gate material.
- the first dummy gate material has a first etch selectivity and the fin has a second etch selectivity, the first etch selectivity being different than the second etch selectivity.
- the method further includes recessing the bottom portion of the dummy gate electrode prior to the forming the bottom spacer.
- the forming the bottom spacer fills a space left by the recessing the bottom portion with a second spacer material.
- the method further includes recessing the top spacer further than the bottom spacer.
- the method further includes recessing the bottom spacer further than the top spacer.
- a method includes forming a fin over a semiconductor substrate; depositing a dummy gate material layer over the fin; etching the dummy gate material layer to a first depth; depositing a first spacer over the dummy gate material layer after the etching the dummy gate material layer; etching an opening through the dummy gate material layer after the depositing the first spacer to form a dummy gate electrode; depositing a second spacer over the first spacer and along a sidewall of the opening; forming a source/drain region in the opening, the second spacer separating the dummy gate electrode from the source/drain region; removing the dummy gate electrode; and depositing a gate stack over the fin.
- the etching the opening further includes forming a first recess in a sidewall of the dummy gate electrode between the fin and the first spacer; and the depositing the second spacer further includes filling the first recess with the second spacer.
- the removing the dummy gate electrode further includes recessing the second spacer a first distance.
- the removing the dummy gate electrode further includes recessing the first spacer a second distance, the second distance being less than the first distance.
- the removing the dummy gate electrode further includes recessing the first spacer a second distance, the second distance being greater than the first distance.
- the depositing the first spacer includes depositing multiple layers of dielectric films.
- the depositing the second spacer includes depositing multiple layers of dielectric films.
- a semiconductor device in another embodiment, includes a fin over a substrate; a gate electrode stack over the fin; a first top spacer adjacent to the gate electrode stack, the gate electrode stack having a first width adjacent to the first top spacer; a first bottom spacer below the first top spacer, the gate electrode stack having a second width adjacent to the first bottom spacer, the second width being different from the first width; and a first source/drain region adjacent to the fin and isolated from the gate electrode stack by the first bottom spacer.
- the first top spacer includes a multi-layer film having an L-shape.
- the first bottom spacer includes a multi-layer film having a backwards L-shape.
- the first width is greater than the second width. In an embodiment of the semiconductor device, the first width is less than the second width. In an embodiment of the semiconductor device, the first top spacer includes a first material and the first bottom spacer includes a second material different from the first material.
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Semiconductor devices and methods of forming are described herein. The methods include depositing a dummy gate material layer over a fin etched into a substrate. A gate mask is then formed over the dummy gate material layer in a channel region of the fin. A dummy gate electrode is etched into the dummy gate material using the gate mask. A top spacer is then deposited over the gate mask and along sidewalls of a top portion of the dummy gate electrode. An opening is then etched through the remainder of the dummy gate material and through the fin. A bottom spacer is then formed along a sidewall of the opening and separates a bottom portion of the dummy gate electrode from the opening. A source/drain region is then formed in the opening and the dummy gate electrode is replaced with a metal gate stack.
Description
- This application is a divisional of U.S. patent application Ser. No. 16/889,427, filed on Jun. 1, 2020, entitled “Semiconductor Devices and Methods of Formation,” which application claims the benefit of U.S. Provisional Application No. 62/982,446, filed on Feb. 27 2020, entitled “Dummy Features in Transistors and Methods of Forming Thereof,” which applications are hereby incorporated herein by reference.
- Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
- The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area. However, as the minimum features sizes are reduced, additional problems arise that should be addressed.
- Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
-
FIG. 1A illustrates a perspective view of a semiconductor device, in accordance with some embodiments. -
FIGS. 1B and 1C to 3A and 3B illustrate cross-sectional views of forming fins in a substrate, isolation regions between the fins, and forming dummy gate electrodes over the fins in intermediate steps of forming the semiconductor device, according to some embodiments. -
FIGS. 4A to 4C illustrate the formation of an opening through remaining portions of a dummy gate material and through the fins, in accordance with some embodiments. -
FIGS. 5A to 5C illustrate an etch pull-back process that is performed to recess bottom portions of the dummy gate electrodes, in accordance with some embodiments. -
FIGS. 6A and 6B to 8C and 8D illustrate formation of bottom spacers in the recesses of the dummy gate electrodes and source/drain regions forming in the openings through the fins, in accordance with some embodiments. -
FIGS. 9A to 9E illustrate the removal of the dummy gate electrode and formation of gate electrode stacks in place of the dummy gate electrodes, in accordance with some embodiments. -
FIGS. 10A and 10B to 11A and 11B illustrate the recessing of the top spacers and/or recessing of the bottom spacers and further illustrate the formation of the gate electrode stacks, in accordance with some other embodiments. -
FIGS. 12A and 12B to 16A and 16B illustrate the top spacers and the bottom spacers, in accordance with still other embodiments. - The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- With reference now to
FIG. 1A , there is illustrated a perspective view of a formation of asemiconductor device 100 with a fin field effect transistor (FinFET) 107 formed at least partially over asubstrate 101. Thesemiconductor device 100 may be located in a core region or an I/O region and may comprise parts of logic devices, memory devices, combinations of these, or the like, which are utilized to perform the desired functions of thesemiconductor device 100 or to transmit and receive signals into and out of thesemiconductor device 100. However, any suitable regions and any suitable functionalities may be utilized. - The
substrate 101 may be a silicon substrate, although other substrates, such as semiconductor-on-insulator (SOI), strained SOI, and silicon germanium on insulator, could be used. Thesubstrate 101 may be a p-type semiconductor, although in other embodiments, it could be an n-type semiconductor. - In other embodiments the
substrate 101 may be chosen to be a material which will specifically boost the performance (e.g., boost the carrier mobility) of the devices formed from thesubstrate 101. For example, in some embodiments the material of thesubstrate 101 may be chosen to be a layer of epitaxially grown semiconductor material, such as epitaxially grown silicon germanium which helps to boost some of the measurements of performance of devices formed from the epitaxially grown silicon germanium. However, while the use of these materials may be able to boost some of the performance characteristics of the devices, the use of these same materials may affect other performance characteristics of the device. - Within the core region and/or the I/O region of the
semiconductor device 100, a plurality of the fin field effect transistor (FinFET) 107 is formed, with only one such device being illustrated inFIG. 1A for clarity. In devices formed in the core region, fewer fins may be implemented to form a respective transistor, and a spacing between neighboring gates (and hence, a width of an intervening source/drain region) may be smaller than other regions (e.g., the I/O region). - Portions of the
substrate 101 may be removed as an initial step in the eventual formation ofisolation regions 111. The portions of thesubstrate 101 may be removed using a masking layer (not separately illustrated inFIG. 1A ) along with a suitable etching process. For example, the masking layer may be a hardmask comprising silicon nitride formed through a process such as chemical vapor deposition (CVD), although other materials, such as oxides, oxynitrides, silicon carbide, combinations of these, or the like, and other processes, such as plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), or even silicon oxide formation followed by nitridation, may be utilized. Once formed, the masking layer may be patterned through a suitable photolithographic process to expose those portions of thesubstrate 101 that will be removed. - As one of skill in the art will recognize, however, the processes and materials described above to form the masking layer are not the only method that may be used to protect portions of the
substrate 101 while exposing other portions of thesubstrate 101. Any suitable process, such as a patterned and developed photoresist, may be utilized to expose portions of thesubstrate 101 to be removed. All such methods are fully intended to be included in the scope of the present embodiments. - Once a masking layer has been formed and patterned, the portions of the
substrate 101 may be removed. The exposedsubstrate 101 may be removed through a suitable process such as reactive ion etching (RIE) in order to remove the portions of thesubstrate 101, although any suitable process may be used. In an embodiment, the portions of thesubstrate 101 may be removed to a first depth of less than about 5,000 Å from the surface of thesubstrate 101. - However, as one of ordinary skill in the art will recognize, the process described above is merely one potential process, and is not meant to be the only embodiment. Rather, any suitable process through which the portions of the
substrate 101 may be removed may be utilized and any suitable process, including any number of masking and removal steps may be used. - Additionally, the masking and etching process additionally forms the
fins 113 from those portions of thesubstrate 101 that remain unremoved. For convenience thefins 113 have been illustrated in the figures as being separated from thesubstrate 101, although a physical indication of the separation may or may not be present. Thesefins 113 may be used, as discussed below, to form the channel region of multiple-gate FinFET transistors. WhileFIG. 1A only illustrates one of thefins 113 formed from thesubstrate 101, any number offins 113 may be utilized. - The
fins 113 may be formed such that they have a width at the surface of thesubstrate 101 of between about 5 nm and about 80 nm. Furthermore, while a particular process of forming thefins 113 has been described, thefins 113 may be patterned by any suitable method. For example, thefins 113 may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern thefins 113. - Once the
fins 113 have been formed, a dielectric material may be deposited and the dielectric material may be recessed to form theisolation regions 111. The dielectric material may be an oxide material, a high-density plasma (HDP) oxide, or the like. The dielectric material may be formed, after optional cleaning and lining steps, using either a chemical vapor deposition (CVD) method (e.g., the HARP process), a high density plasma CVD method, or other suitable method of formation as is known in the art. - The deposition process may fill or overfill the regions around the
fins 113 and then excess material may be removed from over thefins 113 through a suitable process such as chemical mechanical polishing (CMP), an etch, a combination of these, or the like. In an embodiment, the removal process removes any dielectric material that is located over thefins 113 as well, so that the removal of the dielectric material will expose the surface of thefins 113 to further processing steps. - Once planarized, the dielectric material may then be recessed away from the surface of the
fins 113. The recessing may be performed to expose at least a portion of the sidewalls of thefins 113 adjacent to the top surface of thefins 113. The dielectric material may be recessed using a wet etch by dipping the top surface of thefins 113 into an etchant such as HF, although other etchants, such as H2, and other methods, such as a reactive ion etch, a dry etch with etchants such as NH3/NF3, chemical oxide removal, or dry chemical clean may be used. The dielectric material may be recessed to a distance from the surface of thefins 113 of between about 50 Å and about 500 Å. Additionally, the recessing may also remove any leftover dielectric material located over thefins 113 to ensure that thefins 113 are exposed for further processing. - As one of ordinary skill in the art will recognize, however, the steps described above may be only part of the overall process flow used to fill and recess the dielectric material. For example, lining steps, cleaning steps, annealing steps, gap filling steps, combinations of these, and the like may also be utilized. All of the potential process steps are fully intended to be included within the scope of the present embodiment.
- After the
isolation regions 111 have been formed, adummy gate dielectric 115 and adummy gate electrode 117 may be formed over each of thefins 113. In an embodiment the dummy gate dielectric 115 may be formed by thermal oxidation, chemical vapor deposition, sputtering, or any other methods known and used in the art for forming a gate dielectric. Depending on the technique of gate dielectric formation, the dummy gate dielectric 115 thickness on the top of thefins 113 may be different from the gate dielectric thickness on the sidewall of thefins 113. - The dummy gate dielectric 115 may comprise a material such as silicon dioxide or silicon oxynitride with a thickness ranging from about 3 Å to about 100 Å. The dummy gate dielectric 115 may be formed from a high permittivity (high-k) material (e.g., with a relative permittivity greater than about 5) such as lanthanum oxide (La2O3), aluminum oxide (Al2O3), hafnium oxide (HfO2), hafnium oxynitride (HfON), or zirconium oxide (ZrO2), or combinations thereof, with an equivalent oxide thickness of about 0.5 Å to about 100 Å. Additionally, any combination of silicon dioxide, silicon oxynitride, and/or high-k materials may also be used for the
dummy gate dielectric 115. - According to some embodiments, a gate oxide (e.g., oxide liner) may be formed over the
fins 113 prior to the formation of thedummy gate dielectric 115. Once the gate oxide has been formed, the dummy gate dielectric 115 may be formed over thefins 113 and the gate oxide and the dummy gate dielectric 115 may be patterned, as set forth above. - The
dummy gate electrode 117 may comprise a conductive or non-conductive material and may be a silicon-based material such as silicon, SiGE, SiN, SiC, SiON, combinations, or the like and may be, although any suitable materials such as polysilicon may also be utilized. According to some embodiments, thedummy gate electrode 117 may be formed using a complex dummy gate material layer 125 (see, e.g.,FIG. 1B-1C ) including a bottom layer comprising a firstdummy gate material 119 and a top layer comprising a seconddummy gate material 121 that is different from the firstdummy gate material 119. - In some embodiments, the first
dummy gate material 119 may be selected to have an etch rate that is greater than the etch rate of thefin 113. Selecting the materials of the firstdummy gate material 119, the seconddummy gate material 121 and thefins 113 with the different etch rates is useful in patterning thedummy gate electrodes 117, as is described in greater detail below. For example, in embodiments where thefins 113 are formed using silicon (Si), the firstdummy gate material 119 may be formed using silicon germanium (SiGe) and the seconddummy gate material 121 may be formed using silicon (Si). As such, the etch rate of the firstdummy gate material 119 may be greater than the etch rate of thefin 113. In still other embodiments in which thefins 113 are a material such as silicon germanium, the firstdummy gate material 119 may also be silicon germanium, but may have a higher concentration of germanium in order to generate different etch rates. - According to some embodiments, the materials of the complex dummy
gate material layer 125 may be deposited by one or more processes such as chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), sputter deposition, epitaxial growth, or other techniques known and used in the art for depositing materials. The thickness of the complex dummygate material layer 125 may be in the range of about 5 Å to about 200 Å, according to some embodiments, although any suitable thickness may be used. The top surface of the complex dummygate material layer 125 may have a non-planar top surface, and may be planarized using, for example, a chemical mechanical planarization (CMP) process prior to patterning of the complex dummygate material layer 125. Ions may or may not be introduced into the complex dummygate material layer 125 at this point. Ions may be introduced, for example, by ion implantation techniques. - Once the complex dummy
gate material layer 125 has been formed, thedummy gate electrode 117 may be patterned. Thedummy gate electrode 117 may define a single channel or may define multiple channel regions located within thefin 113 beneath thedummy gate dielectric 115. Thedummy gate electrode 117 may be formed by initially depositing and patterning agate mask 123 on the dummy gate material layer using, for example, deposition and photolithography techniques known in the art. Thegate mask 123 may incorporate commonly used masking and sacrificial materials, such as (but not limited to) silicon oxide, silicon oxynitride, SiCON, SiC, SiOC, and/or silicon nitride and may be deposited to a thickness of between about 5 Å and about 200 Å. The material of thegate mask 123 may be etched using a dry etching process to form thegate mask 123. -
FIG. 1A further illustrates several cut lines through thesemiconductor device 100 that will be referenced throughout the following discussion and with regard to the remaining figures. In particular,FIG. 1A illustrates a first cutline A-A taken through a vertical section in the fin 113 (e.g., a “cut-on-fin” view) and a second cutline B-B taken through a vertical section adjacent the fin 113 (e.g., a “cut-without-fin” view). -
FIGS. 1B and 1C illustrate cross-sectional views along the first cutline A-A (e.g., the “cut-on-fin” view) and the second cutline B-B (e.g., the “cut-without-fin” view) in an intermediate step of forming theFinFET 107, according to some embodiments. The intermediate step includes forming the dummy gate material layer as a complex dummygate material layer 125 and forming two of the gate masks 123 over the complex dummygate material layer 125, according to some embodiments. -
FIGS. 2A and 2B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate a first patterning step to formtop portions 203 of thedummy gate electrodes 117 in an intermediate step of forming theFinFET 107, according to some embodiments. Once the gate masks 123 have been formed, a dry etching process may be used to formopenings 201 in the second dummy gate material 121 (e.g., silicon (Si)) and/or into the first dummy gate material 119 (e.g., silicon germanium (SiGe)), in accordance with some embodiments. However, any suitable etching process may be used to form theopenings 201. As such, thetop portions 203 of thedummy gate electrodes 117 are formed in the complex dummygate material layer 125. - In some embodiments, the
top portions 203 of thedummy gate electrodes 117 comprise a single material (e.g., the second dummy gate material 121). In other embodiments, thetop portions 203 comprise multiple materials (e.g., the seconddummy gate material 121 and the first dummy gate material 119). According to some embodiments theopenings 201 may be formed to a first depth D1 that is between about 5 nm and about 300 nm. However, any suitable depth may be used. -
FIGS. 3A and 3B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate an initiation of the formation of atop spacer 301 adjacent to the gate masks 123 and thedummy gate electrodes 117 and over the exposed surface of the firstdummy gate material 119. The material of thetop spacers 301 may comprise one material layer (e.g., a single film) or may comprise multiple material layers (e.g., multiple films) such as two films, three films, or even as many as up to ten films. According to some embodiments, the material of thetop spacer 301 may comprise a first dielectric material such as a silicon-based material such as SiN, SiON, SiOCN, SiC, SiOC, and SiO2. Thetop spacer 301 may be formed in a blanket deposition to a thickness of between about 5 Å and about 500 Å, in accordance with some embodiments. In an embodiment the material of thetop spacer 301 may be formed by initially using a deposition process such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), plasma enhanced chemical vapor deposition (PECVD), a treatment such as oxidation, combinations of these, or the like. However, any suitable material, thickness, and method of formation may be utilized. -
FIGS. 4A and 4B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate a patterning step. According to some embodiments, the patterning step comprises an etching of thetop spacers 301. Once formed, the material of thetop spacers 301 may be patterned to form thetop spacers 301. In an embodiment the material of thetop spacers 301 are patterned using one or more anisotropic etching processes, such as reactive ion etches, in order to remove the material of thetop spacers 301 from at least some of the horizontal surfaces of the structure. - For example, in some embodiments the material of the
top spacers 301 may be removed from each horizontal surface of the structure. In other embodiments, the material of thetop spacers 301 may be removed from the horizontal surfaces of thesubstrate 101 and theisolation regions 111 while over other horizontal surfaces or nearly horizontal surfaces such as the gate masks 123, the material of thetop spacers 301 is merely thinned and is not removed enough to expose the underlying structures. Any suitable patterning process may be utilized. - The patterning step further comprises extending the
openings 201 through the remaining portion of the complex dummy gate material layer 125 (e.g., the first dummy gate material 119) and through the underlying material of the fins 113 (e.g., channel etch). During the patterning step, the gate masks 123 and thetop spacers 301 protect thetop portions 203 of thedummy gate electrodes 117. As such, thebottom portions 401 of thedummy gate electrodes 117 are formed from the remaining materials of the complex dummygate material layer 125. In some embodiments, thebottom portions 401 of thedummy gate electrodes 117 comprise multiple materials (e.g., the seconddummy gate material 121 and the first dummy gate material 119). In other embodiments, thebottom portions 401 comprise a single material (e.g., the first dummy gate material 119). - The removal of the exposed portions of the first
dummy gate material 119 and the underlying material of thefins 113 from those areas that are not protected may be performed by a reactive ion etch (RIE) using the gate masks 123, thedummy gate electrodes 117 and thetop spacers 301 as hardmasks, or by any other suitable removal process. The removal may be continued until thefins 113 are either planar with or below the surface of theisolation regions 111. Etching the exposed portions of thefins 113 in the patterning step with the firstdummy gate material 119, there is no restriction of the space between fins 113 (e.g., channel to channel space) which allows for an enlarged etching capability. Furthermore, by selecting the material of the firstdummy gate material 119 to be different from the material of the fins 113 (e.g., channel region), the patterning step may be performed using etching condition tuning to minimize and/or prevent damage to the tops of the channel regions when cutting through thefins 113. - According to some embodiments, the
openings 201 extend to the bases of thefins 113 stopping on the substrate 101 (not shown inFIG. 4A ) and outside of thefins 113 stopping on theisolation regions 111. In other embodiments, theopenings 201 may extend below the bases of thefins 113 and into thesubstrate 101 and outside of thefins 113 extending into theisolation regions 111. According to some embodiments, theopenings 201 extend below thetop portions 203 of thedummy gate electrodes 117 to a second depth D2 of between about 10 nm and about 300 nm. However, any suitable depth may be used. -
FIG. 4C illustrates a top down view below the channel after the patterning step has been performed (in a direction that is into and out of the page ofFIGS. 4A and 4B ). In particular,FIG. 4C illustrates the formation of theopenings 201 through two of thefins 113. Thefins 113 may be a first width W1 of between about 0.5 nm and about 20 nm. In some embodiments, thefins 113 may be separated from one another by a first distance Dist1 of between about 1 nm and about 300 nm.FIG. 4C further illustrates that the formation of theopenings 201 separate the firstdummy gate material 119 into twobottom portions 401 of thedummy gate electrodes 117, as illustrated inFIGS. 4A and 4B and also cut each of thefins 113 into two separate channel regions. In accordance with some embodiments, the separation between the twobottom portions 401 and the separation between the channel regions of thefins 113 may be a second distance Dist2 of between about 5 nm and about 1000 nm. However, any suitable distance may be used for the second distance Dist2. -
FIGS. 5A to 5C illustrate an etch pull-back process that is performed to recess thebottom portions 401 of thedummy gate electrodes 117. During the etch pull-back process, thebottom portions 401 are exposed and thetop portions 203 are protected by thetop spacer 301 and the gate masks 123. Furthermore, the precursors selected for the etch pull-back process may be highly selective to the exposed materials of thedummy gate electrode 117 and less selective to the materials of the fins 113 (e.g., channel regions). For example, the etch rate of thedummy gate electrode 117 is greater than the etch rate of the channel materials of thefins 113 for the etchant used. As such, thebottom portions 401 of thedummy gate electrode 117 may be recessed a third distance Dist3 from the sidewalls with little to no recessing of thefins 113. According to some embodiments, the third distance Dist3 may be a distance of between about 0.3 nm and about 50 nm. However, any suitable distance may be used. - According to some embodiments, the etch pull-back process may be performed using a plasma etch, a remote plasma etch, a radical etch, combinations, or the like. The etch pull-back process may use a first precursor (e.g., main gas), a second precursor (e.g., passivation gas), and/or a third precursor (e.g., dilute gas). According to some embodiments, the first precursor includes but is not limited to gases such as Cl2, HBr, CF4, CHF3, CH2F2, CH3F, C4F6, BC13, SF6, H2, or the like. The second precursor may be used in the etch pull-back process for tuning the etch selectivity and includes gases such as N2, O2, CO2, SO2, CO, SiCl4, or the like. The third precursor includes but is not limited to gases such as Ar, He, Ne, or the like. The concentrations of the first precursor, the second precursor, and the third precursor may be selected based on a desired selectivity of the materials of the first dummy gate material 119 (e.g., SiGe) and/or the materials of the fins 113 (e.g., Si).
- The etch pull-back process may be performed using a plasma source power of between about 10 W and about 3,000 W (control ion/radical ratio), according to some embodiments. However, any suitable plasma source power may be utilized. Further, the etch pull-back process may be performed using a plasma bias power of between about OW and about 3,000 W. However, any suitable plasma bias power may be used. A direction of the plasma etch may be controlled. The plasma etch may be an isotropic etch or an anisotropic etch. According to some embodiments, the etch pull-back process may be performed at a process pressure of between about 1 mTorr and about 800 mTorr. However, any suitable pressure may be utilized. In some embodiments, the etch pull-back process may be performed using an etch gas with a process flow of between about 1 sccm and about 5,000 sccm. However, any suitable process flow may be utilized.
- According to other embodiments, the etch pull-back process comprises a wet clean etch. The wet clean etch may be performed using first etchants (e.g., main chemicals) such as hydrofluoric acid (HF), fluorine (F2), combinations, or the like. According to some embodiments, reactants may be added to assist in the chemical etching of certain materials and may be used for selective tuning of the wet clean etch. Reactants include but are not limited to compounds such as H2SO4, HCl, HBr, NH3, combinations, or the like. In some embodiments, solvents may be used to provide the etchant as a solution for the wet clean etch. Solvents include but are not limited to de-ionized water (e.g., DI water), alcohol, acetone, combinations, or the like.
-
FIG. 5C illustrates the etch pull-back process in the top down view. In particular,FIG. 5C illustrates the third distance Dist3 of the recesses formed in the firstdummy gate material 119. The formation of theopenings 201 and the etch pull-back process allows for a large space for the source/drain regions to be formed without channel-channel restrictions, thereby increasing the dummy gate residue defect window. As such, the large dummy gate residue defect window enables increased etch capability with a smaller risk of defects (e.g., metal gate shorts) due to dummy gate material residue being left behind. - Turning to
FIGS. 6A and 6B , these figures illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate the beginning of a formation of abottom spacer 601 adjacent to thetop spacer 301, the firstdummy gate material 119, thefins 113, and over the exposed surfaces of the substrate 101 (not shown inFIGS. 6A and 6B ) and theisolation regions 111. Thebottom spacers 601 may comprise one material layer (e.g., a single film) or may comprise multiple material layers (e.g., multiple films) such as two films, three films, or even as many as up to ten films. Thebottom spacers 601 may be formed using any of the materials and any of the processes suitable for forming thetop spacers 301. In some embodiments, the material selected for thebottom spacers 601 is different from the material selected for thetop spacers 301, although the materials may also be the same. For example, in an embodiment in which thetop spacers 301 are silicon nitride (SiN), thebottom spacers 601 may be silicon oxynitride (SiON). However, any suitable materials may be used. - During deposition, the materials of the
bottom spacer 601 fill in the recesses at thebottom portions 401 of thedummy gate electrodes 117. According to some embodiments, the material of thebottom spacer 601 may be formed to a first thickness Th1 of between about 5 Å and about 500 Å over thetop spacer 301 and formed to a second thickness Th2 of between about 8 Å and about 1000 Å within the recesses. However, any suitable material, thickness, and method of formation may be utilized. -
FIGS. 7A and 7B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate an etching of the material of thebottom spacers 601, theisolation regions 111, and/or the substrate 101 (not shown inFIGS. 7A and 7B ). Once the materials for thebottom spacers 601 have been formed, the material of thebottom spacers 601 may be patterned to form thebottom spacers 601. In an embodiment the material of thebottom spacers 601 are patterned using one or more anisotropic etching processes, such as reactive ion etches, in order to remove thebottom spacers 601 from the horizontal surfaces of the structure. However, any suitable patterning process may be utilized. - According to some embodiments, the
bottom spacers 601 may be patterned to a first height H1 and a first width W1 over thefins 113. In some embodiments, the first height H1 may be between about 3 Å and about 2,000 Å and the first width W1 may be between about 3 Å and about 500 Å. However, any suitable height and width may be utilized. Furthermore, in accordance with some embodiments, thebottom spacers 601 may be patterned to a second height H2 and a second width W2 over theisolation regions 111. In some embodiments, the second height H2 may be between about 3 Å and about 2,000 Å and the second width W2 may be between about 3 Å and about 500 Å. However, any suitable height and width may be used. - In an embodiment the patterning process of one or more anisotropic etching processes that are used to remove the
bottom spacers 601 from the horizontal surfaces of the structure may also be used to recess portions of theisolation regions 111 that are exposed by the patterning process. As such, theisolation regions 111 that are not covered by thedummy gate electrodes 117 and thebottom spacers 601 may be recessed to a third depth D3 that is below the portion of theisolation regions 111 that is covered, thereby extending theopenings 201 into theisolation regions 111. According to some embodiments, theisolation regions 111 may be recessed to the third depth D3 of between about 0.5 nm and about 50 nm. However, in other embodiments, theisolation regions 111 are not recessed, and any suitable patterning of theisolation regions 111 is fully intended to be included within the scope of the embodiments. -
FIGS. 8A and 8B illustrate cross-sectional views along the first cutline A-A and second cutline B-B and additionally illustrate the formation of source/drain regions 801, in accordance with some embodiments. Once theopenings 201 have been extended to remove the portions of thefins 113, the source/drain regions 801 may be formed in contact with each of thefins 113. In an embodiment the source/drain regions 801 may be formed and, in some embodiments the source/drain regions 801 may be formed to form a stressor that will impart a stress to the channel regions of thefins 113 located underneath thedummy gate electrodes 117. In an embodiment wherein thefins 113 comprise silicon and the FinFET is a p-type device, the source/drain regions 801 may be formed through a selective epitaxial process with a material, such as silicon or else a material such as silicon germanium that has a different lattice constant than the channel regions. The epitaxial growth process may use precursors such as silane, dichlorosilane, germane, and the like, and may continue for between about 5 minutes and about 120 minutes. - In an embodiment the source/
drain regions 801 may be formed to have a third height H3 of between about 5 Å and about 1000 Å. Furthermore, the source/drain regions 801 may be formed to have a third width W3 of between about 50 Å and about 10,000 Å, according to some embodiments. However, any suitable height and width may be used. However, any suitable height may be used. Although embodiments illustrated show the tops of the source/drain regions 801 being below the tops of thebottom spacers 601, the source/drain regions 801 may also be above the tops of thebottom spacers 601 and such embodiments are fully intended to be included within the scope of the present embodiments. - Once the source/
drain regions 801 are formed, dopants may be implanted into the source/drain regions 801 by implanting appropriate dopants to complement the dopants in thefins 113. For example, p-type dopants such as boron, gallium, indium, or the like may be implanted to form a PMOS device. Alternatively, n-type dopants such as phosphorous, arsenic, antimony, or the like may be implanted to form an NMOS device. These dopants may be implanted using thedummy gate electrodes 117, thetop spacers 301 and thebottom spacers 601 as masks. It should be noted that one of ordinary skill in the art will realize that many other processes, steps, or the like may be used to implant the dopants. For example, one of ordinary skill in the art will realize that a plurality of implants may be performed using various combinations of spacers and liners, or even an in-situ implantation during formation, to form source/drain regions having a specific shape or characteristic suitable for a particular purpose. Any of these processes may be used to implant the dopants, and the above description is not meant to limit the present embodiments to the steps presented above. -
FIGS. 8C to 8D illustrate cross-sectional views along the first cutline A-A and the second cutline B-B, respectively, and further illustrate the formation of aninter-layer dielectric layer 901 and the replacement of thedummy gate electrodes 117 withgate stacks 903, withFIG. 8C corresponding to the structure ofFIG. 8A andFIG. 8D corresponding to the structure ofFIG. 8B . Once the source/drain regions 801 have been formed, a blanket deposition of a contact etch stop layer (not shown) is formed over the exposed surfaces of the source/drain regions 801, thebottom spacers 601, thetop spacers 301, and theisolation regions 111 of the structures inFIGS. 8A and 8B . The contact etch stop layer is used to protect the underlying structures from damage caused by further processing and provide for a control point for further etching processes. In one embodiment, the contact etch stop layer may be formed of silicon nitride using plasma enhanced chemical vapor deposition (PECVD), although other materials such as nitride, oxynitride, carbide, boride, combinations thereof, or the like, and alternative techniques of forming the contact etch stop layer, such as low pressure CVD (LPCVD), PVD, or the like, could alternatively be used. The contact etch stop layer may have a thickness of between about 50 Å and about 2,000 Å. - Once the contact etch stop layer has been formed, an
inter-layer dielectric layer 901 is deposited over the contact etch stop layer, according to some embodiments. Theinter-layer dielectric layer 901 may comprise a material such as boron phosphorous silicate glass (BPSG), although any suitable dielectrics may be used. Theinter-layer dielectric layer 901 may be formed using a process such as PECVD, although other processes, such as LPCVD, may alternatively be used. Theinter-layer dielectric layer 901 may be formed to a thickness of between about 100 Å and about 3,000 Å. However, any suitable thickness may be used. - Once formed, the
inter-layer dielectric layer 901 and the contact etch stop layer may be planarized with thetop spacers 301 and thedummy gate electrode 117. In an embodiment, theinter-layer dielectric layer 901, the contact etch stop layer and thetop spacers 301 may be planarized using, e.g., a planarization process such as chemical mechanical polishing process, although any suitable process may be utilized. In some embodiments, the planarization process may be utilized to remove the gate masks 123 and expose thedummy gate electrodes 117. -
FIGS. 9A-9B illustrate that, once thedummy gate electrodes 117 have been exposed, thedummy gate electrode 117 and underlying dummy gate dielectric 115 may be removed. According to some embodiments, thedummy gate electrodes 117 and the dummy gate dielectric 115 are removed using, e.g., one or more wet or dry etching processes that utilize etchants that are selective to the materials of thedummy gate electrode 117 and/or the dummy gate dielectric 115 but not the materials of the underlying fins 113 (e.g., channel regions). However, any suitable removal process or processes may be utilized. - During removal of the
dummy gate electrode 117 or, for example, in a gate-oxide removal step after removal of thedummy gate electrode 117, the etching processes may remove some of the materials of thetop spacers 301 and/or thebottom spacers 601. As such, the thicknesses of thetop spacers 301 and/or thebottom spacers 601 may be reduced from their original thicknesses (e.g., the third distance Dist3). Furthermore, based on differences in etch rates between the materials of thetop spacers 301 and thebottom spacers 601 and based on the selectivity of the etchants used to remove thedummy gate electrode 117, the thicknesses of thetop spacers 301 may be reduced further than the thicknesses of thebottom spacers 601. However, in other embodiments, the thickness of thebottom spacers 601 may also be reduced further than the thicknesses of thetop spacers 301, the thicknesses may be reduced a same amount, or the thicknesses may not be reduced from their original thicknesses (e.g., the third distance Dist3 as shown inFIG. 5C ). According to the embodiment illustrated inFIGS. 9A-9E , the thicknesses of thetop spacers 301 and thebottom spacers 601 are not reduced from their original thicknesses (e.g., the third distance Dist3). - Once the channel regions of the
fins 113 have been exposed, the gate stacks 903 may be formed. In an embodiment the gate stacks 903 may be formed by initially depositing a series of layers. In an embodiment the series of layers may include an interfacial layer, a first gate dielectric material, a first metal material, and a first p-metal work function layer (each of which is not separately illustrated inFIGS. 9A and 9B for clarity). - Optionally, the interfacial layer may be formed prior to the formation of the first gate dielectric material. In an embodiment the interfacial layer may be a material such as silicon dioxide formed through a process such as in situ steam generation (ISSG) or a deposition process such as chemical vapor deposition or atomic layer deposition. In another embodiment the interfacial layer may be a high-k material such as HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta2O5, combinations of these, or the like, to a first thickness of between about 5 Å and about 20 Å. In embodiments which utilize a deposition process, the interfacial layer may be formed conformably, while in embodiments in which ISSG is utilized the interfacial layer may be formed along the bottom of the opening without extending along the sidewalls.
- Once the interfacial layer is formed, the first gate dielectric material may be formed as a capping layer over the interfacial layer. In an embodiment the first gate dielectric material is a high-k material such as HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta2O5, combinations of these, or the like, deposited through a process such as atomic layer deposition, chemical vapor deposition, or the like. The first gate dielectric material may be deposited to a second thickness of between about 5 Å and about 200 Å, although any suitable material and thickness may be utilized.
- Optionally, the first metal material or metal gate capping layer may be formed adjacent to the first gate dielectric material as a barrier layer and may be formed from a metallic material such as TaN, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. The first metal material may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like, to a third thickness of between about 5 Å and about 200 Å, although any suitable deposition process or thickness may be used.
- The first p-metal work function layer may be formed adjacent to the first metal material and, in a particular embodiment, may be similar to the first metal material. For example, the first p-metal work function layer may be formed from a metallic material such as W, Al, Cu, TiN, Ti, TiAlN, Ta, TaN, Co, Ni, TaC, TaCN, TaSiN, TaSi2, NiSi2, Mn, Zr, ZrSi2, TaN, Ru, AlCu, Mo, MoSi2, WN, other metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations of these, or the like. Additionally, the first p-metal work function layer may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like, to a fourth thickness of between about 5 Å and about 500 Å, although any suitable deposition process or thickness may be used.
- Once the first p-metal work function layer has been formed, a first n-metal work function layer may be deposited. In an embodiment, the first n-metal work function layer may be a material such as W, Cu, AlCu, TiAlC, TiAlN, Ti, TiN, Ta, TaN, Co, Ni, Ag, Al, TaAl, TaAlC, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof. For example, the first n-metal work function layer may be deposited utilizing an atomic layer deposition (ALD) process, CVD process, or the like, to a sixth thickness of between about 5 Å and about 5000 Å. However, any suitable materials and processes may be utilized to form the first n-metal work function layer.
- Within the gate stacks 903, a glue layer and a fill material are also deposited. Once the first n-metal work function layer has been formed, the glue layer may be formed in order to help adhere the overlying fill material with the underlying first n-metal work function layer as well as provide a nucleation layer for the formation of the fill material. In an embodiment the glue layer may be a material such as titanium nitride or else may be a material similar to the first n-metal work function layer and may be formed using a similar process such as ALD to a seventh thickness of between about 10 Å and about 100 Å. However, any suitable materials and processes may be utilized.
- Once the glue layer has been formed, the fill material is deposited to fill a remainder of the opening using the glue layer. In an embodiment the fill material may be a material such as tungsten, Al, Cu, AlCu, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, combinations of these, or the like, and may be formed using a deposition process such as plating, chemical vapor deposition, atomic layer deposition, physical vapor deposition, combinations of these, or the like. Additionally, the fill material may be deposited to a thickness of between about 1000 Å and about 2000 Å. However, any suitable material may be utilized.
-
FIGS. 9A and 9B further illustrate that, after the fill material has been deposited to fill and overfill the opening, the materials may be planarized to form the gate stacks 903. In an embodiment the materials may be planarized using, e.g., a chemical mechanical polishing process, although any suitable process, such as grinding or etching, may be utilized. While not explicitly shown for clarity, the interfacial layer may be planar along a bottom surface of the gate stacks 903; the first gate dielectric material, the first metal material, the first p-metal work function layer, the first n-metal work function layer, the glue layer and the fill material may fill a remainder of the space of the gate stacks 903.FIG. 9A further highlights afirst section 905 of the structure along the first cutline A-A andFIG. 9B further highlights asecond section 907 of the structure along the second cutline B-B. Thefirst section 905 and thesecond section 907 are referenced in the discussion below with respect toFIGS. 9D and 9E . -
FIGS. 9A-9C further illustrate a bottom portion critical dimension (CDB) and a top portion critical dimension (CDT) of thegate stack 903. The bottom portion critical dimension (CDB) is a distance between thebottom spacers 601 separated by thegate stack 903 and the top portion critical dimension (CDT) is a distance between thetop spacers 301 separated by thegate stack 903. According to some embodiments, the top portion critical dimension (CDT) may be about the same distance as the bottom portion critical dimension (CDB) such as a distance of between about 1 nm and about 500 nm. However any suitable distance may be used.FIG. 9C illustrates the formation of the gate stacks 903 in the top down view. In particular,FIG. 9C illustrates the formation of the gate stacks 903 between the two adjacent ones of thefins 113 and between thebottom spacers 601. -
FIG. 9D illustrates a magnified view of thefirst section 905 highlighted inFIG. 9A andFIG. 9E illustrates a magnified view of thesecond section 907 highlighted inFIG. 9B . In particular,FIGS. 9D and 9E further illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of an embodiment in which the top portion critical dimension CDT of thegate stack 903 and the bottom portion critical dimension CDB are about equal distances. - According to some embodiments, the
top spacers 301 intersect with thebottom spacers 601 at spacer interfaces 909. According to some embodiments, the spacer interfaces 909 have lengths that are about equal to the third distance Dist3. In some embodiments, the spacer interfaces 909 are located above a level of the tops of the source/drain regions 801, although they may also be located at or below the level of the tops of the source/drain regions 801. -
FIG. 9D further illustrates that thebottom spacers 601 are located adjacent to the gate stacks 903 and adjacent to the tops of the channel regions (e.g., the fins 113). The interfaces between thebottom spacers 601 and the gate stacks 903 and the interfaces between thebottom spacers 601 and the channel regions form a first angle θ′. According to some embodiments, the first angle θ′ is about 90°, such as within a range between about 60° and about 120°. - Turning to
FIGS. 10A and 10B , according to some other embodiments, these figures illustrate magnified views of thefirst section 905 highlighted inFIG. 9A and thesecond section 907 highlighted inFIG. 9B , respectively. In particular,FIGS. 10A and 10B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of another embodiment in which the top portion critical dimension CDT of the gate stacks 903 are greater than the bottom portion critical dimension CDB of the gate stacks 903. - According to some embodiments, the
top spacers 301 comprise the single film of the first dielectric material (e.g., SiN) and thebottom spacers 601 comprise a single film of a second dielectric material, which may be any material as described above with respect to the first dielectric material (e.g., SiON), and wherein the first dielectric material has a first etch rate that is greater than a second etch rate of the second dielectric material. During the removal of thedummy gate electrode 117 and/or the removal of thedummy gate dielectric 115, the thicknesses of thetop spacers 301 are reduced to a third thickness Th3 of between about 0.3 nm and about 49.8 nm and the thicknesses of thebottom spacers 601 are not reduced (or are only minimally reduced) from their original thicknesses (e.g., the third distance Dist3). As such, the top portion critical dimension CDT of the gate stacks 903 is greater than the bottom portion critical dimension CDB of the gate stacks 903. According to some embodiments, the top portion critical dimension CDT may be between about 1.4 nm and about 1000 nm and the bottom portion critical dimension CDB may be between about 1 nm and about 1000 nm. However, any suitable dimensions may be used. Furthermore, the length of the spacer interfaces 909 is reduced to the third thickness Th3 and the tops of thebottom spacers 601 interface with both thetop spacers 301 and the gate stacks 903. - Continuing to
FIGS. 11A and 11B , according to still other embodiments, these figures illustrate magnified views of thefirst section 905 highlighted inFIG. 9A and thesecond section 907 highlighted inFIG. 9B , respectively. In particular,FIGS. 11A and 11B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of yet another embodiment in which the bottom portion critical dimension CDB of the gate stacks 903 are greater than the top portion critical dimension CDT of the gate stacks 903. - According to some embodiments, the
top spacers 301 comprise a single film of the first dielectric material (e.g., SiOCN) and thebottom spacers 601 comprise a single film of the second dielectric material (e.g., SiC), wherein the second dielectric material has a fourth etch rate that is greater than a third etch rate of the first dielectric material. During the removal of thedummy gate electrode 117 and/or the removal of thedummy gate dielectric 115, the thicknesses of thebottom spacers 601 are reduced to a fourth thickness Th4 of between about 0.3 nm and about 49.8 nm and the thicknesses of thetop spacers 301 are not reduced (or are only minimally reduced) from their original thicknesses (e.g., the third distance Dist3). As such, the bottom portion critical dimension CDB of the gate stacks 903 is greater than the top portion critical dimension CDT of the gate stacks 903. According to some embodiments, the bottom portion critical dimension CDB may be between about 1.4 nm and about 1000 nm and the top portion critical dimension CDT may be between about 1.0 nm and about 1000 nm. However, any suitable dimensions may be used. Furthermore, the length of the spacer interfaces 909 is reduced to the fourth thickness Th4 and the bottoms of thetop spacers 301 interface with both thebottom spacers 601 and the gate stacks 903. - With reference now to
FIGS. 12A and 12B according to some further embodiments, these figures illustrate magnified views of thefirst section 905 highlighted inFIG. 9A and thesecond section 907 highlighted inFIG. 9B , respectively. In particular,FIGS. 12A and 12B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of still further embodiments in which a portion of the spacer material used to form thebottom spacers 601 is retained during the etching process (see, e.g.,FIGS. 6A-7B ) adjacent the outer sidewalls of thetop spacers 301 and the sidewalls of thefins 113. - According to some embodiments, the material of the
bottom spacers 601 may be removed from the horizontal surfaces of thetop spacers 301, thesubstrate 101, and theisolation regions 111 as discussed in regards toFIGS. 6A to 7B . However, the material of thebottom spacers 601 formed along the vertical surfaces in these figures may be removed to a lesser degree. As such, the remaining portions of the material of thebottom spacers 601 may be reduced from the original thickness along the sidewalls from the first thickness Th1 (shown inFIGS. 6A and 6B ) to a fifth thickness Th5. Furthermore, the material of thebottom spacers 601 may be reduced in the recesses at thebottom portions 401 of thedummy gate electrodes 117 from the second thickness Th2 (shown inFIGS. 6A and 6B ) to a sixth thickness Th6. According to some embodiments, the fifth thickness Th5 may be between about 0.3 nm and about 50 nm and the sixth thickness Th6 may be between about 0.6 nm and about 100 nm. However, any suitable thicknesses may be used. -
FIGS. 12A and 12B further illustrate that the spacer interfaces 909 between thebottom spacers 601 and thetop spacers 301 form a backwards “L-shape” along the bottom surfaces and outer sidewall surfaces of thetop spacers 301. Thebottom spacers 601 may also form interfaces with thefins 113 that are shaped as an upside down backwards “L-shape” along the top surfaces and the outer sidewalls of thefins 113. - Turning to
FIGS. 13A and 13B , according to still other embodiments, these figures illustrate magnified views of thefirst section 905 and thesecond section 907 similar to those ofFIGS. 12A and 12B , respectively. In particular,FIGS. 13A and 13B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of still further embodiments in which thetop spacers 301 comprise multiple films. - According to some embodiments, the
top spacers 301 comprise afirst dielectric film 1301 and asecond dielectric film 1303. Thefirst dielectric film 1301 may comprise the first dielectric material (e.g., SiN) and thesecond dielectric film 1303 may comprise the second dielectric material (e.g., SiOCN). According to some embodiments, thefirst dielectric film 1301 may be deposited to a seventh thickness Th7 of between about 0.3 nm and about 50 nm, and thesecond dielectric film 1303 may be deposited over thefirst dielectric film 1301. However, any suitable thickness may be used. - Once the
second dielectric film 1303 has been deposited, theopenings 201 may be extended through thetop spacers 301, the firstdummy gate material 119 and thefins 113 as discussed above with regard toFIGS. 4A to 4C . As such, thefirst dielectric film 1301 may be formed with thespacer interface 909 and an “L-shape” that faces away from thegate stack 903 and thesecond dielectric film 1303 may be formed with an “I-shape.” Additionally, thesecond dielectric film 1303 may be patterned to have an eighth thickness Th8 of between about 0.3 nm and about 50 nm. However, any suitable thickness may be used. - Turning to
FIGS. 14A and 14B , according to yet further embodiments, these figures illustrate magnified views of thefirst section 905 and thesecond section 907 similar to those ofFIGS. 13A and 13B , respectively. In particular,FIGS. 14A and 14B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of still further embodiments in which thetop spacers 301 comprise multiple dielectric films. - According to some embodiments, the
top spacers 301 comprise thefirst dielectric film 1301, thesecond dielectric film 1303, and athird dielectric film 1401. Thethird dielectric film 1401 may comprise the first dielectric material (e.g., SiC). Thethird dielectric film 1401 may be blanket deposited over thesecond dielectric film 1303 using any of the materials and processes set forth above for forming thetop spacers 301, as illustrated inFIGS. 3A and 3B . According to some embodiments, thefirst dielectric film 1301 may be deposited to an ninth thickness Th9 of between about 0.3 nm and about 50 nm and thesecond dielectric film 1303 may be deposited to a tenth thickness Th10 of between about 0.3 nm and about 50 nm, in accordance with some embodiments. - Once the
third dielectric film 1401 has been deposited, theopenings 201 may be extended through thetop spacers 301, the firstdummy gate material 119 and thefins 113 as discussed above with regard toFIGS. 4A to 4C . As such, thefirst dielectric film 1301 may be formed with thespacer interface 909. Furthermore, thefirst dielectric film 1301 and thesecond dielectric film 1303 may both be formed with “L-shapes” that face away from thegate stack 903 and thethird dielectric film 1401 may be formed with an “I-shape.” Additionally, once thethird dielectric film 1401 has been deposited and patterned, thethird dielectric film 1401 may have an eleventh thickness Th11 of between about 0.3 nm and about 50 nm. However, any suitable thicknesses may be used. - Turning to
FIGS. 15A and 15B , according to still other embodiments, these figures illustrate magnified views of thefirst section 905 and thesecond section 907 similar to those ofFIGS. 12A and 12B , respectively. In particular,FIGS. 15A and 15B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of still further embodiments in which a portion of the spacer material used to form thebottom spacers 601 comprise multiple layers and is retained adjacent the outer sidewalls of thetop spacers 301 and the sidewalls of thefins 113. - According to some embodiments, the
bottom spacers 601 comprise afirst dielectric layer 1501 and asecond dielectric layer 1503. Thefirst dielectric layer 1501 may comprise the first dielectric material (e.g., SiON) and thesecond dielectric layer 1503 may comprise the second dielectric material (e.g., SiOC). Thefirst dielectric layer 1501 may be blanket deposited over the structure and thesecond dielectric layer 1503 may be blanket deposited over thefirst dielectric layer 1501 using any of the materials and processes set forth above for forming thebottom spacers 601, as illustrated inFIGS. 6A and 6B . According to some embodiments, thefirst dielectric layer 1501 may be deposited to the fifth thickness Th5, as discussed above. - Once the
second dielectric layer 1503 has been deposited, the material of thebottom spacers 601 may be removed from the horizontal surfaces of thetop spacers 301, thesubstrate 101, and theisolation regions 111 as discussed in regards toFIGS. 6A to 7B . However, the materials ofbottom spacers 601 formed along the vertical surfaces of the structure may be removed to a lesser degree.FIGS. 15A and 15B further illustrate that the spacer interfaces 909 forms a backwards “L-shape” between the bottom surfaces and outer sidewall surfaces of thetop spacers 301 and thefirst dielectric layer 1501. Thefirst dielectric layer 1501 may also form interfaces with thefins 113 that are shaped as an upside down backwards “L-shape” along the top surfaces and the outer sidewalls of thefins 113. Furthermore, thesecond dielectric layer 1503 separates theinter-layer dielectric layer 901 and the source/drain regions 801 from thefirst dielectric layer 1501, according to some embodiments. Finally, thesecond dielectric layer 1503, after patterning, may have a twelfth thickness Th12 of between about 0.3 nm and about 50 nm, in accordance with some embodiments. However, any suitable thicknesses may be used. - Continuing to
FIGS. 16A and 16B , according to still further embodiments, these figures illustrate magnified views of thefirst section 905 and thesecond section 907 similar to those ofFIGS. 15A and 15B , respectively. In particular,FIGS. 16A and 16B illustrate certain features of the gate stacks 903, thetop spacers 301, and thebottom spacers 601 of still further embodiments in which a portion of the spacer material used to form thebottom spacers 601 comprise multiple layers and is retained adjacent the outer sidewalls of thetop spacers 301 and the sidewalls of thefins 113. - In some embodiments, the
bottom spacers 601 comprise thefirst dielectric layer 1501, thesecond dielectric layer 1503, and athird dielectric layer 1601. Thethird dielectric layer 1601 may be blanket deposited over thesecond dielectric layer 1503 using any of the materials and processes set forth above for forming thebottom spacers 601, as illustrated inFIGS. 6A and 6B . According to some embodiments, thethird dielectric layer 1601 may be deposited using the first dielectric material (e.g., SiO2). - Once the
third dielectric layer 1601 has been deposited, the material of thebottom spacers 601 may be removed from the horizontal surfaces of thetop spacers 301, thesubstrate 101, and theisolation regions 111 as discussed in regards toFIGS. 6A to 7B . However, the materials ofbottom spacers 601 formed along the vertical surfaces of the structure may be removed to a lesser degree.FIGS. 16A and 16B further illustrate that the spacer interfaces 909 forms a backwards “L-shape” between the bottom surfaces and outer sidewall surfaces of thetop spacers 301 and thefirst dielectric layer 1501. Thefirst dielectric layer 1501 may also form interfaces with thefins 113 that are shaped as an upside down backwards “L-shape” along the top surfaces and the outer sidewalls of thefins 113. Furthermore, thethird dielectric layer 1601 separates theinter-layer dielectric layer 901 and the source/drain regions 801 from thesecond dielectric layer 1503, according to some embodiments. Once patterned, thethird dielectric layer 1601 may have a thirteenth thickness Th13 of between about 0.3 nm and about 50 nm, in accordance with some embodiments. However, any suitable thickness may be used. - The advanced lithography process, method, and materials described above can be used in many applications, including fin-type field effect transistors (FinFETs). For example, the fins may be patterned to produce a relatively close spacing between features, for which the above disclosure is well suited. In addition, spacers used in forming fins of FinFETs, also referred to as mandrels, can be processed according to the above disclosure.
- Additionally, although the embodiments described above have been described with regards to forming FinFET devices, the materials and processes described herein may be equally applied to other semiconductor devices such as gradient-channel device, multi-channel devices, combinations or the like are fully included within the scopes of the embodiments. For example, the formation of the
fins 113 may be directed towards patterning the fins from compound material layer stacks (e.g., gradient compound materials, nanosheets, or the like). As such, gradient channel devices and/or multi-channel devices (e.g., nanosheet FETs (NSFETs), gate-all-around FETs (GAAFETs), or the like) may also be formed using the techniques and processes set forth above and are fully included within the scopes of the embodiments. - According to some embodiments, FinFET devices may be formed with gradient channels. The
fins 113 may be formed as gradient channels from a gradient material layer formed over thesubstrate 101. The gradient material layer may comprise a first silicon-based gradient compound (e.g., SiGe(low Ge %)) with a relatively low percentage concentration of germanium to the percentage concentration of silicon in the gradient material layer. Thefins 113 may be patterned using the techniques described above andisolation regions 111 are formed between thefins 113. Once theisolation regions 111 have been formed between thefins 113, the complex dummygate material layer 125 is formed over thefins 113 and theisolation regions 111. Thefins 113 may be patterned using the techniques described above and the complex dummygate material layer 125 is formed over thefins 113. According to some embodiments, the firstdummy gate material 119 of the complex dummygate material layer 125 comprises a second silicon-based gradient compound with an etch rate that is greater than an etch rate of thefins 113. In some embodiments, the second silicon-based gradient compound comprises a material (e.g., SiGe(high Ge %)) with a relatively high percentage concentration of germanium to the percentage concentration of silicon. In some embodiments, the seconddummy gate material 121 of the complex dummygate material layer 125 comprises another silicon-based material (e.g., Si) and is initially patterned into thetop portions 203 of thedummy gate electrodes 117 and then protected by thetop spacer 301. As such, the techniques described above for extending theopenings 201 to pattern thebottom portions 401 of thedummy gate electrodes 117 and to etch through thefins 113 may be equally applied to these embodiments. With the difference in etch rates between the firstdummy gate material 119 and the material of thefins 113, damage to the tops of thefins 113 is prevented during the patterning of thedummy gate electrodes 117 and the etching through thefins 113. - In still other embodiments, multi-channel FET devices (e.g., nanosheet FETs (NSFETs), gate-all-around FETs (GAAFETs), or the like) are formed from a multi-layered stack of semiconductor materials formed over the
substrate 101. According to some embodiments, the multi-layered stack comprises alternating nanosheets of first nanosheet material layers (e.g., SiGe) and second nanosheet material layers (e.g., Si). The first nanosheet material layers may also be referred to as sacrificial channel layers. Initially, thefins 113 are formed into the multi-layered stack using the patterning techniques disclosed above. Once thefins 113 have been patterned,isolation regions 111 are formed between thefins 113 and the complex dummygate material layer 125 is formed over thefins 113 and theisolation regions 111. The complex dummygate material layer 125 comprises a firstdummy gate material 119 and a seconddummy gate material 121. According to some embodiments, the firstdummy gate material 119 comprises a first silicon-based material (e.g., SiGe) and the seconddummy gate material 121 comprises a second silicon-based material (e.g., Si). Once the complex dummygate material layer 125 has been formed, thetop portions 203 of thedummy gate electrodes 117 are patterned into the seconddummy gate material 121 and then protected by thetop spacer 301 using the techniques disclosed above. The techniques described above for extending theopenings 201 to pattern thebottom portions 401 of thedummy gate electrodes 117 and to etch through thefins 113 may be equally applied to these embodiments. According to some embodiments, the etch-pull back techniques described above for recessing of the firstdummy gate material 119 may also be applied to these embodiments and may further be used to recess the first nanosheets material layers (e.g., SiGe) within the channel regions. Once recessed, the material deposited to form thebottom spacers 601 may also be used to form inner spacers within the recesses of the second nanosheets. Once theopenings 201 have been extended through thefins 113, the source/drain regions 801 may be formed in theopenings 201. According to some embodiments, the first dummy gate material 119 (e.g., SiGe) is selected as the same material used for the sacrificial channel layers (e.g., SiGe) of thefins 113 and the etch rate of the sacrificial channel layers (e.g., SiGe) is greater than the etch rate of the second nanosheet material layers (e.g., Si). As such during the process for removing the firstdummy gate material 119 of thedummy gate electrodes 117, the first nanosheet material layers (e.g., SiGe) in the channel regions of thefins 113 are removed (e.g., in a wire-release technique). The remaining material of the second nanosheet material layers (e.g., Si) forms nanostructures separated by the inner spacers within the channel regions. Once the nanostructures have been formed, a gate dielectric and gate electrode may be formed surrounding the nanostructures within the channel regions. - Embodiments of semiconductor devices and methods of forming such devices such as FinFETs, NSFETs, and GAA devices are disclosed herein. Certain features of the disclosed embodiments provide benefits including large device production yields and device performance are achieved as follows.
- The methods and devices disclosed herein provide one or more of the following advantages and benefits. The two-step etching process used on the complex dummy
gate material layer 125 allows for the formation of thedummy gate electrodes 117 havingtop portions 203 comprising a first material andbottom portions 401 comprising a second material. Thetop spacers 301 are formed over and protect thetop portions 203 of thedummy gate electrodes 117 during the patterning of thebottom portions 401 of thedummy gate electrodes 117. Furthermore, the bottom portions of thedummy gate electrode 117 and the openings for the source/drain regions 801 are patterned in a same etching step. As such, the tops of thefins 113 are protected during the formation of thebottom portions 401 of thedummy gate electrode 117 and formation of the openings in the source/drain regions 801 which minimizes or altogether eliminates channel-top damage, dummy gate residue defects, and channel-channel space restrictions. Once formed, thebottom spacers 601 form first interfaces with the tops of the fins 105 and second interfaces with thegate electrodes 903, the angle between the first interfaces and the second interfaces is about 90°. Furthermore, the critical dimensions of the metal gate electrode may be controlled to a high degree by the shaping of thetop spacers 301 and/or thebottom spacers 601. These features provide high yields during fabrication of semiconductor devices with high device performance. - According to some embodiments, a method includes forming a fin over a semiconductor substrate; depositing a dummy gate material layer over the fin; patterning a top portion of a dummy gate electrode from the dummy gate material layer; forming a top spacer along sidewalls of the top portion of the dummy gate electrode; etching an opening through the dummy gate material layer and through the fin to form a bottom portion of the dummy gate electrode; forming a bottom spacer along a sidewall of the opening; forming a source/drain region in the opening; removing the dummy gate electrode; and depositing a gate stack over the fin. In an embodiment, the method includes depositing a first dummy gate material over the fin and depositing a second dummy gate material over the first dummy gate material, the second dummy gate material being different from the first dummy gate material. In an embodiment of the method, the first dummy gate material has a first etch selectivity and the fin has a second etch selectivity, the first etch selectivity being different than the second etch selectivity. In an embodiment, the method further includes recessing the bottom portion of the dummy gate electrode prior to the forming the bottom spacer. In an embodiment of the method the forming the bottom spacer fills a space left by the recessing the bottom portion with a second spacer material. In an embodiment, the method further includes recessing the top spacer further than the bottom spacer. In an embodiment, the method further includes recessing the bottom spacer further than the top spacer.
- According to an embodiment, a method includes forming a fin over a semiconductor substrate; depositing a dummy gate material layer over the fin; etching the dummy gate material layer to a first depth; depositing a first spacer over the dummy gate material layer after the etching the dummy gate material layer; etching an opening through the dummy gate material layer after the depositing the first spacer to form a dummy gate electrode; depositing a second spacer over the first spacer and along a sidewall of the opening; forming a source/drain region in the opening, the second spacer separating the dummy gate electrode from the source/drain region; removing the dummy gate electrode; and depositing a gate stack over the fin. In an embodiment of the method the etching the opening further includes forming a first recess in a sidewall of the dummy gate electrode between the fin and the first spacer; and the depositing the second spacer further includes filling the first recess with the second spacer. In an embodiment of the method, the removing the dummy gate electrode further includes recessing the second spacer a first distance. In an embodiment of the method, the removing the dummy gate electrode further includes recessing the first spacer a second distance, the second distance being less than the first distance. In an embodiment of the method, the removing the dummy gate electrode further includes recessing the first spacer a second distance, the second distance being greater than the first distance. In an embodiment of the method, the depositing the first spacer includes depositing multiple layers of dielectric films. In an embodiment of the method, the depositing the second spacer includes depositing multiple layers of dielectric films.
- In another embodiment, a semiconductor device includes a fin over a substrate; a gate electrode stack over the fin; a first top spacer adjacent to the gate electrode stack, the gate electrode stack having a first width adjacent to the first top spacer; a first bottom spacer below the first top spacer, the gate electrode stack having a second width adjacent to the first bottom spacer, the second width being different from the first width; and a first source/drain region adjacent to the fin and isolated from the gate electrode stack by the first bottom spacer. In an embodiment of the semiconductor device the first top spacer includes a multi-layer film having an L-shape. In an embodiment of the semiconductor device, the first bottom spacer includes a multi-layer film having a backwards L-shape. In an embodiment of the semiconductor device, the first width is greater than the second width. In an embodiment of the semiconductor device, the first width is less than the second width. In an embodiment of the semiconductor device, the first top spacer includes a first material and the first bottom spacer includes a second material different from the first material.
- The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims (20)
1. A method of manufacturing a semiconductor device, the method comprising:
forming a fin over a substrate;
forming a gate electrode stack over the fin;
forming a first top spacer adjacent to the gate electrode stack;
after forming the first top spacer, forming a first bottom spacer adjacent to the gate electrode stack and between the substrate and the first top spacer; and
forming a first source/drain region adjacent to the fin and isolated from the gate electrode stack by the first bottom spacer.
2. The method of claim 1 , wherein the forming the first bottom spacer forms a multi-layer film having a backwards L-shape in a first cross-sectional view.
3. The method of claim 1 , wherein the forming the first top spacer forms a multi-layer film having an L-shape in a second cross-sectional view.
4. The method of claim 1 , wherein after the forming the gate electrode stack the gate electrode stack has a first width adjacent to the first top spacer and wherein the gate electrode stack has a second width adjacent to the first bottom spacer, the first width being greater than the second width.
5. The method of claim 1 , wherein after the forming the gate electrode stack the gate electrode stack has a first width adjacent to the first top spacer and wherein the gate electrode stack has a second width adjacent to the first bottom spacer, the first width being less than the second width.
6. The method of claim 5 , wherein the first top spacer comprises a first material and the first bottom spacer comprises a second material different from the first material.
7. The method of claim 1 , wherein the first bottom spacer is wider than the first top spacer.
8. A semiconductor device comprising:
a fin over a semiconductor substrate;
a gate stack overlying the fin;
a spacer adjacent to the gate stack, the spacer comprising:
a first spacer in physical contact with the gate stack; and
a second spacer in physical contact with both the first spacer and the gate stack, the second spacer being a different material than the first spacer; and
a source/drain region adjacent to the spacer.
9. The semiconductor device of claim 8 , wherein the first spacer has a first width and the second spacer has a second width less than the first width.
10. The semiconductor device of claim 8 , wherein the first spacer has a first width and the second spacer has a second width greater than the first width.
11. The semiconductor device of claim 8 , wherein the first spacer has a first width and the second spacer has the first width.
12. The semiconductor device of claim 8 , wherein the first spacer comprises a first portion and a second portion, wherein at least one of the first portion and the second portion has an “L” shape.
13. The semiconductor device of claim 12 , wherein both the first portion and the second portion have the “L” shape.
14. The semiconductor device of claim 13 , wherein the first spacer comprises a third portion and the third portion, the second portion, and the first portion have sidewalls aligned with each other.
15. A semiconductor device comprising:
a fin over a substrate;
a gate electrode stack over the fin;
a first top spacer adjacent to the gate electrode stack;
a first bottom spacer below the first top spacer; and
a first source/drain region adjacent to the fin and isolated from the gate electrode stack by the first bottom spacer.
16. The semiconductor device of claim 15 , wherein the first top spacer comprises a multi-layer film having an L-shape.
17. The semiconductor device of claim 15 , wherein the first bottom spacer comprises a multi-layer film having a backwards L-shape.
18. The semiconductor device of claim 15 , wherein the gate electrode stack has a first width adjacent to the first top spacer and wherein the gate electrode stack has a second width adjacent to the first bottom spacer, the first width being greater than the second width.
19. The semiconductor device of claim 15 , wherein the gate electrode stack has a first width adjacent to the first top spacer and wherein the gate electrode stack has a second width adjacent to the first bottom spacer, the first width being less than the second width.
20. The semiconductor device of claim 15 wherein the first top spacer comprises a first material and the first bottom spacer comprises a second material different from the first material.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/705,804 US20220216322A1 (en) | 2020-02-27 | 2022-03-28 | Semiconductor Devices and Methods of Formation |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202062982446P | 2020-02-27 | 2020-02-27 | |
US16/889,427 US11289585B2 (en) | 2020-02-27 | 2020-06-01 | Semiconductor devices and methods of formation |
US17/705,804 US20220216322A1 (en) | 2020-02-27 | 2022-03-28 | Semiconductor Devices and Methods of Formation |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/889,427 Division US11289585B2 (en) | 2020-02-27 | 2020-06-01 | Semiconductor devices and methods of formation |
Publications (1)
Publication Number | Publication Date |
---|---|
US20220216322A1 true US20220216322A1 (en) | 2022-07-07 |
Family
ID=76709298
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/889,427 Active US11289585B2 (en) | 2020-02-27 | 2020-06-01 | Semiconductor devices and methods of formation |
US17/705,804 Pending US20220216322A1 (en) | 2020-02-27 | 2022-03-28 | Semiconductor Devices and Methods of Formation |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/889,427 Active US11289585B2 (en) | 2020-02-27 | 2020-06-01 | Semiconductor devices and methods of formation |
Country Status (2)
Country | Link |
---|---|
US (2) | US11289585B2 (en) |
CN (1) | CN113113297A (en) |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8664679B2 (en) | 2011-09-29 | 2014-03-04 | Toshiba Techno Center Inc. | Light emitting devices having light coupling layers with recessed electrodes |
US8728332B2 (en) | 2012-05-07 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of patterning small via pitch dimensions |
US9093530B2 (en) * | 2012-12-28 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of FinFET |
US8987142B2 (en) | 2013-01-09 | 2015-03-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-patterning method and device formed by the method |
US9501601B2 (en) | 2013-03-14 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout optimization of a main pattern and a cut pattern |
US9153478B2 (en) | 2013-03-15 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacer etching process for integrated circuit design |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US10319627B2 (en) | 2016-12-13 | 2019-06-11 | Globalfoundries Inc. | Air-gap spacers for field-effect transistors |
CN108281485B (en) * | 2017-01-06 | 2021-09-07 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
US10354997B2 (en) * | 2017-04-28 | 2019-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing semiconductor device with replacement gates |
US10134604B1 (en) * | 2017-04-28 | 2018-11-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10304677B2 (en) | 2017-09-29 | 2019-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low-k feature formation processes and structures formed thereby |
US20190131424A1 (en) * | 2017-11-02 | 2019-05-02 | Globalfoundries Inc. | Methods for forming ic structure having recessed gate spacers and related ic structures |
US10658491B2 (en) * | 2018-06-15 | 2020-05-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling profiles of replacement gates |
US10950434B2 (en) | 2018-06-27 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing gate spacer loss during semiconductor manufacturing |
US10727134B2 (en) * | 2018-10-30 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices with gate-all-around structure |
CN111900088B (en) * | 2019-05-05 | 2024-03-26 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and method of forming the same |
US11205647B2 (en) | 2019-06-28 | 2021-12-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10978574B2 (en) * | 2019-07-08 | 2021-04-13 | International Business Machines Corporation | Floating gate prevention and capacitance reduction in semiconductor devices |
-
2020
- 2020-06-01 US US16/889,427 patent/US11289585B2/en active Active
-
2021
- 2021-02-23 CN CN202110203028.5A patent/CN113113297A/en active Pending
-
2022
- 2022-03-28 US US17/705,804 patent/US20220216322A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20210273072A1 (en) | 2021-09-02 |
CN113113297A (en) | 2021-07-13 |
US11289585B2 (en) | 2022-03-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11652141B2 (en) | Strained nanowire CMOS device and method of forming | |
US11094828B2 (en) | Geometry for threshold voltage tuning on semiconductor device | |
US10868188B2 (en) | Semiconductor device and method | |
US12087637B2 (en) | Semiconductor device and method of manufacture | |
US12087638B2 (en) | Multi-channel devices and methods of manufacture | |
US20220359300A1 (en) | Semiconductor structure and method of manufacturing the same | |
US20240363719A1 (en) | Semiconductor device and method of manufacture | |
US11894277B2 (en) | Transistor gates and methods of forming thereof | |
US20220216322A1 (en) | Semiconductor Devices and Methods of Formation | |
US11205647B2 (en) | Semiconductor device and method of manufacture | |
TWI786548B (en) | Semiconductor devices and methods of formation | |
US20240363424A1 (en) | Semiconductor device and method of manufacture | |
US12142655B2 (en) | Transistor gate structures and methods of forming the same | |
US20240038901A1 (en) | Field effect transistor with isolation structure and method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STPP | Information on status: patent application and granting procedure in general |
Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION |
|
STPP | Information on status: patent application and granting procedure in general |
Free format text: NON FINAL ACTION MAILED |