US20220005731A1 - Etch stop layer removal for capacitance reduction in damascene top via integration - Google Patents

Etch stop layer removal for capacitance reduction in damascene top via integration Download PDF

Info

Publication number
US20220005731A1
US20220005731A1 US17/479,045 US202117479045A US2022005731A1 US 20220005731 A1 US20220005731 A1 US 20220005731A1 US 202117479045 A US202117479045 A US 202117479045A US 2022005731 A1 US2022005731 A1 US 2022005731A1
Authority
US
United States
Prior art keywords
dielectric layer
interconnect
conductive
layer
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/479,045
Inventor
Christopher J. Penny
Brent Anderson
Lawrence A. Clevenger
Robert Robison
Kisik Choi
Nicholas Anthony Lanzillo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US17/479,045 priority Critical patent/US20220005731A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ANDERSON, BRENT, CHOI, KISIK, CLEVENGER, LAWRENCE A., LANZILLO, NICHOLAS ANTHONY, PENNY, CHRISTOPHER J., ROBISON, ROBERT
Publication of US20220005731A1 publication Critical patent/US20220005731A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Definitions

  • the present invention generally relates to fabrication methods and resulting structures for integrated circuits (ICs), and more specifically, to fabrication methods and resulting interconnect structures of ICs.
  • VLSI very large scale integration
  • VLSI ultra large scale integration
  • the wiring interconnect networks include two types of interconnect elements (often simply referred to as interconnects) that serve as electrical conductors, namely, conductive line features (also referred to as “conductive lines”) that traverse a distance across the chip, and conductive via features (also referred to as “conductive vias”) that connect the conductive lines at different levels.
  • the conductive lines and conductive vias are made of conductive material, such as aluminum or copper, and are electrically insulated by interlayer dielectrics (ILD).
  • ILD interlayer dielectrics
  • Embodiments of the invention are directed to a method for forming an interconnect structure.
  • the method includes forming at least one second-level interconnect in a sacrificial dielectric layer that is formed on an upper surface of a sacrificial etch stop layer, and removing the sacrificial dielectric layer and the sacrificial etch stop layer while maintaining the at least one second-level interconnect so as to expose an underlying dielectric layer.
  • the method further includes depositing a replacement dielectric layer on an upper surface of the underlying dielectric layer to embed the at least one second-level interconnect in the replacement dielectric layer
  • Embodiments of the invention are directed to a method for forming a wiring interconnect network included in an interconnect structure.
  • a non-limiting example of the method includes forming a sacrificial etch stop layer on an upper surface of a dielectric layer to cover at least one first-level interconnect embedded in the dielectric layer, and forming a sacrificial dielectric layer on an upper surface of the sacrificial etch stop layer.
  • the method further includes forming at least one second-level interconnect that extends through the sacrificial dielectric layer and sacrificial etch stop layer to contact the at least one first-level interconnect, and removing the sacrificial dielectric layer and sacrificial etch stop layer while preserving the at least one second-level interconnect.
  • the method further includes depositing a replacement dielectric layer on an upper surface of the dielectric layer to cover the at least one first-level interconnect and embed the at least one second-level interconnect in the replacement dielectric layer.
  • Embodiments of the invention are directed to an interconnect structure.
  • the interconnect structure includes one or more first-level interconnect in a dielectric layer and one or more second-level interconnects in a replacement dielectric layer stacked on the dielectric layer.
  • the replacement dielectric layer directly contacts the dielectric layer.
  • FIG. 1 depicts a cross-sectional view of an intermediate interconnect structure including first-level interconnects formed in a dielectric layer according to one or more embodiments of the invention
  • FIG. 2 depicts a cross-sectional view of the interconnect structure after forming a sacrificial etch stop layer that covers the first-level interconnects according to one or more embodiments of the invention
  • FIG. 3 depicts a cross-sectional view of the interconnect structure following deposition of a sacrificial dielectric layer on an upper surface of the sacrificial etch stop layer according to one or more embodiments of the invention
  • FIG. 4 depicts a cross-sectional view of the interconnect structure after forming a trench in the sacrificial dielectric layer according to one or more embodiments of the invention
  • FIG. 5 depicts a cross-sectional view of the interconnect structure after filling the trench with a conductive material to form a second-level interconnect according to one or more embodiments of the invention
  • FIG. 6 depicts a cross-sectional view of the interconnect structure after removing the sacrificial dielectric layer according to one or more embodiments of the invention
  • FIG. 7 depicts a cross-sectional view of the interconnect structure after removing the sacrificial etch stop layer to expose the underlying first-level interconnects according to one or more embodiments of the invention.
  • FIG. 8 depicts a cross-sectional view of a completed interconnect structure following deposition of a replacement dielectric layer that covers the second-level interconnect and first-level interconnects according to one or more embodiments of the invention.
  • ICs are fabricated in a series of stages, including a front-end-of-line (FEOL) stage, a middle-of-line (MOL) stage, and a back-end-of-line (BEOL) stage.
  • FEOL front-end-of-line
  • MOL middle-of-line
  • BEOL back-end-of-line
  • the process flows for fabricating modern ICs are often identified based on whether the process flows fall in the FEOL stage, the MOL stage, or the BEOL stage.
  • the FEOL stage is where device elements (e.g., transistors, capacitors, resistors, etc.) are patterned in the semiconductor substrate/wafer.
  • the FEOL stage processes include wafer preparation, isolation, gate patterning, and the formation of wells, source/drain (S/D) regions, extension junctions, silicide regions, and liners.
  • the MOL stage typically includes process flows for forming the contacts (e.g., CA) and other structures that communicatively couple to active regions (e.g., gate, source, and drain) of the device element. For example, the silicidation of source/drain regions, as well as the deposition of metal contacts, can occur during the MOL stage to connect the elements patterned during the FEOL stage.
  • Layers of interconnections include conductive lines that are formed above the logical and functional layers during the BEOL stage to complete the IC. Most ICs need more than one metallization, and as many as 5-12 layers can be formed in the BEOL process.
  • the various BEOL layers and/or individual conductive lines are interconnected by conductive vias. The combination of conductive lines and conductive vias form a wiring interconnect network that establishes all the necessary connections of the interconnect structure.
  • M layers denote metal line layers
  • V layers denote conductive vias formed between adjacent M layers (e.g., V 1 is between the M 1 and M 2 layers).
  • a “top via” refers to a “Vx” layer via which is integrally formed from patterning the line below (an “Mx” layer) and which electrically couples the line below (an “Mx” layer) to a line above (an “Mx+1” layer).
  • Insulating dielectric materials are used throughout the layers of an IC to perform a variety of functions, including stabilizing the IC structure and providing electrical isolation of the IC elements.
  • one or more conductive lines in the BEOL region of the IC are isolated by dielectric layers to prevent the conductive lines from creating a short circuit.
  • One or more conductive vias are typically formed in the dielectric layers to establish a connection between a conductive line formed at a first layer and another conductive line formed at a second layer.
  • Fabricating intricate elements (e.g., conductive lines and vias) of interconnect structures within increasingly smaller wafer footprints is one of the most process-intensive and cost-sensitive portions of semiconductor IC fabrication.
  • Advanced BEOL processes incorporate phase-shifting, optical proximity correction, and other practices to satisfy these scaling demands, and can achieve a line to line pitch below 30 nm.
  • challenges, however, in fabricating advanced interconnects having a line to line pitch below 30 nm For example, high-k etch stop layers are typically interposed between a given pair of dielectric layers to properly etch the conductive vias.
  • reducing the footprint of the interconnect structures increases an undesirable capacitance effect resulting from electrical interactions between the metal lines, the high-k etch stop layer, and the dielectric layers.
  • conventional fabrication processes used to form an interconnect structure typically deposit a second dielectric layer on the underlying dielectric layer, and then perform via/line trench and fill operations to form a conductive via and/or line in the second dielectric layer.
  • the trench and fill operations cause defects (e.g., cracks and weakened portions) in the second dielectric layer. These defects undesirably increase the dielectric constant of the second dielectric layer. As a result, the actual dielectric constant of the dielectric layer can vary or deviate from the intended or targeted dielectric constant.
  • one or more embodiments of the invention address the above-described challenges of the prior art by providing a new interconnect structure and a method fabricating the same, which excludes the conventional high-k etch stop layer between a stack of dielectric layers or metallization layers. In this manner, the undesirable capacitance effect produced by conventional interconnect devices can be significantly reduced or even eliminated altogether.
  • the interconnect structure according to embodiments of the invention described herein includes a replacement dielectric layer that embeds a conductive via therein. Because the replacement dielectric layer is deposited after formation of the conductive via, the replacement dielectric layer is not susceptible to damage caused by the conventional via/line formation processes. In this manner, a targeted dielectric constant value of the replacement dielectric layer can be maintained.
  • FIG. 1 depicts a cross-sectional view of an intermediate interconnect structure 100 following one or more processing operations according to one or more embodiments of the invention.
  • an “intermediate” interconnect structure is defined as an interconnect structure in a stage of fabrication prior to a final stage.
  • the interconnect structure 100 such that it includes one or more first-level interconnects 102 in a dielectric layer 104 .
  • the first-level interconnects 102 can include, but are not limited to, conductive lines and conductive vias. Although the first-level interconnects are described as conductive lines 102 going forward, it should be appreciated that one or more conductive vias can be formed in the dielectric layer 104 . Further, while only two conductive lines 102 are shown, it should be appreciated that less or more conductive lines 102 can be included in the dielectric layer 104 without departing from the scope of the invention.
  • the conductive lines 102 includes a conductive material formed or deposited in a trench in the dielectric layer 104 using known back-end-of-line (BEOL) processes.
  • the conductive lines 102 are overfilled above a surface of the trench (not shown), forming overburdens that can be removed using, for example, a chemical-mechanical planarization (CMP) process.
  • CMP chemical-mechanical planarization
  • the conductive lines 102 can be made of any suitable conducting material, such as, for example, metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, platinum), alloys thereof (such as AlCu, CuMn, CuTi, or the like), conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tantalum carbide, titanium carbide, titanium aluminum carbide, tungsten silicide, tungsten nitride, cobalt silicide, nickel silicide), conductive carbon, or any suitable combination of these materials.
  • the conductive lines 102 are copper lines (copper interconnects).
  • the conductive lines 102 can be formed or deposited using, for example, CVD, PECVD, PVD, sputtering, plating, chemical solution deposition, and electroless plating.
  • the conductive lines have a width extending parallel with the upper surface of the dielectric layer 104 ranging from about 5 nm to about 20 nm and a height extending orthogonal with respect to the width ranging from about 10 nm to about 50 nm
  • the dielectric layer 104 (sometimes referred to as an interlayer dielectric) serves as an isolation structure for electrically conductive elements formed therein (e.g., conductive lines, via, etc.).
  • the dielectric layer 104 can be made of any suitable dielectric material, such as, for example, low-k dielectrics (materials having a small dielectric constant relative to silicon dioxide, i.e., less than about 3.9), porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials. Any known manner of forming the dielectric layer 104 can be utilized, such as, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD.
  • the interconnect structure 100 is illustrated after forming a sacrificial etch stop layer 106 that covers the conductive lines 102 according to one or more embodiments of the invention.
  • the sacrificial etch stop layer 106 can be made of, for example, a high-k material.
  • high-k materials are those having a large dielectric constant relative to silicon dioxide, i.e., more than about 3.9, and preferably more than about 7.0.
  • the sacrificial etch stop layer 106 is formed directly on an upper surface of the dielectric layer 104 using, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD.
  • high-k materials include but are not limited to metal oxides such as hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium silicon oxynitride, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • the high-k materials can further include dopants such as lanthanum and aluminum.
  • the sacrificial etch stop layer 106 can be formed or deposited to a thickness of about 0.5 nm to about 25 nm, for example 10 nm, although other thicknesses are within the contemplated scope of the invention.
  • a selective etching process can be performed that allows the sacrificial etch stop layer 106 to be subsequently etched away and removed while preserving one or more remaining elements of the interconnect structure 100 .
  • the interconnect structure 100 is illustrated following deposition of a sacrificial dielectric layer 108 on an upper surface of the sacrificial etch stop layer 106 according to one or more embodiments of the invention.
  • the sacrificial dielectric layer 108 can be made of a low-k dielectric material (e.g., materials having a small dielectric constant relative to silicon dioxide, i.e., less than about 3.9), porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials.
  • any known manner of forming the sacrificial dielectric layer 108 can be utilized, such as, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD.
  • CVD chemical vapor deposition
  • PECVD PECVD
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • a selective etching process can be performed that allows the sacrificial dielectric layer 108 to be subsequently etched away and removed while preserving the underlying sacrificial etch stop layer 106 .
  • the interconnect structure 100 is illustrated after forming a trench 110 in the sacrificial dielectric layer 108 according to one or more embodiments of the invention.
  • portions of the sacrificial dielectric layer 108 and portions of the sacrificial etch stop layer 106 are removed (patterned) to form the trench 110 , which exposes a surface of one or more conductive lines 102 .
  • the trench 110 has a width extending parallel with the upper surface of the sacrificial dielectric layer 108 ranging from about 5 nm to about 20 nm and a height extending orthogonal with respect to the width ranging from about 10 nm to about 50 nm
  • the sacrificial dielectric layer 108 and the sacrificial etch stop layer 106 can be patterned using a wet etch, a dry etch, or a combination of sequential wet and/or dry etches.
  • the sacrificial dielectric layer 108 is removed selective to the sacrificial etch stop layer 106 and the exposed portion of the sacrificial etch stop layer 106 is removed to expose the surface of an underlying conductive line 102 .
  • an etching processes including fluorine-containing chemistries can etch low-k materials such as, for example, SiO 2 , selective to etch stop materials such as, for example, AlN.
  • a second-level interconnect 112 is formed at a second level of the interconnect structure 100 .
  • the second-level interconnect 112 can include, but is not limited to, a conductive via and/or a conductive line.
  • the second-level interconnect is described as conductive via 112 going forward, it should be appreciated that one or more conductive lines can be formed in the second-level of the interconnect structure. Further, while only one conductive via 112 is shown, it should be appreciated that more conductive vias 112 can be formed without departing from the scope of the invention.
  • the conductive via 112 can be formed by filling the trench 110 with a conductive material. Accordingly, the conductive via 112 can be formed such that it directly contacts one or more underlying conductive lines 102 . Although a conductive via 112 is described above, it should be appreciated that similar processes can be used to form a conductive line in the sacrificial dielectric layer 108 .
  • the metal material of the conductive via includes, but is not limited to, copper or a non-copper metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, aluminum, platinum), alloys thereof, conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tantalum carbide, titanium carbide, titanium aluminum carbide, tungsten silicide, tungsten nitride, cobalt silicide, nickel silicide), conductive carbon, or any suitable combination of these materials.
  • the conductive via 112 is formed from a same material as the conductive line 102 .
  • the conductive via 112 and the conductive line 102 can be made cobalt or ruthenium.
  • the conductive via 112 and the conductive line 102 are made of different conductive materials.
  • the conductive via 112 can be made cobalt or ruthenium and the conductive line 102 can be made of copper.
  • the trench 110 is overfilled above a surface of the sacrificial dielectric layer 108 , forming overburdens that can be removed using, for example, a CMP process.
  • the upper surface of the conductive via 112 can be formed flush (i.e., co-planar) with respect to the upper surface of the sacrificial dielectric layer 108 .
  • the interconnect structure 100 is illustrated after removing the sacrificial dielectric layer 108 (see FIG. 5 ) according to one or more embodiments of the invention.
  • the sacrificial dielectric layer 108 is removed using a wet etch, a dry etch, or a combination of sequential wet and/or dry etches.
  • the sacrificial dielectric layer 108 is removed selective to the conductive via 112 and the selective etch stop layer 106 .
  • an etching process including fluorine (F)-containing chemistries can etch dielectrics such as low-k dielectrics, for example, selective to high-k materials and metal materials. Accordingly, the upper surface of the sacrificial etch stop layer 106 is exposed, along with a portion of the sidewalls and upper surface of the conductive via 112 .
  • the interconnect structure 100 is illustrated after removing the sacrificial etch stop layer 106 (see FIG. 6 ) according to a non-limiting embodiment of the invention.
  • the sacrificial etch stop layer 106 is removed selective to the conductive via 112 , the underlying dielectric layer 104 , and the conductive lines 102 .
  • an etching process including a modified fluorine (F)-containing chemistry can etch the sacrificial etch stop layer 106 selective to the conductive via 112 . Accordingly, the sidewalls and upper surface of the conductive via 112 are completely exposed, along with surfaces of the conductive lines 102 and the dielectric layer 104 .
  • F modified fluorine
  • the interconnect structure 100 is illustrated following deposition of a replacement dielectric layer 114 according to a non-limiting embodiment of the invention.
  • the replacement dielectric layer 114 is deposited directly on the upper surface of the dielectric layer 104 to cover the conductive lines 102 and embed the conductive via 112 therein.
  • the replacement dielectric layer 114 can be deposited using, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD, and can be formed having any suitable thickness or height.
  • the replacement dielectric layer 114 is deposited to a height of about 50 nm above the surface of the underlying dielectric layer 104 , although other heights are within the contemplated scope of the invention. In one or more non-limiting embodiments of the invention, the replacement dielectric layer 114 can be deposited at a height that extends above the conductive via 112 . A CMP process can then be performed that stops on an upper surface of the conductive via 112 . Accordingly, the upper surface of the conductive via 112 can be formed flush (i.e., co-planar) with respect to the upper surface of the replacement dielectric layer 114 .
  • the replacement dielectric layer 114 can be made of various dielectric materials, such as, for example, low-k dielectrics, ultra-low-k dielectrics, porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials.
  • the replacement dielectric layer 114 is the same material as the underlying dielectric layer 104 , forming a continuous dielectric region.
  • the replacement dielectric layer 114 serves to replace the sacrificial dielectric layer 108 .
  • the replacement dielectric layer 114 excludes etch-induced damages or defects adjacent to (e.g., extending from or contacting the conductive via 112 ).
  • the replacement dielectric layer 114 is formed after the conductive via 112 , no etch-induced damages or defects are formed in the vicinity of the conductive via 112 while also allowing for the replacement dielectric layer 114 to achieve a targeted dielectric constant.
  • maintaining the targeted dielectric layer allows for forming the underlying dielectric layer 104 with a first dielectric constant value (e.g., that is less than 3.9), while forming the replacement dielectric layer 114 with a second dielectric constant value (e.g., that is less than 3.9).
  • maintaining the targeted dielectric layer allows for forming a continuous dielectric region (defined by the underlying dielectric layer 104 and the replacement dielectric layer 114 ) having a non-varying dielectric constant value.
  • the continuous dielectric region defined by the underlying dielectric layer 104 and the replacement dielectric layer 114 is formed of the same dielectric material.
  • the dielectric constant value of the continuous dielectric region does not vary with respect to the underlying dielectric layer 104 because the replacement dielectric layer 114 is formed after the conductive via 112 such that no etch-induced damages or defects are formed therein.
  • various non-limiting embodiments of the invention provide a new multilevel interconnect structure that includes a wiring interconnect network, while completely excluding an etch stop layer between a first dielectric layer at a first level and a second dielectric layer located at an immediate lower level or upper level. In this manner, the undesirable capacitance effect produced by conventional interconnect devices can be significantly reduced or even eliminated altogether.
  • the interconnect structure according to embodiments of the invention described herein includes a replacement dielectric layer that embeds a conductive via therein. Because the replacement dielectric layer is deposited after formation of the conductive via, the replacement dielectric layer is not susceptible to damage caused by the conventional via/line formation processes. In this manner, a targeted dielectric constant value of the replacement dielectric layer can be maintained
  • the methods and resulting structures described herein can be used in the fabrication of IC chips.
  • the resulting IC chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes IC chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • a coupling of entities can refer to either a direct or an indirect coupling
  • a positional relationship between entities can be a direct or indirect positional relationship.
  • references in the present description to forming layer “A” over layer “B” include situations in which one or more intermediate layers (e.g., layer “C”) is between layer “A” and layer “B” as long as the relevant characteristics and functionalities of layer “A” and layer “B” are not substantially changed by the intermediate layer(s).
  • compositions comprising, “comprising,” “includes,” “including,” “has,” “having,” “contains” or “containing,” or any other variation thereof, are intended to cover a non-exclusive inclusion.
  • a composition, a mixture, process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but can include other elements not expressly listed or inherent to such composition, mixture, process, method, article, or apparatus.
  • connection can include an indirect “connection” and a direct “connection.”
  • references in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” etc., indicate that the embodiment described can include a particular feature, structure, or characteristic, but every embodiment may or may not include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • the terms “upper,” “lower,” “right,” “left,” “vertical,” “horizontal,” “top,” “bottom,” and derivatives thereof shall relate to the described structures and methods, as oriented in the drawing figures.
  • the terms “overlying,” “atop,” “on top,” “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements such as an interface structure can be present between the first element and the second element.
  • the term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • spatially relative terms e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like, are used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (e.g., rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein should be interpreted accordingly.
  • selective to such as, for example, “a first element selective to a second element,” can refer to an etching process capable of etching a first element while preserving a second element or without substantially etching the second element.
  • selective to means that the first element can be etched and the second element can act as an etch stop.
  • conformal e.g., a conformal layer or a conformal deposition
  • the thickness of the layer is substantially the same on all surfaces, or that the thickness variation is less than 15% of the nominal thickness of the layer.
  • epitaxial growth and/or deposition and “epitaxially formed and/or grown” mean the growth of a semiconductor material (crystalline material) on a deposition surface of another semiconductor material (crystalline material), in which the semiconductor material being grown (crystalline overlayer) has substantially the same crystalline characteristics as the semiconductor material of the deposition surface (seed material).
  • the chemical reactants provided by the source gases can be controlled and the system parameters can be set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move about on the surface such that the depositing atoms orient themselves to the crystal arrangement of the atoms of the deposition surface.
  • An epitaxially grown semiconductor material can have substantially the same crystalline characteristics as the deposition surface on which the epitaxially grown material is formed.
  • an epitaxially grown semiconductor material deposited on a ⁇ 100 ⁇ orientated crystalline surface can take on a ⁇ 100 ⁇ orientation.
  • epitaxial growth and/or deposition processes can be selective to forming on semiconductor surface, and may or may not deposit material on exposed surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Deposition is any process that grows, coats, or otherwise transfers a material onto the wafer.
  • Available technologies include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), molecular beam epitaxy (MBE) and more recently, atomic layer deposition (ALD) among others.
  • Removal/etching is any process that removes material from the wafer. Examples include etch processes (either wet or dry), chemical-mechanical planarization (CMP), and the like.
  • Reactive ion etching is a type of dry etching that uses chemically reactive plasma to remove a material, such as a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface.
  • the plasma is typically generated under low pressure (vacuum) by an electromagnetic field.
  • Semiconductor doping is the modification of electrical properties by doping, for example, transistor sources and drains, generally by diffusion and/or by ion implantation. These doping processes are followed by furnace annealing or by rapid thermal annealing (RTA). Annealing serves to activate the implanted dopants.
  • Films of both conductors e.g., poly-silicon, aluminum, copper, etc.
  • insulators e.g., various forms of silicon dioxide, silicon nitride, etc.
  • Semiconductor lithography is the formation of three-dimensional relief images or patterns on the semiconductor substrate for subsequent transfer of the pattern to the substrate. In semiconductor lithography, the patterns are formed by a light sensitive polymer called a photo-resist.
  • lithography and etch pattern transfer steps are repeated multiple times. Each pattern being printed on the wafer is aligned to the previously formed patterns and slowly the conductors, insulators and selectively doped regions are built up to form the final device.

Abstract

A method of forming an interconnect structure includes forming at least one second-level interconnect in a sacrificial dielectric layer that is formed on an upper surface of a sacrificial etch stop layer, and removing the sacrificial dielectric layer and the sacrificial etch stop layer while maintaining the at least one second-level interconnect so as to expose an underlying dielectric layer. The method further includes depositing a replacement dielectric layer on an upper surface of the underlying dielectric layer to embed the at least one second-level interconnect in the replacement dielectric layer. Accordingly, an interconnect structure can be formed that includes one or more first-level interconnect in a dielectric layer and one or more second-level interconnects in a replacement dielectric layer stacked on the dielectric layer. The replacement dielectric layer directly contacts the dielectric layer.

Description

    BACKGROUND
  • The present invention generally relates to fabrication methods and resulting structures for integrated circuits (ICs), and more specifically, to fabrication methods and resulting interconnect structures of ICs.
  • The use of very large scale integration (VLSI) or ultra large scale integration (VLSI) in the fabrication of ICs requires the manufacture of sophisticated interconnect structures including conductive wiring interconnect networks that connect individual devices in a semiconductor chip to one another. Typically, the wiring interconnect networks include two types of interconnect elements (often simply referred to as interconnects) that serve as electrical conductors, namely, conductive line features (also referred to as “conductive lines”) that traverse a distance across the chip, and conductive via features (also referred to as “conductive vias”) that connect the conductive lines at different levels. The conductive lines and conductive vias are made of conductive material, such as aluminum or copper, and are electrically insulated by interlayer dielectrics (ILD).
  • SUMMARY
  • Embodiments of the invention are directed to a method for forming an interconnect structure. The method includes forming at least one second-level interconnect in a sacrificial dielectric layer that is formed on an upper surface of a sacrificial etch stop layer, and removing the sacrificial dielectric layer and the sacrificial etch stop layer while maintaining the at least one second-level interconnect so as to expose an underlying dielectric layer. The method further includes depositing a replacement dielectric layer on an upper surface of the underlying dielectric layer to embed the at least one second-level interconnect in the replacement dielectric layer
  • Embodiments of the invention are directed to a method for forming a wiring interconnect network included in an interconnect structure. A non-limiting example of the method includes forming a sacrificial etch stop layer on an upper surface of a dielectric layer to cover at least one first-level interconnect embedded in the dielectric layer, and forming a sacrificial dielectric layer on an upper surface of the sacrificial etch stop layer. The method further includes forming at least one second-level interconnect that extends through the sacrificial dielectric layer and sacrificial etch stop layer to contact the at least one first-level interconnect, and removing the sacrificial dielectric layer and sacrificial etch stop layer while preserving the at least one second-level interconnect. The method further includes depositing a replacement dielectric layer on an upper surface of the dielectric layer to cover the at least one first-level interconnect and embed the at least one second-level interconnect in the replacement dielectric layer.
  • Embodiments of the invention are directed to an interconnect structure. The interconnect structure includes one or more first-level interconnect in a dielectric layer and one or more second-level interconnects in a replacement dielectric layer stacked on the dielectric layer. The replacement dielectric layer directly contacts the dielectric layer.
  • Additional technical features and benefits are realized through the techniques of the present invention. Embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed subject matter. For a better understanding, refer to the detailed description and to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The specifics of the exclusive rights described herein are particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The foregoing and other features and advantages of the embodiments of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:
  • FIG. 1 depicts a cross-sectional view of an intermediate interconnect structure including first-level interconnects formed in a dielectric layer according to one or more embodiments of the invention;
  • FIG. 2 depicts a cross-sectional view of the interconnect structure after forming a sacrificial etch stop layer that covers the first-level interconnects according to one or more embodiments of the invention;
  • FIG. 3 depicts a cross-sectional view of the interconnect structure following deposition of a sacrificial dielectric layer on an upper surface of the sacrificial etch stop layer according to one or more embodiments of the invention;
  • FIG. 4 depicts a cross-sectional view of the interconnect structure after forming a trench in the sacrificial dielectric layer according to one or more embodiments of the invention;
  • FIG. 5 depicts a cross-sectional view of the interconnect structure after filling the trench with a conductive material to form a second-level interconnect according to one or more embodiments of the invention;
  • FIG. 6 depicts a cross-sectional view of the interconnect structure after removing the sacrificial dielectric layer according to one or more embodiments of the invention;
  • FIG. 7 depicts a cross-sectional view of the interconnect structure after removing the sacrificial etch stop layer to expose the underlying first-level interconnects according to one or more embodiments of the invention; and
  • FIG. 8 depicts a cross-sectional view of a completed interconnect structure following deposition of a replacement dielectric layer that covers the second-level interconnect and first-level interconnects according to one or more embodiments of the invention.
  • The diagrams depicted herein are illustrative. There can be many variations to the diagrams or the operations described therein without departing from the spirit of the invention. For instance, the actions can be performed in a differing order or actions can be added, deleted or modified.
  • In the accompanying figures and following detailed description of the described embodiments of the invention, the various elements illustrated in the figures are provided with two or three-digit reference numbers. With minor exceptions, the leftmost digit(s) of each reference number correspond to the figure in which its element is first illustrated.
  • DETAILED DESCRIPTION
  • It is understood in advance that although example embodiments of the invention are described in connection with a particular interconnect architecture, embodiments of the invention are not limited to the particular interconnect architectures or materials described in this specification. Rather, embodiments of the present invention are capable of being implemented in conjunction with any other type of interconnect architecture or materials now known or later developed.
  • For the sake of brevity, conventional techniques related to semiconductor device and integrated circuit (IC) fabrication may or may not be described in detail herein. Moreover, the various tasks and process steps described herein can be incorporated into a more comprehensive procedure or process having additional steps or functionality not described in detail herein. In particular, various steps in the manufacture of semiconductor devices and semiconductor-based ICs are well known and so, in the interest of brevity, many conventional steps will only be mentioned briefly herein or will be omitted entirely without providing the well-known process details.
  • Turning now to an overview of technologies that are more specifically relevant to aspects of the present invention, ICs are fabricated in a series of stages, including a front-end-of-line (FEOL) stage, a middle-of-line (MOL) stage, and a back-end-of-line (BEOL) stage. The process flows for fabricating modern ICs are often identified based on whether the process flows fall in the FEOL stage, the MOL stage, or the BEOL stage. Generally, the FEOL stage is where device elements (e.g., transistors, capacitors, resistors, etc.) are patterned in the semiconductor substrate/wafer. The FEOL stage processes include wafer preparation, isolation, gate patterning, and the formation of wells, source/drain (S/D) regions, extension junctions, silicide regions, and liners. The MOL stage typically includes process flows for forming the contacts (e.g., CA) and other structures that communicatively couple to active regions (e.g., gate, source, and drain) of the device element. For example, the silicidation of source/drain regions, as well as the deposition of metal contacts, can occur during the MOL stage to connect the elements patterned during the FEOL stage.
  • Layers of interconnections (e.g., metallization layers) include conductive lines that are formed above the logical and functional layers during the BEOL stage to complete the IC. Most ICs need more than one metallization, and as many as 5-12 layers can be formed in the BEOL process. The various BEOL layers and/or individual conductive lines are interconnected by conductive vias. The combination of conductive lines and conductive vias form a wiring interconnect network that establishes all the necessary connections of the interconnect structure.
  • In multilayered interconnect structures described herein, “M” layers (e.g., M1 layer, M2 layer, etc.) denote metal line layers, while “V” layers denote conductive vias formed between adjacent M layers (e.g., V1 is between the M1 and M2 layers). As used herein, a “top via” refers to a “Vx” layer via which is integrally formed from patterning the line below (an “Mx” layer) and which electrically couples the line below (an “Mx” layer) to a line above (an “Mx+1” layer).
  • Insulating dielectric materials are used throughout the layers of an IC to perform a variety of functions, including stabilizing the IC structure and providing electrical isolation of the IC elements. For example, one or more conductive lines in the BEOL region of the IC are isolated by dielectric layers to prevent the conductive lines from creating a short circuit. One or more conductive vias are typically formed in the dielectric layers to establish a connection between a conductive line formed at a first layer and another conductive line formed at a second layer.
  • The continued scaling of semiconductor devices has resulted in challenging fabrication requirements, especially when fabricating ever smaller metallization layers. To increase the number of circuits that can be provided on a chip, the semiconductor industry has repeatedly shrunk the transistor gate length and the chip size. As a consequence, the interconnect structure that forms the metallic circuitry has also shrunk. As the integrated circuit (IC) footprint continues to decrease, structural elements (lines, vias, etc.) and spacing tolerances (i.e., feature to feature spacing) also decrease, complicating the manufacturing process.
  • Fabricating intricate elements (e.g., conductive lines and vias) of interconnect structures within increasingly smaller wafer footprints is one of the most process-intensive and cost-sensitive portions of semiconductor IC fabrication. Advanced BEOL processes incorporate phase-shifting, optical proximity correction, and other practices to satisfy these scaling demands, and can achieve a line to line pitch below 30 nm. There are challenges, however, in fabricating advanced interconnects having a line to line pitch below 30 nm. For example, high-k etch stop layers are typically interposed between a given pair of dielectric layers to properly etch the conductive vias. However, reducing the footprint of the interconnect structures increases an undesirable capacitance effect resulting from electrical interactions between the metal lines, the high-k etch stop layer, and the dielectric layers.
  • In addition, conventional fabrication processes used to form an interconnect structure typically deposit a second dielectric layer on the underlying dielectric layer, and then perform via/line trench and fill operations to form a conductive via and/or line in the second dielectric layer. The trench and fill operations, however, cause defects (e.g., cracks and weakened portions) in the second dielectric layer. These defects undesirably increase the dielectric constant of the second dielectric layer. As a result, the actual dielectric constant of the dielectric layer can vary or deviate from the intended or targeted dielectric constant.
  • Turning now to an overview of aspects of the present invention, one or more embodiments of the invention address the above-described challenges of the prior art by providing a new interconnect structure and a method fabricating the same, which excludes the conventional high-k etch stop layer between a stack of dielectric layers or metallization layers. In this manner, the undesirable capacitance effect produced by conventional interconnect devices can be significantly reduced or even eliminated altogether.
  • In addition, the interconnect structure according to embodiments of the invention described herein includes a replacement dielectric layer that embeds a conductive via therein. Because the replacement dielectric layer is deposited after formation of the conductive via, the replacement dielectric layer is not susceptible to damage caused by the conventional via/line formation processes. In this manner, a targeted dielectric constant value of the replacement dielectric layer can be maintained.
  • Turning now to a more detailed description of aspects of the present invention, FIG. 1 depicts a cross-sectional view of an intermediate interconnect structure 100 following one or more processing operations according to one or more embodiments of the invention. In the present specification and claims, an “intermediate” interconnect structure is defined as an interconnect structure in a stage of fabrication prior to a final stage.
  • Known fabrication operations have been used to form the interconnect structure 100 such that it includes one or more first-level interconnects 102 in a dielectric layer 104. The first-level interconnects 102 can include, but are not limited to, conductive lines and conductive vias. Although the first-level interconnects are described as conductive lines 102 going forward, it should be appreciated that one or more conductive vias can be formed in the dielectric layer 104. Further, while only two conductive lines 102 are shown, it should be appreciated that less or more conductive lines 102 can be included in the dielectric layer 104 without departing from the scope of the invention. The conductive lines 102 includes a conductive material formed or deposited in a trench in the dielectric layer 104 using known back-end-of-line (BEOL) processes. In some embodiments of the invention, the conductive lines 102 are overfilled above a surface of the trench (not shown), forming overburdens that can be removed using, for example, a chemical-mechanical planarization (CMP) process.
  • The conductive lines 102 can be made of any suitable conducting material, such as, for example, metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, copper, aluminum, platinum), alloys thereof (such as AlCu, CuMn, CuTi, or the like), conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tantalum carbide, titanium carbide, titanium aluminum carbide, tungsten silicide, tungsten nitride, cobalt silicide, nickel silicide), conductive carbon, or any suitable combination of these materials. In some embodiments of the invention, the conductive lines 102 are copper lines (copper interconnects). The conductive lines 102 can be formed or deposited using, for example, CVD, PECVD, PVD, sputtering, plating, chemical solution deposition, and electroless plating. In one or more embodiments of the invention, the conductive lines have a width extending parallel with the upper surface of the dielectric layer 104 ranging from about 5 nm to about 20 nm and a height extending orthogonal with respect to the width ranging from about 10 nm to about 50 nm
  • The dielectric layer 104 (sometimes referred to as an interlayer dielectric) serves as an isolation structure for electrically conductive elements formed therein (e.g., conductive lines, via, etc.). The dielectric layer 104 can be made of any suitable dielectric material, such as, for example, low-k dielectrics (materials having a small dielectric constant relative to silicon dioxide, i.e., less than about 3.9), porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials. Any known manner of forming the dielectric layer 104 can be utilized, such as, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD.
  • Turning to FIG. 2, the interconnect structure 100 is illustrated after forming a sacrificial etch stop layer 106 that covers the conductive lines 102 according to one or more embodiments of the invention. The sacrificial etch stop layer 106 can be made of, for example, a high-k material. As used herein, high-k materials are those having a large dielectric constant relative to silicon dioxide, i.e., more than about 3.9, and preferably more than about 7.0. In one or more non-limiting embodiments of the invention, the sacrificial etch stop layer 106 is formed directly on an upper surface of the dielectric layer 104 using, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD.
  • Examples of high-k materials include but are not limited to metal oxides such as hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium silicon oxynitride, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. The high-k materials can further include dopants such as lanthanum and aluminum. In some embodiments of the invention, the sacrificial etch stop layer 106 can be formed or deposited to a thickness of about 0.5 nm to about 25 nm, for example 10 nm, although other thicknesses are within the contemplated scope of the invention. By forming the sacrificial etch stop layer 106 from a high-k material, a selective etching process can be performed that allows the sacrificial etch stop layer 106 to be subsequently etched away and removed while preserving one or more remaining elements of the interconnect structure 100.
  • Referring to FIG. 3, the interconnect structure 100 is illustrated following deposition of a sacrificial dielectric layer 108 on an upper surface of the sacrificial etch stop layer 106 according to one or more embodiments of the invention. The sacrificial dielectric layer 108 can be made of a low-k dielectric material (e.g., materials having a small dielectric constant relative to silicon dioxide, i.e., less than about 3.9), porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials. Any known manner of forming the sacrificial dielectric layer 108 can be utilized, such as, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD. By forming the sacrificial dielectric layer 108 from a low-k material, a selective etching process can be performed that allows the sacrificial dielectric layer 108 to be subsequently etched away and removed while preserving the underlying sacrificial etch stop layer 106.
  • Turning now to FIG. 4, the interconnect structure 100 is illustrated after forming a trench 110 in the sacrificial dielectric layer 108 according to one or more embodiments of the invention. In some embodiments of the invention, portions of the sacrificial dielectric layer 108 and portions of the sacrificial etch stop layer 106 are removed (patterned) to form the trench 110, which exposes a surface of one or more conductive lines 102. In one or more embodiments of the invention, the trench 110 has a width extending parallel with the upper surface of the sacrificial dielectric layer 108 ranging from about 5 nm to about 20 nm and a height extending orthogonal with respect to the width ranging from about 10 nm to about 50 nm
  • The sacrificial dielectric layer 108 and the sacrificial etch stop layer 106 can be patterned using a wet etch, a dry etch, or a combination of sequential wet and/or dry etches. In some embodiments of the invention, the sacrificial dielectric layer 108 is removed selective to the sacrificial etch stop layer 106 and the exposed portion of the sacrificial etch stop layer 106 is removed to expose the surface of an underlying conductive line 102. In one or more non-limiting embodiments of the invention, an etching processes including fluorine-containing chemistries can etch low-k materials such as, for example, SiO2, selective to etch stop materials such as, for example, AlN.
  • Referring to FIG. 5, the interconnect structure 100 is illustrated after filling the trench 110 with a conductive material according to one or more embodiments of the invention. Accordingly, a second-level interconnect 112 is formed at a second level of the interconnect structure 100. The second-level interconnect 112 can include, but is not limited to, a conductive via and/or a conductive line. Although the second-level interconnect is described as conductive via 112 going forward, it should be appreciated that one or more conductive lines can be formed in the second-level of the interconnect structure. Further, while only one conductive via 112 is shown, it should be appreciated that more conductive vias 112 can be formed without departing from the scope of the invention.
  • In one or more non-limiting embodiments of the invention, the conductive via 112 can be formed by filling the trench 110 with a conductive material. Accordingly, the conductive via 112 can be formed such that it directly contacts one or more underlying conductive lines 102. Although a conductive via 112 is described above, it should be appreciated that similar processes can be used to form a conductive line in the sacrificial dielectric layer 108.
  • In one or more non-limiting embodiments of the invention, the metal material of the conductive via includes, but is not limited to, copper or a non-copper metal (e.g., tungsten, titanium, tantalum, ruthenium, zirconium, cobalt, aluminum, platinum), alloys thereof, conducting metallic compound material (e.g., tantalum nitride, titanium nitride, tantalum carbide, titanium carbide, titanium aluminum carbide, tungsten silicide, tungsten nitride, cobalt silicide, nickel silicide), conductive carbon, or any suitable combination of these materials. In some embodiments of the invention, the conductive via 112 is formed from a same material as the conductive line 102. For example, the conductive via 112 and the conductive line 102 can be made cobalt or ruthenium. In some embodiments of the invention, the conductive via 112 and the conductive line 102 are made of different conductive materials. For example, the conductive via 112 can be made cobalt or ruthenium and the conductive line 102 can be made of copper. In some embodiments of the invention, the trench 110 is overfilled above a surface of the sacrificial dielectric layer 108, forming overburdens that can be removed using, for example, a CMP process.
  • Accordingly, the upper surface of the conductive via 112 can be formed flush (i.e., co-planar) with respect to the upper surface of the sacrificial dielectric layer 108.
  • Turning now to FIG. 6, the interconnect structure 100 is illustrated after removing the sacrificial dielectric layer 108 (see FIG. 5) according to one or more embodiments of the invention. In one or more non-limiting embodiments of the invention, the sacrificial dielectric layer 108 is removed using a wet etch, a dry etch, or a combination of sequential wet and/or dry etches. In some embodiments of the invention, the sacrificial dielectric layer 108 is removed selective to the conductive via 112 and the selective etch stop layer 106. In one or more non-limiting embodiments of the invention, an etching process including fluorine (F)-containing chemistries can etch dielectrics such as low-k dielectrics, for example, selective to high-k materials and metal materials. Accordingly, the upper surface of the sacrificial etch stop layer 106 is exposed, along with a portion of the sidewalls and upper surface of the conductive via 112.
  • Referring to FIG. 7, the interconnect structure 100 is illustrated after removing the sacrificial etch stop layer 106 (see FIG. 6) according to a non-limiting embodiment of the invention. In one or more non-limiting embodiments of the invention, the sacrificial etch stop layer 106 is removed selective to the conductive via 112, the underlying dielectric layer 104, and the conductive lines 102. In one or more non-limiting embodiments of the invention, an etching process including a modified fluorine (F)-containing chemistry can etch the sacrificial etch stop layer 106 selective to the conductive via 112. Accordingly, the sidewalls and upper surface of the conductive via 112 are completely exposed, along with surfaces of the conductive lines 102 and the dielectric layer 104.
  • Turning now to FIG. 8, the interconnect structure 100 is illustrated following deposition of a replacement dielectric layer 114 according to a non-limiting embodiment of the invention. In one or more non-limiting embodiments of the invention, the replacement dielectric layer 114 is deposited directly on the upper surface of the dielectric layer 104 to cover the conductive lines 102 and embed the conductive via 112 therein. The replacement dielectric layer 114 can be deposited using, for example, CVD, PECVD, ALD, flowable CVD, spin-on dielectrics, or PVD, and can be formed having any suitable thickness or height. In some embodiments of the invention, the replacement dielectric layer 114 is deposited to a height of about 50 nm above the surface of the underlying dielectric layer 104, although other heights are within the contemplated scope of the invention. In one or more non-limiting embodiments of the invention, the replacement dielectric layer 114 can be deposited at a height that extends above the conductive via 112. A CMP process can then be performed that stops on an upper surface of the conductive via 112. Accordingly, the upper surface of the conductive via 112 can be formed flush (i.e., co-planar) with respect to the upper surface of the replacement dielectric layer 114.
  • The replacement dielectric layer 114 can be made of various dielectric materials, such as, for example, low-k dielectrics, ultra-low-k dielectrics, porous silicates, carbon doped oxides, silicon dioxides, silicon nitrides, silicon oxynitrides, silicon carbide (SiC), or other dielectric materials. In some embodiments of the invention, the replacement dielectric layer 114 is the same material as the underlying dielectric layer 104, forming a continuous dielectric region.
  • As discussed previously herein, conventional techniques of forming a conductive line or via in a dielectric layer can cause defects (e.g., cracks, weakened portions, etc.) in the dielectric layer, which can undesirably increase the dielectric constant of the dielectric layer beyond a targeted dielectric constant value. Advantageously, the replacement dielectric layer 114 serves to replace the sacrificial dielectric layer 108. The replacement dielectric layer 114, however, excludes etch-induced damages or defects adjacent to (e.g., extending from or contacting the conductive via 112). That is, because the replacement dielectric layer 114 is formed after the conductive via 112, no etch-induced damages or defects are formed in the vicinity of the conductive via 112 while also allowing for the replacement dielectric layer 114 to achieve a targeted dielectric constant. In some embodiments of the invention, maintaining the targeted dielectric layer allows for forming the underlying dielectric layer 104 with a first dielectric constant value (e.g., that is less than 3.9), while forming the replacement dielectric layer 114 with a second dielectric constant value (e.g., that is less than 3.9). In other embodiments of the invention, maintaining the targeted dielectric layer allows for forming a continuous dielectric region (defined by the underlying dielectric layer 104 and the replacement dielectric layer 114) having a non-varying dielectric constant value. In some embodiments, the continuous dielectric region defined by the underlying dielectric layer 104 and the replacement dielectric layer 114 is formed of the same dielectric material. However, the dielectric constant value of the continuous dielectric region does not vary with respect to the underlying dielectric layer 104 because the replacement dielectric layer 114 is formed after the conductive via 112 such that no etch-induced damages or defects are formed therein.
  • As described herein, various non-limiting embodiments of the invention provide a new multilevel interconnect structure that includes a wiring interconnect network, while completely excluding an etch stop layer between a first dielectric layer at a first level and a second dielectric layer located at an immediate lower level or upper level. In this manner, the undesirable capacitance effect produced by conventional interconnect devices can be significantly reduced or even eliminated altogether.
  • In addition, the interconnect structure according to embodiments of the invention described herein includes a replacement dielectric layer that embeds a conductive via therein. Because the replacement dielectric layer is deposited after formation of the conductive via, the replacement dielectric layer is not susceptible to damage caused by the conventional via/line formation processes. In this manner, a targeted dielectric constant value of the replacement dielectric layer can be maintained
  • The methods and resulting structures described herein can be used in the fabrication of IC chips. The resulting IC chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes IC chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • Various embodiments of the present invention are described herein with reference to the related drawings. Alternative embodiments can be devised without departing from the scope of this invention. Although various connections and positional relationships (e.g., over, below, adjacent, etc.) are set forth between elements in the following description and in the drawings, persons skilled in the art will recognize that many of the positional relationships described herein are orientation-independent when the described functionality is maintained even though the orientation is changed. These connections and/or positional relationships, unless specified otherwise, can be direct or indirect, and the present invention is not intended to be limiting in this respect. Similarly, the term “coupled” and variations thereof describes having a communications path between two elements and does not imply a direct connection between the elements with no intervening elements/connections between them. All of these variations are considered a part of the specification. Accordingly, a coupling of entities can refer to either a direct or an indirect coupling, and a positional relationship between entities can be a direct or indirect positional relationship. As an example of an indirect positional relationship, references in the present description to forming layer “A” over layer “B” include situations in which one or more intermediate layers (e.g., layer “C”) is between layer “A” and layer “B” as long as the relevant characteristics and functionalities of layer “A” and layer “B” are not substantially changed by the intermediate layer(s).
  • The following definitions and abbreviations are to be used for the interpretation of the claims and the specification. As used herein, the terms “comprises,” “comprising,” “includes,” “including,” “has,” “having,” “contains” or “containing,” or any other variation thereof, are intended to cover a non-exclusive inclusion. For example, a composition, a mixture, process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but can include other elements not expressly listed or inherent to such composition, mixture, process, method, article, or apparatus.
  • Additionally, the term “exemplary” is used herein to mean “serving as an example, instance or illustration.” Any embodiment or design described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other embodiments or designs. The terms “at least one” and “one or more” are understood to include any integer number greater than or equal to one, i.e. one, two, three, four, etc. The terms “a plurality” are understood to include any integer number greater than or equal to two, i.e. two, three, four, five, etc. The term “connection” can include an indirect “connection” and a direct “connection.”
  • References in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” etc., indicate that the embodiment described can include a particular feature, structure, or characteristic, but every embodiment may or may not include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • For purposes of the description hereinafter, the terms “upper,” “lower,” “right,” “left,” “vertical,” “horizontal,” “top,” “bottom,” and derivatives thereof shall relate to the described structures and methods, as oriented in the drawing figures. The terms “overlying,” “atop,” “on top,” “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements such as an interface structure can be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • Spatially relative terms, e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like, are used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (e.g., rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein should be interpreted accordingly.
  • The terms “about,” “substantially,” “approximately,” and variations thereof, are intended to include the degree of error associated with measurement of the particular quantity based upon the equipment available at the time of filing the application. For example, “about” can include a range of ±8% or 5%, or 2% of a given value.
  • The phrase “selective to,” such as, for example, “a first element selective to a second element,” can refer to an etching process capable of etching a first element while preserving a second element or without substantially etching the second element. In other instances, the phrase “selective to” means that the first element can be etched and the second element can act as an etch stop.
  • The term “conformal” (e.g., a conformal layer or a conformal deposition) means that the thickness of the layer is substantially the same on all surfaces, or that the thickness variation is less than 15% of the nominal thickness of the layer.
  • The terms “epitaxial growth and/or deposition” and “epitaxially formed and/or grown” mean the growth of a semiconductor material (crystalline material) on a deposition surface of another semiconductor material (crystalline material), in which the semiconductor material being grown (crystalline overlayer) has substantially the same crystalline characteristics as the semiconductor material of the deposition surface (seed material). In an epitaxial deposition process, the chemical reactants provided by the source gases can be controlled and the system parameters can be set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move about on the surface such that the depositing atoms orient themselves to the crystal arrangement of the atoms of the deposition surface. An epitaxially grown semiconductor material can have substantially the same crystalline characteristics as the deposition surface on which the epitaxially grown material is formed. For example, an epitaxially grown semiconductor material deposited on a {100} orientated crystalline surface can take on a {100} orientation. In some embodiments of the invention, epitaxial growth and/or deposition processes can be selective to forming on semiconductor surface, and may or may not deposit material on exposed surfaces, such as silicon dioxide or silicon nitride surfaces.
  • As previously noted herein, for the sake of brevity, conventional techniques related to semiconductor device and integrated circuit (IC) fabrication may or may not be described in detail herein. By way of background, however, a more general description of the semiconductor device fabrication processes that can be utilized in implementing one or more embodiments of the present invention will now be provided. Although specific fabrication operations used in implementing one or more embodiments of the present invention can be individually known, the described combination of operations and/or resulting structures of the present invention are unique. Thus, the unique combination of the operations described in connection with the fabrication of a semiconductor device according to the present invention utilize a variety of individually known physical and chemical processes performed on a semiconductor (e.g., silicon) substrate, some of which are described in the immediately following paragraphs.
  • In general, the various processes used to form a micro-chip that will be packaged into an IC fall into four general categories, namely, film deposition, removal/etching, semiconductor doping and patterning/lithography. Deposition is any process that grows, coats, or otherwise transfers a material onto the wafer. Available technologies include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), molecular beam epitaxy (MBE) and more recently, atomic layer deposition (ALD) among others. Removal/etching is any process that removes material from the wafer. Examples include etch processes (either wet or dry), chemical-mechanical planarization (CMP), and the like. Reactive ion etching (ME), for example, is a type of dry etching that uses chemically reactive plasma to remove a material, such as a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface. The plasma is typically generated under low pressure (vacuum) by an electromagnetic field. Semiconductor doping is the modification of electrical properties by doping, for example, transistor sources and drains, generally by diffusion and/or by ion implantation. These doping processes are followed by furnace annealing or by rapid thermal annealing (RTA). Annealing serves to activate the implanted dopants. Films of both conductors (e.g., poly-silicon, aluminum, copper, etc.) and insulators (e.g., various forms of silicon dioxide, silicon nitride, etc.) are used to connect and isolate transistors and their components. Selective doping of various regions of the semiconductor substrate allows the conductivity of the substrate to be changed with the application of voltage. By creating structures of these various components, millions of transistors can be built and wired together to form the complex circuitry of a modern microelectronic device. Semiconductor lithography is the formation of three-dimensional relief images or patterns on the semiconductor substrate for subsequent transfer of the pattern to the substrate. In semiconductor lithography, the patterns are formed by a light sensitive polymer called a photo-resist. To build the complex structures that make up a transistor and the many wires that connect the millions of transistors of a circuit, lithography and etch pattern transfer steps are repeated multiple times. Each pattern being printed on the wafer is aligned to the previously formed patterns and slowly the conductors, insulators and selectively doped regions are built up to form the final device.
  • The flowchart and block diagrams in the Figures illustrate possible implementations of fabrication and/or operation methods according to various embodiments of the present invention. Various functions/operations of the method are represented in the flow diagram by blocks. In some alternative implementations, the functions noted in the blocks can occur out of the order noted in the Figures. For example, two blocks shown in succession can, in fact, be executed substantially concurrently, or the blocks can sometimes be executed in the reverse order, depending upon the functionality involved.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments described. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments described herein.

Claims (8)

What is claimed is:
1. An interconnect structure comprising:
at least one first-level interconnect in a dielectric layer; and
at least one second-level interconnect in a replacement dielectric layer stacked on the dielectric layer,
wherein the replacement dielectric layer directly contacts the dielectric layer.
2. The interconnect structure of claim 1, wherein the replacement dielectric layer excludes etch-induced damages or defects adjacent to the conductive via.
3. The interconnect structure of claim 2, wherein the at least one second-level interconnect directly contacts the at least one first-level interconnect.
4. The interconnect structure of claim 3, wherein the interconnect structure completely excludes an etch stop layer between the dielectric layer and the replacement dielectric layer.
5. The interconnect structure of claim 2, wherein the dielectric layer has a first dielectric constant value that is less than 3.9.
6. The interconnect structure of claim 5, wherein the replacement dielectric layer has a second dielectric constant value that is less than the first dielectric constant.
7. The interconnect structure of claim 6, wherein the at least one first-level interconnect and the at least one second-level interconnect each comprise a metal material.
8. The interconnect structure of claim 2, wherein the at least one first-level interconnect is a conductive line comprising a metal material and the at least one second-level interconnect is a conductive via comprising a metal material.
US17/479,045 2020-04-17 2021-09-20 Etch stop layer removal for capacitance reduction in damascene top via integration Pending US20220005731A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/479,045 US20220005731A1 (en) 2020-04-17 2021-09-20 Etch stop layer removal for capacitance reduction in damascene top via integration

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/851,167 US11177166B2 (en) 2020-04-17 2020-04-17 Etch stop layer removal for capacitance reduction in damascene top via integration
US17/479,045 US20220005731A1 (en) 2020-04-17 2021-09-20 Etch stop layer removal for capacitance reduction in damascene top via integration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/851,167 Division US11177166B2 (en) 2020-04-17 2020-04-17 Etch stop layer removal for capacitance reduction in damascene top via integration

Publications (1)

Publication Number Publication Date
US20220005731A1 true US20220005731A1 (en) 2022-01-06

Family

ID=78082181

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/851,167 Active US11177166B2 (en) 2020-04-17 2020-04-17 Etch stop layer removal for capacitance reduction in damascene top via integration
US17/479,045 Pending US20220005731A1 (en) 2020-04-17 2021-09-20 Etch stop layer removal for capacitance reduction in damascene top via integration

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/851,167 Active US11177166B2 (en) 2020-04-17 2020-04-17 Etch stop layer removal for capacitance reduction in damascene top via integration

Country Status (1)

Country Link
US (2) US11177166B2 (en)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US6180509B1 (en) * 1995-07-28 2001-01-30 Stmicroelectronics, Inc. Method for forming planarized multilevel metallization in an integrated circuit
WO2001056077A1 (en) * 2000-01-28 2001-08-02 Advanced Micro Devices, Inc. Method of fabricating copper interconnections in semiconductor devices
US6383919B1 (en) * 2001-02-07 2002-05-07 Advanced Micro Devices, Inc. Method of making a dual damascene structure without middle stop layer
US6518646B1 (en) * 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US20130234336A1 (en) * 2012-03-12 2013-09-12 Globalfoundries Inc. Processes for forming integrated circuits and integrated circuits formed thereby
US20190147919A1 (en) * 2012-03-30 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for High Speed ROM Cells

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW377501B (en) 1997-09-08 1999-12-21 United Microelectronics Corp Method of dual damascene
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6245663B1 (en) 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6133144A (en) 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
TW440908B (en) 2000-03-27 2001-06-16 Mosel Vitelic Inc Method for forming a self-aligned contact
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
KR20020016098A (en) 2000-08-24 2002-03-04 윤종용 Method for forming a metal line of semiconductor device using a damascene process
KR100460771B1 (en) 2001-06-30 2004-12-09 주식회사 하이닉스반도체 Method of fabricating multi-level interconnects by dual damascene process
US6562711B1 (en) 2002-06-28 2003-05-13 Intel Corporation Method of reducing capacitance of interconnect
US8611055B1 (en) 2009-07-31 2013-12-17 Western Digital (Fremont), Llc Magnetic etch-stop layer for magnetoresistive read heads

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180509B1 (en) * 1995-07-28 2001-01-30 Stmicroelectronics, Inc. Method for forming planarized multilevel metallization in an integrated circuit
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
WO2001056077A1 (en) * 2000-01-28 2001-08-02 Advanced Micro Devices, Inc. Method of fabricating copper interconnections in semiconductor devices
US6383919B1 (en) * 2001-02-07 2002-05-07 Advanced Micro Devices, Inc. Method of making a dual damascene structure without middle stop layer
US6518646B1 (en) * 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US20130234336A1 (en) * 2012-03-12 2013-09-12 Globalfoundries Inc. Processes for forming integrated circuits and integrated circuits formed thereby
US20190147919A1 (en) * 2012-03-30 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for High Speed ROM Cells

Also Published As

Publication number Publication date
US20210327751A1 (en) 2021-10-21
US11177166B2 (en) 2021-11-16

Similar Documents

Publication Publication Date Title
US11232977B2 (en) Stepped top via for via resistance reduction
US11823998B2 (en) Top via with next level line selective growth
US11205587B2 (en) Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
US11114382B2 (en) Middle-of-line interconnect having low metal-to-metal interface resistance
US11139201B2 (en) Top via with hybrid metallization
US11171051B1 (en) Contacts and liners having multi-segmented protective caps
US10832961B1 (en) Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
US11830807B2 (en) Placing top vias at line ends by selective growth of via mask from line cut dielectric
US20220028785A1 (en) Top via interconnect having a line with a reduced bottom dimension
US11302637B2 (en) Interconnects including dual-metal vias
US11177166B2 (en) Etch stop layer removal for capacitance reduction in damascene top via integration
US11195795B1 (en) Well-controlled edge-to-edge spacing between adjacent interconnects
US10741441B2 (en) Collar formation for chamfer-less and chamfered vias
US11244859B2 (en) Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line
US20230085494A1 (en) Back-end-of-line single damascene top via spacer defined by pillar mandrels
US20230197506A1 (en) Decoupled interconnects
US11075161B2 (en) Large via buffer
US11676892B2 (en) Three-dimensional metal-insulator-metal capacitor embedded in seal structure
US20230231707A1 (en) Three-dimensional metal-insulator-metal capacitor embedded in seal structure
US11682617B2 (en) High aspect ratio vias for integrated circuits
US11201112B2 (en) Fully-aligned skip-vias
US11152299B2 (en) Hybrid selective dielectric deposition for aligned via integration

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PENNY, CHRISTOPHER J.;ANDERSON, BRENT;CLEVENGER, LAWRENCE A.;AND OTHERS;SIGNING DATES FROM 20200413 TO 20200416;REEL/FRAME:057528/0522

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCV Information on status: appeal procedure

Free format text: NOTICE OF APPEAL FILED