US20210391185A1 - Surface Smoothing of Workpieces - Google Patents

Surface Smoothing of Workpieces Download PDF

Info

Publication number
US20210391185A1
US20210391185A1 US17/459,070 US202117459070A US2021391185A1 US 20210391185 A1 US20210391185 A1 US 20210391185A1 US 202117459070 A US202117459070 A US 202117459070A US 2021391185 A1 US2021391185 A1 US 2021391185A1
Authority
US
United States
Prior art keywords
plasma
gas
containing gas
workpiece
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/459,070
Inventor
Qi Zhang
Xinliang Lu
Hua Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Priority to US17/459,070 priority Critical patent/US20210391185A1/en
Publication of US20210391185A1 publication Critical patent/US20210391185A1/en
Assigned to MATTSON TECHNOLOGY, INC., BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD reassignment MATTSON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MATTSON TECHNOLOGY, INC.
Assigned to MATTSON TECHNOLOGY, INC. reassignment MATTSON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUNG, HUA, LU, XINLIANG, ZHANG, QI
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electrochemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

Apparatus, systems, and methods for processing workpieces are provided. In one example implementation, a fluorine and oxygen plasma-based process can be used to smooth a roughened surface of a silicon and/or a silicon containing structure. The process can include generating species from a process gas using an inductive coupling element in a first chamber. The process can include introducing a fluorine containing gas and an oxygen containing gas with the species to create a mixture. The process can further include exposing the silicon and/or the silicon containing structure to the mixture such that the mixture at least partially etches a roughened portion to leave a smoother surface of the silicon and/or the silicon containing structure.

Description

    PRIORITY CLAIM
  • The present application claims the benefit of priority of U.S. Provisional Application Ser. No. 62/783,517 titled “Surface Smoothing of Workpieces,” filed on Dec. 21, 2018, which is incorporated herein by reference. The present application claims the benefit of priority of U.S. Provisional Application Ser. No. 62/832,055, titled “Surface Smoothing of Workpieces,” filed on Apr. 10, 2019, which is incorporated herein by reference.
  • FIELD
  • The present disclosure relates generally to semiconductor processing and more particularly, surface treatment processes for smoothing a surface of a workpiece.
  • BACKGROUND
  • The processing of semiconductor workpieces can involve the deposition and removal of different materials layers on a substrate. Device dimension and materials thickness continue to decrease in semiconductor processing with shrinking critical dimensions in semiconductor devices. In advanced device nodes, materials surface properties, such as roughness, and interface integrity become increasingly critical to device performance
  • SUMMARY
  • Aspects and advantages of the invention will be set forth in part in the following description, or may be obvious from the description, or may be learned through practice of the invention.
  • One example aspect of the present disclosure is directed to a method for processing a workpiece. The workpiece can include a silicon containing layer. A surface of the silicon containing layer can include a roughened portion. The method can include generating species from a process gas using an inductive coupling element in a first chamber; providing a fluorine-containing gas and an oxygen-containing gas into the species to generate a mixture; and exposing the surface of the silicon containing layer to the mixture such that the mixture at least partially etches the roughened portion to leave a smoother surface of the silicon containing layer.
  • Variations and modifications can be made to example embodiments of the present disclosure.
  • These and other features, aspects and advantages of the present invention will become better understood with reference to the following description and appended claims. The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Detailed discussion of embodiments directed to one of ordinary skill in the art are set forth in the specification, which makes reference to the appended figures, in which:
  • FIG. 1 depicts an example surface smoothing process on a structure according to example embodiments of the present disclosure;
  • FIG. 2 depicts an example surface smoothing process on a structure according to example embodiments of the present disclosure;
  • FIG. 3 depicts an example surface smoothing process on a structure according to example embodiments of the present disclosure;
  • FIG. 4 depicts an example plasma processing apparatus according to example embodiments of the present disclosure;
  • FIG. 5 depicts a flow diagram of an example method according to example embodiments of the present disclosure;
  • FIG. 6 depicts a flow diagram of an example method according to example embodiments of the present disclosure;
  • FIG. 7 depicts example introduction of fluorine containing gas and oxygen containing gas using post-plasma gas injection according to example embodiments of the present disclosure;
  • FIG. 8 depicts an example plasma processing apparatus according to example embodiments of the present disclosure;
  • FIG. 9 depicts an example plasma processing apparatus according to example embodiments of the present disclosure; and
  • FIG. 10 depicts an example surface roughness improvement as a function of etch amount.
  • DETAILED DESCRIPTION
  • Reference now will be made in detail to embodiments, one or more examples of which are illustrated in the drawings. Each example is provided by way of explanation of the embodiments, not limitation of the present disclosure. In fact, it will be apparent to those skilled in the art that various modifications and variations can be made to the embodiments without departing from the scope or spirit of the present disclosure. For instance, features illustrated or described as part of one embodiment can be used with another embodiment to yield a still further embodiment. Thus, it is intended that aspects of the present disclosure cover such modifications and variations.
  • Example aspects of the present disclosure are directed to methods for processing a workpiece to at least partially remove a roughened surface on the workpiece to leave a smoother surface. Silicon and/or silicon containing structures can sometimes suffer from increased surface roughness after etching. For instance, various processes, such as fluorine-containing and/or oxygen-containing chemistry can be used for etching silicon or silicon containing materials (e.g., SiGe). However, some etch processes can leave significant roughness on the materials surface which can impact interface properties and device performance.
  • According to example aspects of the present disclosure, a fluorine and oxygen plasma-based process can be used to smooth the roughened surface of the silicon and/or silicon containing structure. More particularly, a fluorine and oxygen plasma-based process can be used to detail a soft trim by oxidizing and etching the surface layer simultaneously to improve surface roughness.
  • In some embodiments, the process can include dissociating an inert gas (e.g., He, Ar, Xe, Ne, etc.) in a plasma chamber (e.g., using an inductively coupled plasma source). The process can include mixing the inert gas with a fluorine-containing gas and an oxygen-containing gas to form fluorine radicals and oxygen radicals. The fluorine radicals and the oxygen radicals can be exposed to the workpiece for smoothing of a silicon and/or silicon-containing structure or other surface in the workpiece.
  • In some embodiments, the fluorine radicals and/or the oxygen radicals can be generated in the plasma chamber that is separated from a processing chamber by a separation grid. The workpiece can be located in the processing chamber. The radicals generated in the plasma chamber can pass through the separation grid (e.g., as neutral species) for exposure to the workpiece in the processing chamber.
  • The fluorine radicals and the oxygen radicals can by generated by inducing a plasma from a process gas in the plasma chamber using an inductively coupled plasma source. The process gas can be a mixture comprising a fluorine containing gas, an oxygen containing gas, and/or a carrier gas. The fluorine containing gas can be, for instance, tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy (e.g., x and y are positive integers), etc. The oxygen containing gas can be, for instance, oxygen (O2), water vapor (H2O), or nitrous oxide (N2O), etc. The carrier gas (also referred to as an insert gas) can be, for instance, helium (He), argon (Ar), xenon (Xe), neon (Ne), nitrogen (N2), etc.
  • In some embodiments, the fluorine radicals and/or oxygen radicals can be generated by injecting fluorine containing gas and/or oxygen containing gas with species excited by a plasma source (e.g., excited inert gas species) at a downstream location of the plasma chamber. For instance, the fluorine containing gas and/or the oxygen containing gas can be injected at or below the separation grid using post plasma gas injection.
  • One example application of the process according to example embodiments of the present disclosure can be performed during direct etching of silicon and/or silicon containing structures. For instance, an etching process can be implemented using fluorine-containing, oxygen-containing, and inert gas. The process can leave a smoother surface with an oxidation layer on top of the surface. The oxidation layer can be removed, for instance, by a wet process, e.g., hydrofluoric acid (HF) dip, or removed by a dry etch process (e.g., plasma based process).
  • Another example application can be for surface treatment of a roughened silicon or silicon containing surface, such as crystalline silicon, polysilicon, or silicon germanium. The roughened surface can be induced by a previous etch process or deposition process (e.g., wet etch process or a dry etch process). The roughed surface can be treated using a remote plasma (e.g., plasma generated in a remote plasma source separated from a processing chamber by a separation grid). The plasma can be based on fluorine-containing and/or oxygen-containing gases. The process can mitigate surface roughness with some material loss.
  • In some embodiments, the materials losses and the smoothing effect using the process(s) according to example embodiments of the present disclosure can be balanced. For instance, material losses can be increased to provide a smoother surface. Less material losses can result in less smoothing effect. As one example, silicon surface roughness can be reduced by 30% with less silicon loss relative to achieving a pristine silicon surface with more material loss.
  • In some embodiments, the method can include generating fluorine (F), oxygen (O), carbon (C) or nitrogen (N) radicals with some bonds among those species. During reaction of the roughed surface with those species, there can also be a surface layer formed with composition (e.g., represented by the formula SiOxFyCz, where x, y, z are positive integers). On the rough surface, a concave area may have a thicker formed surface layer while the convex area has a thinner formed surface layer. With some process time, the concave area can be etched more relative to the convex area, making the surface smoother. The formed surface layer can be easily removed by a wet process like diluted HF dip and/or removed by a dry etch process. It can also possible to leave at the surface for the next step if the process flow allows.
  • The above process is an etching process, which removes Si (amorphous Si, poly Si, crystalline Si or SiGe), as well as a deposition process, which forms SiOxFyCz layers. The layer thickness can be closely related to etch amount, so the roughness improvement comes with a loss of Si materials.
  • Adjusting the process condition such as the flow ratio of the fluorine containing gas, the flow ratio of the oxygen containing gas, plasma power, process pressure, and/or process temperature can adjust the ratio between the amount of material loss and surface smoothness improvement. As a result, the surface smoothing effect can be enhanced by adjusting those process parameters.
  • In some embodiments, the surface smoothing is done on a vertical structure, rather than on a planer surface. For instance, the surface smoothing can be implemented on a Si or SiGe Fin structure for FINFET devices. Smoothing efficiency (and hence also the material loss) on some 3D structures need to be same at top and bottom. These can also be enhanced by adjusting the process conditions.
  • For some applications, the formed surface layer needs to remain on the surface with high quality. One measure of quality can be the etch rate vs an etch rate for thermal oxide. To improve the quality, process parameters such as flow ratio of the fluorine containing gas, the flow ratio of the oxygen containing gas, plasma power, process pressure, and/or process temperature can be tuned.
  • Example process parameters for one example implementation according to example embodiments of the present disclosure are provided below:
      • Workpiece Temperature: about 100° C. to about 600° C., such as about 150° C. to about 300° C.;
      • Pressure: about 100 mTorr to about 4 Torr; such as about 400 mTorr to about 800 mTorr;
      • CF4 Percentage in O2: about 0.1% to about 5%, such as 0.1% to about 1%;
      • Plasma Source Power: about 100 W to about 3000 W, such as about 400 W to about 1000 W.
  • One example aspect of the present disclosure is directed to a method for processing a workpiece. The workpiece can include a silicon containing layer (e.g., crystalline silicon, polysilicon, silicon germanium, or Fin structure for FINFET devices). A surface of the silicon containing layer can include one or more roughened portions. The method can include generating species from a process gas using an inductive coupling element in a first chamber, introducing a fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers) and an oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)) with the species to create a mixture (e.g., including fluorine radicals and oxygen radicals), exposing the surface of the silicon containing layer to the mixture such that the mixture etches the roughened portion to leave a smoother surface of the silicon containing layer.
  • In some embodiments, the roughened portion can include a concave area and a convex area. The concave area can be thicker than the convex area. As used herein, a roughened portion refers to a surface having concave and convex areas, even at a nanometer scale level. There is no requirement that the surface be intentionally roughened for a surface to be considered a roughened surface.
  • The mixture can etch the concave area more than the convex area to leave the smoother surface of the silicon containing layer. As used herein, a smoother surface results from or is left from a process (e.g., exposure to a mixture) when a surface roughness of the surface is reduced relative to prior implementation of the process.
  • In some embodiments, a concentration of the fluorine containing gas in the oxygen containing gas is in the range of about 0.1% to about 5%. In some embodiments, the process gas can include an inert gas (also referred to a carrier gas), e.g., helium (He), argon (Ar), xenon (Xe), neon (Ne), nitrogen (N2). In some embodiments, at least one of the fluorine containing gas and the oxygen containing gas can be part of the process gas. For instance, the workpiece can be in a second chamber that is separated from the first chamber by a separation grid. At least one of the fluorine containing gas and the oxygen containing gas can be introduced via a post-plasma gas injection source located at or below the separation grid. The at least one of the fluorine containing gas and the oxygen containing gas can be mixed with the species to create a filtered mixture for exposure to the workpiece.
  • In some embodiments, the mixture can oxidize and etch the roughened portion simultaneously to leave the smoother surface. In some embodiments, an oxidation layer can be formed on the smoother surface of the silicon containing layer. The method can further include a wet process (e.g., HF dip process) or a dry etch process to remove the oxidation layer. In some embodiments, the method can further include a deposition process such that a formed surface layer with a formula SiOxFyCz where x, y and z are positive integers remains on the workpiece. In some embodiments, the formed surface layer can be removed by a wet process or by a dry chemical etch process.
  • One example aspect of the present disclosure is directed to a plasma processing apparatus for processing a workpiece. The plasma processing apparatus can include a processing chamber having a workpiece support. The workpiece support can support the workpiece during plasma processing. The workpiece can include a silicon containing layer. A surface of the silicon containing layer can include one or more roughened portions. The plasma processing apparatus can further include a plasma chamber separated from the processing chamber by a separation grid. The plasma processing apparatus can include an inductive coupling element to induce a plasma in a process gas in the plasma chamber. The plasma processing apparatus can include a first gas source injecting a fluorine containing gas, and a second gas source injecting an oxygen containing gas. A mixture generated by mixing the fluorine containing gas and the oxygen containing gas with species generated in the plasma can pass through the separation grid to etch the one or more roughened portions to leave a smoother surface of the silicon containing layer.
  • Example aspects of the present disclosure provide a number of technical effects and benefits. For instance, a fluorine and oxygen containing plasma (e.g., an inductively coupled plasma source) can etch one or more roughened portions of a silicon containing structure layer (e.g., Fin structure for FINFET devices) to leave a smoother surface of the silicon containing structure with reduced silicon material loss. As such, a surface roughness of the silicon containing structure can be improved such that interface properties and device performance can be improved.
  • Aspects of the present disclosure are discussed with reference to a “workpiece” “wafer” or semiconductor wafer for purposes of illustration and discussion. Those of ordinary skill in the art, using the disclosures provided herein, will understand that the example aspects of the present disclosure can be used in association with any semiconductor substrate or other suitable substrate. In addition, the use of the term “about” in conjunction with a numerical value is intended to refer to within ten percent (10%) of the stated numerical value. A “pedestal” refers to any structure that can be used to support a workpiece.
  • FIG. 1 depicts an example surface smoothing process on a structure 50 according to example embodiments of the present disclosure. The structure 50 is a silicon containing structure (e.g., a FIN structure, crystalline silicon, polysilicon, or silicon germanium) with a roughened surface 52. The roughened surface 52 can include a convex area 54 and a concave area 56.
  • An etching process 60A according to example aspects of the present disclosure can be conducted on the structure 50 to remove one or more portions of the roughened surface 52. Fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers) and oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)) are introduced into the etching process 60A. For instance, the fluorine containing gas and oxygen containing gas can be part of a process gas. As another example, at least one of the fluorine containing gas and the oxygen containing gas can be introduced via a post-plasma gas injection source. The etching process 60A removes the roughened surface 52 and leaves a smoother surface 58. As such, a surface roughness can be improved while keeping critical dimension 59 loss small.
  • In some embodiments (not shown in FIG. 1), the concave area 56 can be thicker than the convex area 54. The etching process 60A can etch the concave area 55 more than the convex area 54 to leave the smoother surface 58 of the structure 50.
  • FIG. 2 depicts an example surface smoothing process on a structure 50 according to example embodiments of the present disclosure. The structure 50 is a silicon containing structure with a roughened surface 52.
  • An oxidation and etching process 60B according to example aspects of the present disclosure can be conducted on the structure 50 to remove one or more portions of the roughened surface 52. Fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers) and oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)) are introduced into the oxidation and etching process 60B. For instance, the fluorine containing gas and oxygen containing gas can be part of a process gas. As another example, at least one of the fluorine containing gas and the oxygen containing gas can be introduced via a post-plasma gas injection source. The oxidation and etching process 60B oxidizes and etches the roughened surface 52 simultaneously to leave a smoother surface 58 with an oxidation layer topmost. Subsequent to the oxidation and etching process 60B, a wet process or a dry etch process 70 is conducted on the structure 50 to remove the oxidation layer 62. As such, a surface roughness can be improved while keeping critical dimension 59 loss small.
  • FIG. 3 depicts an example surface smoothing process on a structure 50 according to example embodiments of the present disclosure. The structure 50 is a silicon containing structure with a roughened surface 52.
  • An etching and deposition process 60C according to example aspects of the present disclosure can be conducted on the structure 50 to remove one or more portions of the roughened surface 52. Fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers) and oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)) are introduced into the etching and deposition process 60C. For instance, the fluorine containing gas and oxygen containing gas can be part of a process gas. As another example, at least one of the fluorine containing gas and the oxygen containing gas can be introduced via a post-plasma gas injection source. The etching and deposition process 60C etches the roughened surface 52 and deposits a surface layer 64 with a formula SiOxFyCz where x, y and z are positive integers to leave a smoother surface 58. Subsequent to the etching and deposition process 60C, a wet process or a dry etch process 70 is conducted on the structure 50 to remove the surface layer 64. As such, a surface roughness can be improved while keeping critical dimension loss small.
  • FIG. 4 depicts an example plasma processing apparatus according to example embodiments of the present disclosure. As illustrated, plasma processing apparatus 100 includes a processing chamber 110 and a plasma chamber 120 that is separated from the processing chamber 110. Processing chamber 110 includes a workpiece support or pedestal 112 operable to support a workpiece 114 to be processed, such as a semiconductor wafer. In this example illustration, a plasma is generated in plasma chamber 120 (i.e., plasma generation region) by an inductively coupled plasma source 135 and desired species are channeled from the plasma chamber 120 to the surface of workpiece 114 through a separation grid assembly 200.
  • Aspects of the present disclosure are discussed with reference to an inductively coupled plasma source for purposes of illustration and discussion. Those of ordinary skill in the art, using the disclosures provided herein, will understand that any plasma source (e.g., inductively coupled plasma source, capacitively coupled plasma source, etc.) can be used without deviating from the scope of the present disclosure.
  • The plasma chamber 120 includes a dielectric side wall 122 and a ceiling 124. The dielectric side wall 122, ceiling 124, and separation grid 200 define a plasma chamber interior 125. Dielectric side wall 122 can be formed from a dielectric material, such as quartz and/or alumina. The inductively coupled plasma source 135 can include an induction coil 130 disposed adjacent the dielectric side wall 122 about the plasma chamber 120. The induction coil 130 is coupled to an RF power generator 134 through a suitable matching network 132. Process gases (e.g., a fluorine containing gas, an oxygen containing gas, and a carrier gas) can be provided to the chamber interior from gas supply 150 and annular gas distribution channel 151 or other suitable gas introduction mechanism. When the induction coil 130 is energized with RF power from the RF power generator 134, a plasma can be generated in the plasma chamber 120. In a particular embodiment, the plasma processing apparatus 100 can include an optional grounded Faraday shield 128 to reduce capacitive coupling of the induction coil 130 to the plasma.
  • As shown in FIG. 4, a separation grid 200 separates the plasma chamber 120 from the processing chamber 110. The separation grid 200 can be used to perform ion filtering from a mixture generated by plasma in the plasma chamber 120 to generate a filtered mixture. The filtered mixture can be exposed to the workpiece 114 in the processing chamber.
  • In some embodiments, the separation grid 200 can be a multi-plate separation grid. For instance, the separation grid 200 can include a first grid plate 210 and a second grid plate 220 that are spaced apart in parallel relationship to one another. The first grid plate 210 and the second grid plate 220 can be separated by a distance.
  • The first grid plate 210 can have a first grid pattern having a plurality of holes. The second grid plate 220 can have a second grid pattern having a plurality of holes. The first grid pattern can be the same as or different from the second grid pattern. Charged particles can recombine on the walls in their path through the holes of each grid plate 210, 220 in the separation grid. Neutral species (e.g., radicals) can flow relatively freely through the holes in the first grid plate 210 and the second grid plate 220. The size of the holes and thickness of each grid plate 210 and 220 can affect transparency for both charged and neutral particles.
  • In some embodiments, the first grid plate 210 can be made of metal (e.g., aluminum) or other electrically conductive material and/or the second grid plate 220 can be made from either an electrically conductive material or dielectric material (e.g., quartz, ceramic, etc.). In some embodiments, the first grid plate 210 and/or the second grid plate 220 can be made of other materials, such as silicon or silicon carbide. In the event a grid plate is made of metal or other electrically conductive material, the grid plate can be grounded. In some embodiments, the grid assembly can include a single grid with one grid plate.
  • As shown in FIG. 4, according to example aspects of the present disclosure, the apparatus 100 can include a gas delivery system 150 configured to deliver process gas to the plasma chamber 120, for instance, via gas distribution channel 151 or other distribution system (e.g., showerhead). The gas delivery system can include a plurality of feed gas lines 159. The feed gas lines 159 can be controlled using valves and/or mass flow controllers to deliver a desired amount of gases into the plasma chamber as process gas. As shown in FIG. 4, the gas delivery system 150 can include feed gas line(s) for delivery of a fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers), feed gas line(s) for delivery of an oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)), and feed gas line(s) for delivery of an inert gas (e.g., helium (He), argon (Ar), xenon (Xe), neon (Ne), or nitrogen (N2)). A control valve and/or mass flow controller 158 can be used to control a flow rate of each feed gas line to flow a process gas into the plasma chamber 120.
  • FIG. 5 depicts a flow diagram of an example method (500) according to example embodiments of the present disclosure. The method (500) will be discussed with reference to the plasma processing apparatus 100 of FIG. 4 by way of example. The method (500) can be implemented in any suitable plasma processing apparatus. FIG. 5 depicts steps performed in a particular order for purposes of illustration and discussion. Those of ordinary skill in the art, using the disclosures provided herein, will understand that various steps of any of the methods described herein can be omitted, expanded, performed simultaneously, rearranged, and/or modified in various ways without deviating from the scope of the present disclosure. In addition, various steps (not illustrated) can be performed without deviating from the scope of the present disclosure.
  • At (510), the method can include placing a workpiece on a workpiece support in a processing chamber. For instance, a workpiece 114 can be placed on a workpiece support 112 in a processing chamber 110. The workpiece 114 can include a silicon containing layer having one or more roughened portions. For instance, a FIN structure 50 includes a roughened surface 52.
  • At (520), the method can include generating species from a process gas using an inductive coupling element in a second chamber. For instance, a gas delivery system 150 of a plasma processing apparatus 100 can use the feed gas lines 159 to deliver a process gas into a plasma chamber 120 to generate the species.
  • At (530), the method can include introducing a fluorine containing gas and an oxygen containing gas with the species to create a mixture. In some embodiments, fluorine containing gas and/or an oxygen containing gas can be introduced as part of the process gas. For instance, the gas delivery system 150 can use the feed gas lines 159 to deliver the fluorine containing gas and the oxygen containing gas into the plasma chamber 120 to create a mixture (e.g., radicals). In some embodiments, the fluorine containing gas and/or the oxygen containing gas can be introduced via a post-plasma gas injection, as further described in FIGS. 6 and 7.
  • In some embodiments, a concentration of the fluorine containing gas relative to the oxygen containing gas is in the range of about 0.1% to about 5%. For instance, a concentration of CF4 relative to O2 is in a range of about 0.1% to about 5%, such as in a range of about 0.1% to about 1%.
  • At (540), the method can include exposing the surface of the workpiece to the mixture such that the mixture at least partially etches at least partially roughened portion to leave a smoother surface of the workpiece. For instance, the workpiece 114 can be exposed to the species generated in the inductively coupled plasma to remove one or more roughened portions of the workpiece 114.
  • FIG. 6 depicts a flow diagram of an example method (600) according to example embodiments of the present disclosure. The method (600) will be discussed with reference to the plasma processing apparatus 100 of FIG. 4 by way of example. The method (600) can be implemented in any suitable plasma processing apparatus. FIG. 6 depicts steps performed in a particular order for purposes of illustration and discussion. Those of ordinary skill in the art, using the disclosures provided herein, will understand that various steps of any of the methods described herein can be omitted, expanded, performed simultaneously, rearranged, and/or modified in various ways without deviating from the scope of the present disclosure. In addition, various steps (not illustrated) can be performed without deviating from the scope of the present disclosure.
  • At (610), the method can include heating a workpiece in a processing chamber. For instance, a workpiece 114 can include a silicon containing layer having one or more roughened portions. For instance, a FIN structure 50 includes a roughened surface 52. The workpiece 114 can be heated in a processing chamber 110 to a process temperature. The workpiece 114 can be heated, for instance, using one or more heating systems associated with a workpiece support 112. In some embodiments, the workpiece 114 can be heated to a process temperature in the range of about 100° C. to about 600° C., such as about 150° C. to about 300° C.
  • At (620), the method can include admitting a process gas into a plasma chamber. For instance, a process gas can be admitted into a plasma chamber interior 125 from a gas source 150 via annular gas distribution channel 151 or other suitable gas introduction mechanism. In some embodiments, the process gas can be an inert gas, such as helium, argon, etc. Other process gases can be used without deviating from the scope of the present disclosure.
  • At (630), the method can include energizing an inductively coupled plasma source to generate a plasma in a plasma chamber. For instance, an induction coil 130 can be energized with RF energy from RF power generator 134 to generate a plasma in the plasma chamber interior 125.
  • At (640), the method can include filtering one or more ions generated by the plasma using a separation grid to create a filtered mixture. The filtered mixture can include neutral species (e.g., excited inert gas molecules). In some embodiments, the one or more ions can be filtered using a separation grid assembly separating the plasma chamber from a processing chamber where the workpiece is located. For instance, the separation grid assembly 200 can be used to filter ions generated by the plasma. The separation grid 200 can have a plurality of holes. Charged particles (e.g., ions) can recombine on the walls in their path through the plurality of holes. Neutral species (e.g. radicals) can pass through the holes.
  • In some embodiments, the separation grid 200 can be configured to filter ions with an efficiency greater than or equal to about 90%, such as greater than or equal to about 95%. A percentage efficiency for ion filtering refers to the amount of ions removed from the mixture relative to the total number of ions in the mixture. For instance, an efficiency of about 90% indicates that about 90% of the ions are removed during filtering. An efficiency of about 95% indicates that about 95% of the ions are removed during filtering.
  • In some embodiments, the separation grid 200 can be a multi-plate separation grid. The multi-plate separation grid can have multiple separation grid plates in parallel. The arrangement and alignment of holes in the grid plate can be selected to provide a desired efficiency for ion filtering, such as greater than or equal to about 95%.
  • For instance, the separation grid 200 can have a first grid plate 210 and a second grid plate 220 in parallel relationship with one another. The first grid plate 210 can have a first grid pattern having a plurality of holes. The second grid plate 220 can have a second grid pattern having a plurality of holes. The first grid pattern can be the same as or different from the second grid pattern. Charged particles (e.g., ions) can recombine on the walls in their path through the holes of each grid plate 210, 220 in the separation grid 200. Neutral species (e.g., radicals) can flow relatively freely through the holes in the first grid plate 210 and the second grid plate 220.
  • At (650), the method can include injecting a fluorine containing gas and an oxygen containing gas into the filtered mixture to generate radicals for etching one or more roughened portions of the workpiece. For instance, the fluorine containing gas and the oxygen containing gas can be injected via a post-plasma gas injection system that can be located between the first grid plate 210 and the second grid plate 220 of the separation grid 200. The fluorine containing gas and/or the oxygen containing gas can be injected via a post-plasma gas injection system at a location beneath the separation grid. Example post plasma gas injection is illustrated in FIG. 7.
  • At (660), the method can include exposing the workpiece to the filtered mixture in the processing chamber. More particularly, the workpiece 114 can be exposed to radicals generated in the plasma and passing through the separation grid assembly 200. For instance, the workpiece 114 can be exposed to radicals generated using post plasma gas injection to etch one or more roughened portions of the workpiece to leave a smoother surface of the workpiece.
  • FIG. 7 depicts example introduction of fluorine containing gas and oxygen containing gas using post-plasma gas injection according to example embodiments of the present disclosure. The separation grid 200 includes a first grid plate 210 and a second grid plate 220 disposed in parallel relationship. The first grid plate 210 and the second grid plate 220 can provide for ion/UV filtering.
  • The first grid plate 210 can have a first grid pattern having a plurality of holes. The second grid plate 220 can have a second grid pattern having a plurality of holes. The first grid pattern can be the same as or different from the second grid pattern. Species 215 from the plasma can be exposed to the separation grid 200. Charged particles (e.g., ions) can recombine on the walls in their path through the holes of each grid plate 210, 220 in the separation grid 200. Neutral species can flow relatively freely through the holes in the first grid plate 210 and the second grid plate 220.
  • Subsequent to the second grid plate 220, a gas injection source 230 can be configured to introduce a fluorine containing gas and an oxygen containing gas into the species passing through the separation grid 200. A mixture 225 can pass through a third grid plate 235 for exposure to the workpiece in the processing chamber.
  • The present example is discussed with reference to a separation grid with three grid plates for example purposes. Those of ordinary skill in the art, using the disclosures provided herein, will understand that more or fewer grid plates can be used without deviating from the scope of the present disclosure. In addition, the fluorine containing gas and an oxygen containing gas can be mixed with the species at any point in the separation grid and/or after the separation grid in the processing chamber. For instance, the gas source 230 can be located between first grid plate 210 and second grid plate 220.
  • FIG. 8 depicts an example plasma processing apparatus 800 according to example embodiments of the present disclosure. The plasma processing apparatus 800 is similar to the plasma processing apparatus 100 of FIG. 4.
  • More particularly, plasma processing apparatus 800 includes a processing chamber 110 and a plasma chamber 120 that is separated from the processing chamber 110. Processing chamber 110 includes a workpiece support or pedestal 112 operable to hold a workpiece 114 to be processed, such as a semiconductor wafer. In this example illustration, a plasma is generated in plasma chamber 120 (i.e., plasma generation region) by an inductively coupled plasma source 135 and desired species are channeled from the plasma chamber 120 to the surface of substrate 114 through a separation grid assembly 200.
  • The plasma chamber 120 includes a dielectric side wall 122 and a ceiling 124. The dielectric side wall 122, ceiling 124, and separation grid 200 define a plasma chamber interior 125. Dielectric side wall 122 can be formed from a dielectric material, such as quartz and/or alumina. The inductively coupled plasma source 135 can include an induction coil 130 disposed adjacent the dielectric side wall 122 about the plasma chamber 120. The induction coil 130 is coupled to an RF power generator 134 through a suitable matching network 132. Process gases (e.g., an inert gas) can be provided to the chamber interior from gas supply 150 and annular gas distribution channel 151 or other suitable gas introduction mechanism. When the induction coil 130 is energized with RF power from the RF power generator 134, a plasma can be generated in the plasma chamber 120. In a particular embodiment, the plasma processing apparatus 100 can include an optional grounded Faraday shield 128 to reduce capacitive coupling of the induction coil 130 to the plasma.
  • As shown in FIG. 8, a separation grid 200 separates the plasma chamber 120 from the processing chamber 110. The separation grid 200 can be used to perform ion filtering from a mixture generated by plasma in the plasma chamber 120 to generate a filtered mixture. The filtered mixture can be exposed to the workpiece 114 in the processing chamber.
  • In some embodiments, the separation grid 200 can be a multi-plate separation grid. For instance, the separation grid 200 can include a first grid plate 210 and a second grid plate 220 that are spaced apart in parallel relationship to one another. The first grid plate 210 and the second grid plate 220 can be separated by a distance.
  • The first grid plate 210 can have a first grid pattern having a plurality of holes. The second grid plate 220 can have a second grid pattern having a plurality of holes. The first grid pattern can be the same as or different from the second grid pattern. Charged particles can recombine on the walls in their path through the holes of each grid plate 210, 220 in the separation grid. Neutral species (e.g., radicals) can flow relatively freely through the holes in the first grid plate 210 and the second grid plate 220. The size of the holes and thickness of each grid plate 210 and 220 can affect transparency for both charged and neutral particles.
  • In some embodiments, the first grid plate 210 can be made of metal (e.g., aluminum) or other electrically conductive material and/or the second grid plate 220 can be made from either an electrically conductive material or dielectric material (e.g., quartz, ceramic, etc.). In some embodiments, the first grid plate 210 and/or the second grid plate 220 can be made of other materials, such as silicon or silicon carbide. In the event a grid plate is made of metal or other electrically conductive material, the grid plate can be grounded.
  • The example plasma processing apparatus 800 of FIG. 8 is operable to generate a first plasma 802 (e.g., a remote plasma) in the plasma chamber 120 and a second plasma 804 (e.g., a direct plasma) in the processing chamber 110. The first plasma 802 can be generated by an inductively coupled plasma source. The second plasma 804 can be generated by, for instance, a capacitively coupled plasma source (e.g., bias). As used herein, a “remote plasma” refers to a plasma generated remotely from a workpiece, such as in a plasma chamber separated from a workpiece by a separation grid. As used herein, a “direct plasma” refers to a plasma that is directly exposed to a workpiece, such as a plasma generated in a processing chamber having a pedestal operable to support the workpiece.
  • More particularly, the plasma processing apparatus 800 of FIG. 8 includes a bias source having bias electrode 810 in the pedestal 112. The bias electrode 810 can be coupled to an RF power generator 814 via a suitable matching network 812. When the bias electrode 810 is energized with RF energy, a second plasma 804 can be generated from a mixture in the processing chamber 110 for direct exposure to the workpiece 114. The processing chamber 110 can include a gas exhaust port 816 for evacuating a gas from the processing chamber 110.
  • As shown in FIG. 8, according to example aspects of the present disclosure, the apparatus 100 can include a gas delivery system 150 configured to deliver process gas to the plasma chamber 120, for instance, via gas distribution channel 151 or other distribution system (e.g., showerhead). The gas delivery system can include a plurality of feed gas lines 159. The feed gas lines 159 can be controlled using valves and/or mass flow controllers to deliver a desired amount of gases into the plasma chamber as process gas. As shown in FIG. 4, the gas delivery system 150 can include feed gas line(s) for delivery of a fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers), feed gas line(s) for delivery of an oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)), and feed gas line(s) for delivery of an inert gas (e.g., helium (He), argon (Ar), xenon (Xe), neon (Ne), or nitrogen (N2)). A control valve and/or mass flow controller 158 can be used to control a flow rate of each feed gas line to flow a process gas into the plasma chamber 120.
  • FIG. 9 depicts an example plasma processing apparatus 900 according to example embodiments of the present disclosure. The plasma processing apparatus 900 is similar to the plasma processing apparatus 100 of FIG. 4, and the plasma processing apparatus 800 of FIG. 8.
  • More particularly, plasma processing apparatus 900 includes a processing chamber 110 and a plasma chamber 120 that is separated from the processing chamber 110. Processing chamber 110 includes a substrate holder or pedestal 112 operable to hold a workpiece 114 to be processed, such as a semiconductor wafer. In this example illustration, a plasma is generated in plasma chamber 120 (i.e., plasma generation region) by an inductively coupled plasma source 135 and desired species are channeled from the plasma chamber 120 to the surface of substrate 114 through a separation grid assembly 200.
  • The plasma chamber 120 includes a dielectric side wall 122 and a ceiling 124. The dielectric side wall 122, ceiling 124, and separation grid 200 define a plasma chamber interior 125. Dielectric side wall 122 can be formed from a dielectric material, such as quartz and/or alumina. The inductively coupled plasma source 135 can include an induction coil 130 disposed adjacent the dielectric side wall 122 about the plasma chamber 120. The induction coil 130 is coupled to an RF power generator 134 through a suitable matching network 132. Process gas (e.g., an inert gas) can be provided to the chamber interior from gas supply 150 and annular gas distribution channel 151 or other suitable gas introduction mechanism. When the induction coil 130 is energized with RF power from the RF power generator 134, a plasma can be generated in the plasma chamber 120. In a particular embodiment, the plasma processing apparatus 100 can include an optional grounded Faraday shield 128 to reduce capacitive coupling of the induction coil 130 to the plasma.
  • As shown in FIG. 9, a separation grid 200 separates the plasma chamber 120 from the processing chamber 110. The separation grid 200 can be used to perform ion filtering from a mixture generated by plasma in the plasma chamber 120 to generate a filtered mixture. The filtered mixture can be exposed to the workpiece 114 in the processing chamber.
  • In some embodiments, the separation grid 200 can be a multi-plate separation grid. For instance, the separation grid 200 can include a first grid plate 210 and a second grid plate 220 that are spaced apart in parallel relationship to one another. The first grid plate 210 and the second grid plate 220 can be separated by a distance.
  • The first grid plate 210 can have a first grid pattern having a plurality of holes. The second grid plate 220 can have a second grid pattern having a plurality of holes. The first grid pattern can be the same as or different from the second grid pattern. Charged particles can recombine on the walls in their path through the holes of each grid plate 210, 220 in the separation grid. Neutral species (e.g., radicals) can flow relatively freely through the holes in the first grid plate 210 and the second grid plate 220. The size of the holes and thickness of each grid plate 210 and 220 can affect transparency for both charged and neutral particles.
  • In some embodiments, the first grid plate 210 can be made of metal (e.g., aluminum) or other electrically conductive material and/or the second grid plate 220 can be made from either an electrically conductive material or dielectric material (e.g., quartz, ceramic, etc.). In some embodiments, the first grid plate 210 and/or the second grid plate 220 can be made of other materials, such as silicon or silicon carbide. In the event a grid plate is made of metal or other electrically conductive material, the grid plate can be grounded.
  • The example plasma processing apparatus 900 of FIG. 9 is operable to generate a first plasma 902 (e.g., a remote plasma) in the plasma chamber 120 and a second plasma 904 (e.g., a direct plasma) in the processing chamber 110. As shown, the plasma processing apparatus 900 can include an angled dielectric sidewall 922 that extends from the vertical sidewall 122 associated with the remote plasma chamber 120. The angled dielectric sidewall 922 can form a part of the processing chamber 110.
  • A second inductive plasma source 935 can be located proximate the dielectric sidewall 922. The second inductive plasma source 935 can include an induction coil 910 coupled to an RF generator 914 via a suitable matching network 912. The induction coil 910, when energized with RF energy, can induce a direct plasma 904 from a mixture in the processing chamber 110. A Faraday shield 928 can be disposed between the induction coil 910 and the sidewall 922.
  • The pedestal 112 can be movable in a vertical direction noted as “V.” For instance, the pedestal 112 can include a vertical lift 916 that can be configured to adjust a distance between the pedestal 112 and the separation grid assembly 200. As one example, the pedestal 112 can be located in a first vertical position for processing using the remote plasma 902. The pedestal 112 can be in a second vertical position for processing using the direct plasma 904. The first vertical position can be closer to the separation grid assembly 200 relative to the second vertical position.
  • The plasma processing apparatus 900 of FIG. 9 includes a bias source having bias electrode 810 in the pedestal 112. The bias electrode 810 can be coupled to an RF power generator 814 via a suitable matching network 812. The processing chamber 110 can include a gas exhaust port 816 for evacuating a gas from the processing chamber 110.
  • As shown in FIG. 9, according to example aspects of the present disclosure, the apparatus 100 can include a gas delivery system 150 configured to deliver process gas to the plasma chamber 120, for instance, via gas distribution channel 151 or other distribution system (e.g., showerhead). The gas delivery system can include a plurality of feed gas lines 159. The feed gas lines 159 can be controlled using valves and/or mass flow controllers to deliver a desired amount of gases into the plasma chamber as process gas. As shown in FIG. 4, the gas delivery system 150 can include feed gas line(s) for delivery of a fluorine containing gas (e.g., tetrafluoromethane (CF4), nitrogen trifluoride (NF3), or a gas with a formula CFxHy, wherein x and y are positive integers), feed gas line(s) for delivery of an oxygen containing gas (e.g., oxygen (O2), water vapor (H2O), or nitrous oxide (NO2)), and feed gas line(s) for delivery of an inert gas (e.g., helium (He), argon (Ar), xenon (Xe), neon (Ne), or nitrogen (N2)). A control valve and/or mass flow controller 158 can be used to control a flow rate of each feed gas line to flow a process gas into the plasma chamber 120.
  • FIG. 10 depicts an example 1000 surface roughness improvement 1020 as a function of etch amount 1010. As can be seen in FIG. 10, there is a correlation between the roughness improvement 1020 and the etch amount 1010. As the etch amount 1010 increases, the roughness improvement 1020 proportionally increases with the etch amount 1010 until the roughness improvement 1020 reaches a plateau (e.g., the etch amount 1010 is in a range of about 30 to about 60).
  • While the present subject matter has been described in detail with respect to specific example embodiments thereof, it will be appreciated that those skilled in the art, upon attaining an understanding of the foregoing may readily produce alterations to, variations of, and equivalents to such embodiments. Accordingly, the scope of the present disclosure is by way of example rather than by way of limitation, and the subject disclosure does not preclude inclusion of such modifications, variations and/or additions to the present subject matter as would be readily apparent to one of ordinary skill in the art.

Claims (6)

1.-15. (canceled)
16. A plasma processing apparatus for processing a workpiece, comprising:
a processing chamber having a workpiece support, the workpiece support configured to support the workpiece during plasma processing, wherein the workpiece comprises a silicon containing layer, wherein a surface of the silicon containing layer comprises a roughened portion;
a plasma chamber separated from the processing chamber by a separation grid;
an inductive coupling element configured to induce a plasma using a process gas in the plasma chamber;
a first gas source injecting a fluorine containing gas;
a second gas source injecting an oxygen containing gas
wherein a mixture generated by mixing the fluorine containing gas and the oxygen containing gas with species generated in the plasma pass through the separation grid to at least partially etch the roughened portion to leave a smoother surface of the silicon containing layer.
17. The plasma processing apparatus of claim 16, wherein the roughened portion comprises a concave area and a convex area, wherein the concave area is thicker than the convex area, the mixture at least partially etches the concave area more than the convex area to leave the smoother surface of the silicon containing layer.
18. The plasma processing apparatus of claim 16, wherein a concentration of the fluorine containing gas in the oxygen containing gas is in the range of about 0.1% to about 5%.
19. The plasma processing apparatus of claim 16, wherein the mixture at least partially oxidizes and at least partially etches the at least partially roughened portion simultaneously to leave the smoother surface.
20. The plasma processing apparatus of claim 16, wherein the smoother surface comprises a material with a formula SiOxFyCz, wherein x, y and z are positive integers.
US17/459,070 2018-12-21 2021-08-27 Surface Smoothing of Workpieces Pending US20210391185A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/459,070 US20210391185A1 (en) 2018-12-21 2021-08-27 Surface Smoothing of Workpieces

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862783517P 2018-12-21 2018-12-21
US201962832055P 2019-04-10 2019-04-10
US16/718,356 US11107695B2 (en) 2018-12-21 2019-12-18 Surface smoothing of workpieces
US17/459,070 US20210391185A1 (en) 2018-12-21 2021-08-27 Surface Smoothing of Workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/718,356 Division US11107695B2 (en) 2018-12-21 2019-12-18 Surface smoothing of workpieces

Publications (1)

Publication Number Publication Date
US20210391185A1 true US20210391185A1 (en) 2021-12-16

Family

ID=71097214

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/718,356 Active US11107695B2 (en) 2018-12-21 2019-12-18 Surface smoothing of workpieces
US17/459,070 Pending US20210391185A1 (en) 2018-12-21 2021-08-27 Surface Smoothing of Workpieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/718,356 Active US11107695B2 (en) 2018-12-21 2019-12-18 Surface smoothing of workpieces

Country Status (4)

Country Link
US (2) US11107695B2 (en)
CN (1) CN112368807A (en)
TW (1) TW202040692A (en)
WO (1) WO2020131989A1 (en)

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162323A (en) * 1997-08-12 2000-12-19 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20120211466A1 (en) * 2011-02-22 2012-08-23 Dae-Kyu Choi Plasma processing apparatus and method thereof
US20140273489A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150126035A1 (en) * 2013-11-06 2015-05-07 Mattson Technology, Inc. Novel Mask Removal Process Strategy for Vertical NAND Device
US9214319B2 (en) * 2011-08-19 2015-12-15 Mattson Technology, Inc. High efficiency plasma source
US20170207077A1 (en) * 2016-01-15 2017-07-20 Mattson Technology, Inc. Variable Pattern Separation Grid for Plasma Chamber
US20170338133A1 (en) * 2016-05-19 2017-11-23 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20180265974A1 (en) * 2017-03-15 2018-09-20 Tokyo Electron Limited Substrate processing apparatus and method
US20180350619A1 (en) * 2017-05-31 2018-12-06 Applied Materials, Inc. Water-free etching methods

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09213630A (en) * 1996-02-05 1997-08-15 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor device
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US7160813B1 (en) * 2002-11-12 2007-01-09 Novellus Systems, Inc. Etch back process approach in dual source plasma reactors
CN101457338B (en) 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
CN101459066B (en) * 2007-12-13 2010-08-11 中芯国际集成电路制造(上海)有限公司 Gate, shallow slot isolation region forming method and flattening method for silicon base etching surface
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
JP2013168474A (en) * 2012-02-15 2013-08-29 Toshiba Corp Method for etching polycrystalline silicon, method for manufacturing semiconductor device, and program
US9159574B2 (en) * 2012-08-27 2015-10-13 Applied Materials, Inc. Method of silicon etch for trench sidewall smoothing
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8945414B1 (en) * 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9881805B2 (en) * 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
EP3497259A1 (en) * 2016-08-09 2019-06-19 Singulus Technologies AG System and method for gas phase deposition
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US20180358206A1 (en) 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10354883B2 (en) 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162323A (en) * 1997-08-12 2000-12-19 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20110011338A1 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20120211466A1 (en) * 2011-02-22 2012-08-23 Dae-Kyu Choi Plasma processing apparatus and method thereof
US9214319B2 (en) * 2011-08-19 2015-12-15 Mattson Technology, Inc. High efficiency plasma source
US20140273489A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150126035A1 (en) * 2013-11-06 2015-05-07 Mattson Technology, Inc. Novel Mask Removal Process Strategy for Vertical NAND Device
US20170207077A1 (en) * 2016-01-15 2017-07-20 Mattson Technology, Inc. Variable Pattern Separation Grid for Plasma Chamber
US20170338133A1 (en) * 2016-05-19 2017-11-23 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20180265974A1 (en) * 2017-03-15 2018-09-20 Tokyo Electron Limited Substrate processing apparatus and method
US20180350619A1 (en) * 2017-05-31 2018-12-06 Applied Materials, Inc. Water-free etching methods

Also Published As

Publication number Publication date
CN112368807A (en) 2021-02-12
US20200203173A1 (en) 2020-06-25
WO2020131989A1 (en) 2020-06-25
TW202040692A (en) 2020-11-01
US11107695B2 (en) 2021-08-31

Similar Documents

Publication Publication Date Title
US20200135554A1 (en) Water Vapor Based Fluorine Containing Plasma For Removal Of Hardmask
CN112771650B (en) Spacer etching process
CN113488368A (en) Machining of workpieces
US11387115B2 (en) Silicon mandrel etch after native oxide punch-through
US10872761B2 (en) Post etch defluorination process
TWI766433B (en) Systems and methods to form airgaps
US20220084839A1 (en) Selective Etch Process Using Hydrofluoric Acid and Ozone Gases
US20200075313A1 (en) Oxide Removal From Titanium Nitride Surfaces
US11257680B2 (en) Methods for processing a workpiece using fluorine radicals
US11495437B2 (en) Surface pretreatment process to improve quality of oxide films produced by remote plasma
US11462413B2 (en) Processing of workpieces using deposition process and etch process
US11043393B2 (en) Ozone treatment for selective silicon nitride etch over silicon
US11107695B2 (en) Surface smoothing of workpieces
US11195718B2 (en) Spacer open process by dual plasma
US20210202231A1 (en) Systems and Methods for Removal of Hardmask

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: MATTSON TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MATTSON TECHNOLOGY, INC.;REEL/FRAME:058845/0663

Effective date: 20190925

Owner name: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD, CHINA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MATTSON TECHNOLOGY, INC.;REEL/FRAME:058845/0663

Effective date: 20190925

Owner name: MATTSON TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHANG, QI;LU, XINLIANG;CHUNG, HUA;SIGNING DATES FROM 20190422 TO 20190424;REEL/FRAME:058845/0634

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER