US20210366844A1 - Via rail solution for high power electromigration - Google Patents

Via rail solution for high power electromigration Download PDF

Info

Publication number
US20210366844A1
US20210366844A1 US17/393,619 US202117393619A US2021366844A1 US 20210366844 A1 US20210366844 A1 US 20210366844A1 US 202117393619 A US202117393619 A US 202117393619A US 2021366844 A1 US2021366844 A1 US 2021366844A1
Authority
US
United States
Prior art keywords
interconnect wire
drain regions
source
via rail
integrated chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/393,619
Inventor
Kam-Tou SIO
Chih-Ming Lai
Chun-Kuang Chen
Chih-Liang Chen
Charles Chew-Yuen Young
Chi-Yeh Yu
Jiann-Tyng Tzeng
Ru-Gun Liu
Wen-Hao Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/393,619 priority Critical patent/US20210366844A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, RU-GUN, CHEN, CHIH-LIANG, LAI, CHIH-MING, CHEN, Wen-hao, YU, CHI-YEH, CHEN, CHUN-KUANG, TZENG, JIANN-TYNG, YOUNG, CHARLES CHEW-YUEN, SIO, KAM-TOU
Publication of US20210366844A1 publication Critical patent/US20210366844A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Definitions

  • FIG. 1 illustrates a cross-sectional view of some embodiments of an integrated circuit having a back-end-of-the-line (BEOL) metal stack comprising a via rail configured to mitigate electro-migration.
  • BEOL back-end-of-the-line
  • FIG. 2 illustrates a cross-sectional view of some additional embodiments of an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 3 illustrates a top-view of some additional embodiments of an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 4 illustrates a cross-sectional view of some additional embodiments of an integrated circuit having a via rail.
  • FIG. 5 illustrates some additional embodiments of a cross-sectional view an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIGS. 6A-6B illustrate some embodiments of an integrated circuit comprising a back-end-of-the-line (BEOL) metal stack with a via rail arranged over a plurality of FinFET devices.
  • BEOL back-end-of-the-line
  • FIGS. 7-17 illustrate cross-sectional views of some embodiments of a method of forming an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 18 illustrates a flow diagram of some embodiments of a method of forming an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 19 illustrates a flow diagram of some embodiments of a method of determining an optimized cell height for scaling based on electro-migration specifications.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Integrated chips comprise power distribution networks that are configured to distribute power and ground voltages to multiple devices (e.g., transistors) within the ICs.
  • Power distribution networks often comprise power rails, which are metal interconnect wires arranged within a back-end-of-the-line (BEOL) metal stack.
  • BEOL back-end-of-the-line
  • the power rails are held at a selected voltage potential and are electrically coupled to a plurality of devices, so as to provide the voltage potential to the devices.
  • integrated chips often comprise a first power rail held at a source voltage potential (V SS ) and a second power rail held at a ground voltage potential (V DD ).
  • V SS source voltage potential
  • V DD ground voltage potential
  • power rails are arranged on a lower metal interconnect wire (e.g., a “M1” layer).
  • a lower metal interconnect wire e.g., a “M1” layer.
  • the high current density can lead to reliability concerns such as electro-migration and/or IR issues (due to a higher resistance of the smaller metal interconnect wires).
  • larger power rails e.g., having a larger width and/or the height
  • multiple stacked metal interconnect wire layers may be collectively operated to act as a power rail structure.
  • electro-migration ‘hot spots’ i.e., areas within one or more of the multiple metal interconnect wire layers experiencing high electro-migration.
  • the present disclosure relates to an integrated circuit having a power rail structure, comprising two metal wire layers and an intervening via rail, which enables proper cell scaling while preventing reliability concerns such as electro-migration and/or IR issues.
  • the integrated circuit comprises a first plurality of conductive contacts arranged over a semiconductor substrate.
  • a first metal interconnect wire is arranged over the first plurality of conductive contacts, and a second metal interconnect wire arranged over the first metal interconnect wire.
  • a via rail is arranged over the first metal interconnect wire and is configured to electrically couple the first metal interconnect wire and the second metal interconnect wire.
  • the via rail has a length that continuously extends over two or more of the plurality of conductive contacts. The length of the via rail provides for an increased cross-sectional area both between the first metal interconnect wire and the second metal interconnect wire and along a length of the via rail, thereby mitigating electro-migration and electro-migration hot spots within the integrated circuit.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of an integrated circuit 100 having a back-end-of-the-line (BEOL) metal stack comprising a via rail configured to mitigate electro-migration.
  • BEOL back-end-of-the-line
  • the integrated circuit 100 comprises a well region 106 arranged within a semiconductor substrate 102 .
  • the well region 106 comprises an active area 104 comprising a plurality of source/drain regions 108 arranged within the well region 106 .
  • the well region 106 has a first doping type that is opposite a second doping type of the semiconductor substrate 102 .
  • the plurality of source/drain regions 108 comprise highly doped regions (e.g., having a doping concentration greater than that of the surrounding semiconductor substrate 102 and/or well region 106 ) having the second doping type.
  • a PMOS active area formed within a p-type substrate may comprise p-type source/drain regions arranged within an n-well.
  • a plurality of gate structures 110 (to simplify the illustration, a single gate structure 110 is labeled in the figures) are arranged over the semiconductor substrate 102 between the plurality of source/drain regions 108 .
  • the plurality of gate structures 110 are configured to control a flow of charge carriers (e.g., holes or electrons) within a channel region 109 extending between adjacent source/drain regions 108 during operation of a transistor device 107 .
  • a plurality of middle-end-of-the-line (MEOL) structures 112 (to simplify the illustration, a single MEOL structure 112 is labeled in the figures) are arranged over the source/drain regions 108 at locations interleaved between the plurality of gate structures 110 .
  • the plurality of MEOL structures 112 comprise conductive material arranged in contact with the source/drain regions 108 .
  • the plurality of MEOL structures 112 are configured to provide for lateral signal routing (e.g., signal routing in a direction parallel to a top surface of the semiconductor substrate 102 ).
  • a plurality of conductive contacts 114 are coupled to transistor devices 107 within the semiconductor substrate 102 .
  • the plurality of conductive contacts 114 are arranged onto the plurality of MEOL structures 112 .
  • the plurality of conductive contacts 114 may be arranged onto the plurality of gate structures 110 .
  • the plurality of conductive contacts 114 electrically couple the transistor devices 107 to a first metal interconnect wire 116 .
  • a via rail 118 is arranged over the first metal interconnect wire 116
  • a second metal interconnect wire 120 is arranged on the via rail 118 .
  • a second via 122 is arranged on the second metal interconnect wire 120 .
  • the via rail 118 has an elongated shape (e.g., a rectangular shape) with a length L vr extending along a longer axis and a width extending along a shorter axis (i.e., into the plane of the drawing).
  • the length L vr of the via rail 118 is larger than a length L c of respective ones of the plurality of conductive contacts 114 and/or a length L v of the second via 122 .
  • the via rail 118 extends past opposing sides of an underlying conductive contact 114 (e.g., from beyond a first sidewall of a conductive contact 114 to beyond an opposing, second sidewall of the conductive contact 114 ).
  • the via rail 118 continuously extends over two or more of the conductive contacts 114 .
  • the length L vr of the via rail 118 provides for an increased cross-sectional area between the first metal interconnect wire 116 and the second metal interconnect wire 120 and along a length of the via rail 118 .
  • the increased cross-sectional area reduces electro-migration stress and resistance (e.g., the via rail 118 may reduce electro-migration stress by more than 2 times that of traditional via structures).
  • the length L vr of the via rail 118 also enables improved scaling of integrated circuit layouts, since the increased cross-sectional area allows for a cell height to be reduced without significantly increasing electro-migration (e.g., the via rail 118 allows for a cross-sectional area of a current path of a power rail to increase while reducing a width of the power rail).
  • FIG. 2 illustrates a cross-sectional view of some additional embodiments of an integrated circuit 200 having a BEOL metal stack comprising a via rail.
  • the integrated circuit 200 comprises a plurality of source/drain regions 108 disposed within a well region 106 arranged within a semiconductor substrate 102 .
  • a dielectric structure 208 comprising an ILD layer 206 and a plurality of inter-metal dielectric (IMD) layers 208 a - 208 d is arranged over the semiconductor substrate 102 .
  • the ILD layer 206 may comprise an oxide (e.g., SiO 2 ) or a doped silicate glass.
  • the plurality of IMD layers 208 a - 208 d may comprise one or more of a low-k dielectric layer (i.e., a dielectric with a dielectric constant less than about 3.9), an ultra low-k dielectric layer, or an oxide (e.g., silicon oxide).
  • a low-k dielectric layer i.e., a dielectric with a dielectric constant less than about 3.9
  • an ultra low-k dielectric layer i.e., silicon oxide
  • a plurality of gate structures 110 are arranged within the ILD layer 206 between adjacent source/drain regions 108 .
  • the plurality of gate structures 110 respectively comprise a gate dielectric layer 202 and an overlying gate electrode 204 .
  • the gate dielectric layer 202 may comprise an oxide or a high-k dielectric layer.
  • the gate electrode 204 may comprise polysilicon or a metal (e.g., aluminum).
  • sidewall spacers (not shown) may be arranged on opposing sides of the gate structures 110 .
  • the plurality of gate structures 110 are arranged at a contact gate pitch p CGP (i.e., a spacing between left edges of the gate structures 110 or between right edges of the gate structures 110 ) having values that vary due to misalignment errors by less than or equal to approximately 5%.
  • p CGP a contact gate pitch
  • a plurality of middle-end-of-the-line (MEOL) structures 112 are also arranged within the ILD layer 206 over the plurality of source/drain regions 108 .
  • the plurality of MEOL structures 112 may have heights that are substantially equal to heights of the plurality of gate structures 110 (i.e., upper surfaces of the plurality of MEOL structures 112 are substantially co-planar with upper surfaces of the gate structures 110 ).
  • the plurality of MEOL structures 112 may comprise a conductive material such as aluminum, copper, and/or tungsten, for example.
  • a plurality of conductive contacts 114 are disposed within a first inter-metal dielectric (IMD) layer 208 a of the dielectric structure 208 .
  • the conductive contacts 114 may comprise a conductive metal such as tungsten.
  • the plurality of conductive contacts 114 are electrically coupled to a first metal interconnect wire 116 arranged within a second IMD layer 208 b overlying the first IMD layer 208 a.
  • the first metal interconnect wire 116 may comprise copper, aluminum, or a copper alloy.
  • a via rail 118 is arranged onto the first metal interconnect wire 116 and is configured to electrically couple the first metal interconnect wire 116 to an overlying second metal interconnect wire 120 .
  • the via rail 118 may have a length L vr that is greater than the contact gate pitch p CGP .
  • the via rail 118 and the second metal interconnect wire 120 may be arranged within a third IMD layer 208 c overlying the second IMD layer 208 b.
  • the via rail 118 and the second metal interconnect wire 120 may comprise copper, aluminum, or a copper alloy.
  • the first metal interconnect wire 116 has a first height h 1 and sidewalls with a first angle ⁇ 1 .
  • the via rail 118 has a second height h 2 that is different than the first height h 1 .
  • the second height h 2 may be greater than the first height h 1 .
  • the first metal interconnect wire 116 may have sidewalls with a first angle ⁇ 1 and the via rail 118 may have sidewalls with a second angle ⁇ 2 .
  • the first angle ⁇ 1 is substantially equal to the second angle ⁇ 2 . In other embodiments, the first angle ⁇ 1 is different than the second angle ⁇ 2 .
  • FIG. 3 illustrates a top-view of some additional embodiments of an integrated circuit 300 having a BEOL metal stack comprising a via rail.
  • the integrated circuit 300 comprises a first active area 104 a and a second active area 104 b arranged within a semiconductor substrate 102 .
  • the first active area 104 a and the second active area 104 b comprise source/drain regions extending along a first direction 302 .
  • source/drain regions within the first active area 104 a have different doping types than source/drain regions within the second active area 104 b.
  • a plurality of gate structures 110 extend over the active areas, 104 a and 104 b, along a second direction 304 that is perpendicular to the first direction 302 .
  • a plurality of MEOL structures 112 extend over the active areas, 104 a and 104 b, along the second direction 304 at locations between the plurality of gate structures 110 .
  • the plurality of MEOL structures 112 are coupled to first metal interconnect wires, 116 a and 116 b, by way of conductive contacts 114 .
  • the first metal interconnect wires, 116 a and 116 b are separated by the active areas, 104 a and 104 b, and extend in parallel over the plurality of gate structures 110 along the first direction 302 .
  • an output pin 308 is on a same metal wire layer as the first metal interconnect wires and is coupled to the plurality of MEOL structures 112 by way of the conductive contacts 114 .
  • Second metal interconnect wires, 120 a and 120 b extend over the first metal interconnect wires, 116 a and 116 b, in the first direction 302 .
  • the first metal interconnect wires, 116 a and 116 b are respectively coupled to the second metal interconnect wires, 120 a and 120 b , by way of via rails, 118 a and 118 b.
  • the via rails, 118 a and 118 b respectively comprise an elongated shape having a longer dimension extending in the first direction 302 .
  • the via rails, 118 a and 118 b have a substantially rectangular footprint.
  • the first metal interconnect wires, 116 a and 116 b, and the second metal interconnect wires, 120 a and 120 b extend past opposing sidewalls of the via rails, 118 a and 118 b, in the first direction 302 and in the second direction 304 .
  • the first metal interconnect wires, 116 a and 116 b, the via rails 118 a and 118 b, and the second metal interconnect wires, 120 a and 120 b collectively comprise power rail structures, 306 a and 306 b, configured to distribute a voltage potential to multiple devices in the integrated circuit 300 .
  • a first power rail structure 306 a may be held at a supply voltage (e.g., V DD )
  • a second power rail structure 306 b may be held at a ground voltage (e.g., V SS ).
  • the power rail structures, 306 a and 306 b may be arranged over or adjacent to the active areas, 104 a and 104 b.
  • a cross-sectional area of the power rail structures, 306 a and 306 b is increased.
  • Increasing the cross-sectional area of the power rail structures, 306 a and 306 b decreases electro-migration, and therefore also allows for a cell height 310 to be scaled without degrading electro-migration of the integrated circuit 300 .
  • FIG. 4 illustrates a cross-sectional view of some additional embodiments of an integrated circuit 400 having a via rail.
  • the integrated circuit 400 comprises a plurality of metal interconnect layers arranged within a dielectric structure 208 overlying a semiconductor substrate 102 .
  • the plurality of metal interconnect layers comprise a local power rail structure 401 comprising a first metal interconnect wire 116 , a via rail 118 , and a second metal interconnect wire 120 .
  • the plurality of metal interconnect layers further comprise a second power rail arranged on an upper metal interconnect wire 406 over the second metal interconnect wire 120 .
  • the upper metal interconnect wire 406 may be held at a selected voltage (e.g., V SS or V DD ).
  • the upper metal interconnect wire 406 is coupled to the second metal interconnect wire 120 by way of a plurality of stacked intermediate metal interconnect wires 404 a - 404 b and one or more intermediate vias 402 a - 402 c.
  • the via rail 118 continuously extends between first and second columns of the stacked intermediate metal interconnect wires 404 a - 404 b and one or more intermediate vias 402 a - 402 c, so as to provide current paths 408 having a relatively large cross-sectional area.
  • the via rail 118 reduces the current density within the first metal interconnect wire 116 and the second metal interconnect wire 120 by increasing a cross-sectional area in a first direction 410 parallel to a surface of the semiconductor substrate 102 and in a second direction 412 perpendicular to the surface of the semiconductor substrate 102 .
  • a via 414 is arranged over the first metal interconnect wire 116 at a location offset from the via rail 118 .
  • the via 414 and the via rail 118 have a same width (into the plane of the drawing) and different lengths (in direction 410 ).
  • the via rail 118 has length (in direction 410 ) that is more than five times a length of the via 414 .
  • the via rail 118 has length that is more than ten times a length of the via 414 .
  • power rail structure 401 is shown as being on a first metal interconnect wire (e.g., a ‘M1’ layer) and a second metal interconnect wire (e.g., a ‘M2’ layer), it will be appreciated that power rail structure (e.g., metal interconnect wires held at V DD or V SS ) may be arranged on additional and/or different metal wire layers separated by a via rail.
  • the power rail structure may comprise a third metal interconnect wire (e.g., a ‘M3’ layer), a fourth metal interconnect wire (e.g., a ‘M4’ layer), and a via rail located therebetween.
  • the power rail structure may comprise the first metal interconnect wire 116 , the second metal interconnect wire 120 , the via rail 118 , a third metal interconnect wire over the second metal interconnect wire 120 and a second via rail between the second metal interconnect wire 120 and the third metal interconnect wire, for example.
  • FIG. 5 illustrates a cross-sectional view of some additional embodiments of an integrated circuit 500 having a BEOL metal stack comprising a via rail.
  • the integrated circuit 500 comprises a dielectric structure 501 disposed over a semiconductor substrate 102 .
  • the dielectric structure 501 comprises a plurality of stacked dielectric layers (e.g., a low-k dielectric material, an oxide material, un-doped SiO 2 , fluorosilicate glass, etc.) including an ILD layer 206 and a plurality of IMD layers 208 a - 208 d.
  • the plurality of stacked dielectric layers are separated by a plurality of etch stop layers 502 a - 502 d.
  • the ILD layer 206 is separated from the first IMD layer 208 a by a first etch stop layer (ESL) 502 a, the first IMD layer 208 a is separated from a second IMD layer 208 b by a second ESL 502 b, etc.
  • the plurality of etch stop layers 502 a - 502 d may comprise titanium nitride (TiN) and/or tantalum nitride (TaN).
  • a plurality of conductive contacts 114 are arranged within the ILD layer 206 .
  • a first diffusion barrier liner 504 a separates the plurality of conductive contacts 114 from the ILD layer 206 .
  • the first diffusion barrier liner 504 a is configured to prevent diffusion of metal atoms from the plurality of conductive contacts 114 to the ILD layer 206 .
  • the first diffusion barrier liner 504 a may comprise tantalum (Ta) or titanium nitride (TaN).
  • the first diffusion barrier liner 504 a is located in direct contact with the first ESL 502 a.
  • a first metal interconnect wire 116 is arranged within the first IMD layer 208 a.
  • a second diffusion barrier liner 504 b separates the first metal interconnect wire 116 from the first IMD layer 208 a.
  • the second diffusion barrier liner 504 b also separates the first metal interconnect wire 116 from the plurality of conductive contacts 114 .
  • a second metal interconnect wire 120 is arranged over the first metal interconnect wire 116 .
  • the first and second metal interconnect wires, 116 and 120 may comprise a conductive material, such as copper, aluminum, titanium, tungsten, etc.
  • the first metal interconnect wire 116 is electrically coupled to the second metal interconnect wire 120 by a via rail 118 .
  • An upper surface of the via rail 118 is in direct contact with the second metal interconnect wire 120 .
  • a third diffusion barrier liner 504 c separates the via rail 118 and the second metal interconnect wire 120 from the second IMD layer 208 b.
  • the third diffusion barrier liner 504 c also separates a lower surface of the via rail 118 from the first metal interconnect wire 116 .
  • a second via 122 is arranged within a third IMD layer 208 c and a third metal interconnect wire 506 is arranged on the second via 122 .
  • the second via 122 is separated from the third IMD layer 208 c by a fourth diffusion barrier liner 504 d.
  • FIGS. 1-5 illustrate integrated circuits having a back-end-of-the-line (BEOL) metal stack arranged over planar transistors, it will be appreciated that the BEOL metal stack disclosed herein may also be used in conjunction with FinFET devices.
  • FIGS. 6A-6B illustrate some embodiments of an integrated circuit comprising a back-end-of-the-line (BEOL) metal stack with a via rail arranged over a plurality of FinFET devices.
  • FIG. 6A illustrates a cross-sectional view of an integrated circuit 600 comprising a BEOL metal stack with a via rail 118 arranged over a plurality of FinFET devices 601 .
  • FIG. 6B illustrates a three-dimensional view 612 of the integrated chip 600 (cross-sectional view of FIG. 6A shown along line A-A′).
  • the integrated chip 600 comprises a fin of semiconductor material 604 protruding from an underlying semiconductor substrate 602 .
  • the fin of semiconductor material 604 comprises the same material as the semiconductor substrate 602 .
  • Epitaxial source/drain regions 606 are arranged within the fin of semiconductor material 604 .
  • a plurality of gate structures 608 (to simplify the illustration, a single gate structure 608 is labeled in the figures) are disposed over the semiconductor substrate 602 .
  • the plurality of gate structures 608 straddle the fin of semiconductor material 604 .
  • the plurality of gate structures 608 are configured to control the flow of charge carriers within the fin of semiconductor material 604 .
  • the plurality of gate structures 608 may comprise a gate electrode and a gate dielectric layer 202 , which separates the gate electrode from the fin of semiconductor material 604 .
  • a dielectric layer 610 is arranged over the semiconductor substrate 602 .
  • the dielectric layer 610 extends along a planar surface of the semiconductor substrate 602 , and along sidewalls and an upper surface of the fin of semiconductor material 604 .
  • the dielectric layer 610 may comprise a silicon dioxide (SiO 2 ) layer.
  • a plurality of middle-end-of-the-line (MEOL) structures 112 (to simplify the illustration, a single MEOL structure 112 is labeled in the figures) are arranged over the epitaxial source/drain regions 606 at locations interleaved between the plurality of gate structures 608 .
  • a plurality of conductive contacts 114 (to simplify the illustration, a single conductive contact 114 is labeled in the figures) are coupled to FinFET devices 601 within the semiconductor substrate 602 .
  • the plurality of conductive contacts 114 are arranged onto the plurality of MEOL structures 112 .
  • the plurality of conductive contacts 114 may be arranged onto the plurality of gate structures 608 .
  • the plurality of conductive contacts 114 electrically couple the transistor devices 107 to a first metal interconnect wire 116 .
  • a via rail 118 is arranged over the first metal interconnect wire 116
  • a second metal interconnect wire 120 is arranged on the via rail 118 .
  • a second via 122 is arranged on the second metal interconnect wire 120 .
  • the via rail 118 continuously extends over two or more of the conductive contacts 114 .
  • FIGS. 7-17 illustrate cross-sectional views 700 - 1700 of some embodiments of a method of forming a via rail within a back-end-of-the-line (BEOL) metal stack.
  • BEOL back-end-of-the-line
  • a well region 106 is formed within a semiconductor substrate 102 .
  • the semiconductor substrate 102 may be any type of semiconductor body (e.g., silicon, SiGe, SOI) such as a semiconductor wafer and/or one or more dies on a wafer, as well as any other type of metal layer, device, semiconductor and/or epitaxial layers, etc., associated therewith.
  • the semiconductor substrate 102 may comprise an intrinsically doped semiconductor substrate having a first doping type (e.g., an n-type doping or a p-type doping).
  • the well region 106 may be formed by selectively implanting a dopant species 702 into the semiconductor substrate 102 (e.g., an n-well may be formed within a p-type substrate, to form a PMOS active area, by implanting a p-type dopant into the substrate prior to the formation of the gate structures).
  • the dopant species 702 may be selectively implanted into the semiconductor substrate 102 according to a first masking layer 704 arranged over the semiconductor substrate 102 .
  • the first masking layer 704 may comprise a photoresist layer.
  • the first masking layer 704 may be patterned by selectively exposing a photoresist layer to radiation, and subsequently developing the photoresist layer.
  • a plurality of gate structures 110 are formed over the well region 106 .
  • the plurality of gate structures 110 comprise a gate electrode 204 separated from the semiconductor substrate 102 by a gate dielectric layer 202 .
  • the plurality of gate structures 110 may be formed by forming a gate dielectric layer over the semiconductor substrate 102 and subsequently forming a gate electrode layer over the gate dielectric layer.
  • the gate dielectric layer and the gate electrode layer are subsequently patterned according to a photolithography process to form the plurality of gate structures 110 .
  • a plurality of source/drain regions 108 are formed within the well region 106 .
  • the plurality of source/drain regions 108 may be formed by selectively implanting a dopant species 902 into the semiconductor substrate 102 according to the gate structures 110 and a second masking layer 904 .
  • the dopant species 902 may comprise a p-type dopant (e.g., boron, gallium, etc.) or an n-type dopant (e.g., phosphorus, arsenic, etc.).
  • the dopant species 902 may be driven into the semiconductor substrate 102 by performing a subsequent high-temperature anneal.
  • the source/drain regions 108 may be formed by etch and epitaxial growth processes at a location within or overlying the semiconductor substrate 102 .
  • a plurality of middle-end-of-the-line (MEOL) structures 112 are formed over the plurality of source/drain regions 108 at locations laterally interleaved between the plurality of gate structures 110 .
  • the plurality of MEOL structures 112 are formed by depositing an inter-level dielectric (ILD) layer 206 over the semiconductor substrate 102 .
  • the ILD layer 206 is subsequently etched to form openings that are filled with a conductive material to form the plurality of MEOL structures 112 .
  • the plurality of MEOL structures 112 may be formed prior to the formation of the ILD layer 206 .
  • a first inter-metal dielectric (IMD) layer 208 a is formed over the ILD layer 206 .
  • a first etch stop layer (ESL) 502 a is formed over the ILD layer 206 prior to the formation of the first IMD layer 208 a, so that the first ESL 502 a separates the ILD layer 206 from the first IMD layer 208 a.
  • the first ESL 502 a may comprise a titanium nitride layer or tantalum layer deposited by a physical vapor deposition process (e.g., PVD, CVE, PE-CVD, ALD, etc.).
  • the first IMD layer 208 a may comprise a low-k dielectric layer formed by a physical vapor deposition process.
  • the first IMD layer 208 a is subsequently exposed to an etchant 1102 according to a third masking layer 1104 (e.g., a photoresist layer) to form a plurality of conductive contact holes 1106 .
  • a third masking layer 1104 e.g., a photoresist layer
  • a first diffusion barrier liner 504 a is deposited in the conductive contact holes 1106 .
  • the first diffusion barrier liner 504 a is arranged along sidewalls and a lower surface of the conductive contact holes 1106 .
  • the first diffusion barrier liner 504 a may be deposited using a physical vapor deposition processes (e.g., PVD, CVD, etc.).
  • a conductive material e.g., copper, tungsten, and/or aluminum is formed within the conductive contact holes 1106 to form a plurality of conductive contacts 114 .
  • the conductive material may be formed by first depositing a seed layer 1202 within the conductive contact holes 1106 , followed by a subsequent plating process (e.g., an electroplating process, an electro-less plating process, etc.) to fill the conductive contact holes 1106 (with a conductive fill 1204 ).
  • a chemical mechanical polishing (CMP) process may be subsequently performed.
  • a second IMD layer 208 b is formed over the first IMD layer 208 a.
  • a second ESL 502 b is formed over the first IMD layer 208 a, so that the second ESL 502 b separates the first IMD layer 208 a from the second IMD layer 208 b.
  • a first metal interconnect wire 116 is formed within the second IMD layer 208 b.
  • the first metal interconnect wire 116 may be formed by forming the second IMD layer 208 b over the first IMD layer 208 a, and subsequently etching the second IMD layer 208 b to form a first metal wire trench 1302 .
  • a second diffusion barrier liner 504 b is deposited in the first metal wire trench 1302 .
  • the first metal wire trench 1302 is then filled with a conductive material (e.g., tungsten, copper, or aluminum copper) to form the first metal interconnect wire 116 .
  • a conductive material e.g., tungsten, copper, or aluminum copper
  • a third IMD layer 208 c is formed over the second IMD layer 208 b.
  • a third ESL 502 c is formed between the second IMD layer 208 b and the third IMD layer 208 c.
  • the third IMD layer 208 c is exposed to a first etchant 1402 according to a fourth masking layer 1404 to form a via rail hole 1406 extending into an upper surface of the third IMD layer 208 c.
  • the via rail hole 1406 extends along the upper surface of the third IMD layer 208 c over two or more of the plurality of conductive contacts 114 .
  • the third IMD layer 208 c is exposed to a second etchant 1502 according to a fifth masking layer 1504 to form a second metal wire trench 1506 extending into the upper surface of the third IMD layer 208 c.
  • the via rail hole 1406 and the second metal wire trench 1506 collectively extend from the upper surface of the third IMD layer 208 c to the third ESL 502 c.
  • the etching operations shown in cross-sectional views 1400 and 1500 may be performed in a reverse order (e.g., so that the second metal wire trench 1506 is formed prior to forming the via rail hole 1406 ).
  • a third diffusion barrier liner 504 c is deposited along sidewalls and lower surfaces of the via rail hole 1406 and the second metal wire trench 1506 .
  • a conductive material e.g., copper, tungsten, and/or aluminum
  • the conductive material may be formed by first depositing a seed layer within the via rail hole 1406 and the second metal wire trench 1506 , followed by a subsequent plating process (e.g., an electroplating process, an electro-less plating process) to fill the via rail hole 1406 and the second metal wire trench 1506 .
  • a chemical mechanical polishing (CMP) process may be subsequently performed.
  • a fourth IMD layer 208 d is formed over the third IMD layer 208 c.
  • a fourth ESL 502 d is formed between the third IMD layer 208 c and the fourth IMD layer 208 d.
  • the fourth IMD layer 208 d is etched to form a second via hole 1702 and an overlying third metal wire trench 1704 .
  • a fourth diffusion barrier liner 504 d is deposited along sidewalls and lower surfaces of the second via hole 1702 and the third metal wire trench 1704 .
  • a conductive material e.g., copper, tungsten, and/or aluminum
  • FIG. 18 illustrates a flow diagram of some embodiments of a method 1800 of forming an integrated circuit having a BEOL metal stack comprising a via rail.
  • method 1800 is described in relation to FIGS. 7-17 , it will be appreciated that the method 1800 is not limited to such structures, but instead may stand alone as a method independent of the structures.
  • an optimized height of a cell may be determined in some embodiments.
  • the optimized cell height may be determined based upon electro-migration specifications of simulations run on a cell layout. This is because the cell height impacts a width of the power rails (e.g., a smaller cell height will have a smaller power rail width than a larger cell height), such that the electro-migration specifications of the power rail may be a limiting factor of the optimized cell height.
  • FIG. 19 illustrates a method 1900 of some embodiments corresponding to act 1802 .
  • a well region is formed within a semiconductor substrate.
  • the well region may have a width that is determined based upon the optimized cell height.
  • FIG. 7 illustrates a cross-sectional view 700 of some embodiments corresponding to act 1804 .
  • FIG. 8 illustrates a cross-sectional view 800 of some embodiments corresponding to act 1806 .
  • FIG. 9 illustrates a cross-sectional view 900 of some embodiments corresponding to act 1808 .
  • FIG. 10 illustrates a cross-sectional view 1000 of some embodiments corresponding to act 1810 .
  • FIGS. 11-12 illustrate cross-sectional views 1100 - 1200 of some embodiments corresponding to act 1812 .
  • FIG. 13 illustrates a cross-sectional view 1300 of some embodiments corresponding to act 1814 .
  • FIGS. 15-16 illustrate cross-sectional views 1400 - 1600 of some embodiments corresponding to act 1816 .
  • FIG. 17 illustrates a cross-sectional view 1700 of some embodiments corresponding to act 1818 .
  • FIG. 19 illustrates a flow diagram of some embodiments of a method 1900 of determining a cell height based on electro-migration specifications.
  • an integrated circuit layout is provided.
  • the integrated circuit layout has an initial cell height (e.g., between a first power rail held at V DD and a second power rail held at V SS ) and MEOL structure pitch.
  • a cell height and MEOL structure pitch is determined.
  • the cell height and MEOL structure pitch correspond to a cross-sectional area between a power rail and a transistor device within an active area of a cell.
  • a first electro-migration specification is determined for an integrated circuit layout having a single power rail structure (e.g., a power rail that is a first metal interconnect wire) within a cell having the determined cell height and MEOL structure pitch.
  • the first electro-migration specification may be determined using a simulation (e.g., SPICE).
  • the first electro-migration specification is compared to a first predetermined electro-migration criteria. If the first electro-migration specification violates the first predetermined electro-migration criteria, the method 1900 proceeds to 1910 . If the first electro-migration specification does not violate the first predetermined electro-migration criteria, the method 1900 proceeds to 1920 .
  • a second electro-migration specification is determined for an integrated circuit layout having a dual power rail structure (e.g., a power rail that is a first metal interconnect wire and an overlying second metal interconnect wire) within a cell having the initial cell height and MEOL structure pitch.
  • a dual power rail structure e.g., a power rail that is a first metal interconnect wire and an overlying second metal interconnect wire
  • the second electro-migration specification may be compared to the first predetermined electro-migration criteria. If the second electro-migration specification violates the first predetermined electro-migration criteria, the method 1900 proceeds to 1914 . If the second electro-migration specification does not violate the first predetermined electro-migration criteria, the method 1900 proceeds to 1920 .
  • a third electro-migration specification is determined for an integrated circuit layout having a dual power rail structure with a via rail (e.g., a power rail that is a first metal wire layer and a second metal wire layer coupled by a via rail) within a cell having the determined cell height and MEOL structure pitch.
  • a via rail e.g., a power rail that is a first metal wire layer and a second metal wire layer coupled by a via rail
  • the third electro-migration specification may be compared to the first predetermined electro-migration criteria. If the third electro-migration specification violates the first predetermined electro-migration criteria, the method 1900 proceeds to 1918 . If the third electro-migration specification does not violate the first predetermined electro-migration criteria, the method 1900 proceeds to 1920 .
  • the cell height is increased. Increasing the cell height allows for the width of the power rails to be increased, thereby reducing the electro-migration specification.
  • the method 1900 then repeats acts 1904 - 1916 using the new cell height.
  • the first, second, or third electro-migration specification is compared to a second predetermined electro-migration criteria. If the first, second or third electro-migration specification do not violate the second predetermined electro-migration criteria, the first, second or third electro-migration specification pass the second predetermined electro-migration criteria by a relatively large amount, and the cell height can be further reduced at 1922 . The method 1900 then repeats acts 1904 - 1916 using the new cell height.
  • the first, second, or third electro-migration specifications violates the second pre-determined electro-migration criteria, the first, second, or third electro-migration specifications marginally pass the second predetermined electro-migration criteria and the determined cell height is set as an optimized cell height (at 1924 ).
  • the present disclosure relates to an integrated circuit having back-end-of-the-line (BEOL) metal stack that with a power rail structure, comprising two metal wire layers and an intervening via rail, which enables proper cell scaling while preventing reliability concerns such as electro-migration and/or IR issues.
  • BEOL back-end-of-the-line
  • the present disclosure relates to an integrated circuit.
  • the integrated circuit comprises a plurality of conductive contacts arranged over a semiconductor substrate.
  • a first metal interconnect wire is arranged over the plurality of conductive contacts, and a second metal interconnect wire is arranged over the first metal interconnect wire.
  • a via rail is arranged over the first metal interconnect wire and is configured to electrically couple the first metal interconnect wire and the second metal interconnect wire.
  • the via rail has a length that continuously extends over two or more of the plurality of conductive contacts.
  • the present disclosure relates to an integrated circuit.
  • the integrated circuit comprises an active area comprising plurality of source/drain regions arranged within a well region disposed in a substrate.
  • a plurality of gate structures are arranged over the substrate between adjacent ones of the plurality of source/drain regions at a contact gate pitch, and a plurality of middle-end-of-the-line (MEOL) structures are arranged on the plurality of source/drain regions.
  • MEOL middle-end-of-the-line
  • a plurality of conductive contacts are arranged over the plurality of MEOL structures.
  • a first metal interconnect wire is arranged over the plurality of conductive contacts, and a second metal interconnect wire arranged over the first metal interconnect wire.
  • a via rail is configured to electrically couple the first metal interconnect wire and the second metal interconnect wire.
  • the via rail has a length that is greater than the contact gate pitch.
  • the present disclosure relates to a method of forming an integrated circuit.
  • the method comprises forming a plurality of conductive contacts over a semiconductor substrate.
  • the method further comprises forming a first metal interconnect wire over the plurality of conductive contacts.
  • the method further comprises forming a via rail over the first metal interconnect wire, wherein the via rail has a length that continuously extends over two or more of the plurality of conductive contacts.
  • the method further comprises forming a second metal interconnect wire onto the via rail.

Abstract

The present disclosure relates to an integrated chip. The integrated chip includes a plurality of gate structures arranged over a substrate and between adjacent ones of a plurality of source/drain regions within the substrate. A plurality of conductive contacts are electrically coupled to the plurality of source/drain regions. A first interconnect wire is arranged over the plurality of conductive contacts, and a second interconnect wire arranged over the first interconnect wire. A via rail contacts the first interconnect wire and the second interconnect wire. The via rail has an outer sidewall that faces an outermost edge of the plurality of source/drain regions and that is laterally separated from the outermost edge of the plurality of source/drain regions by a non-zero distance. The outer sidewall of the via rail continuously extends past two or more of the plurality of gate structures.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This Application is a Continuation of U.S. application Ser. No. 16/106,395, filed on Aug. 21, 2018, which is a Divisional of U.S. application Ser. No. 15/213,506, filed on Jul. 19, 2016 (now U.S. Pat. No. 10,510,688, issued on Dec. 17, 2019), which claims the benefit of U.S. Provisional Application No. 62/246,366, filed on Oct. 26, 2015. The contents of the above-referenced Patent Applications are hereby incorporated by reference in their entirety.
  • BACKGROUND
  • Over the last four decades the semiconductor fabrication industry has been driven by a continual demand for greater performance (e.g., increased processing speed, memory capacity, etc.), a shrinking form factor, extended battery life, and lower cost. In response to this demand, the industry has continually reduced a size of semiconductor device components, such that modern day integrated chips may comprise millions or billions of semiconductor devices arranged on a single semiconductor die.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of an integrated circuit having a back-end-of-the-line (BEOL) metal stack comprising a via rail configured to mitigate electro-migration.
  • FIG. 2 illustrates a cross-sectional view of some additional embodiments of an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 3 illustrates a top-view of some additional embodiments of an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 4 illustrates a cross-sectional view of some additional embodiments of an integrated circuit having a via rail.
  • FIG. 5 illustrates some additional embodiments of a cross-sectional view an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIGS. 6A-6B illustrate some embodiments of an integrated circuit comprising a back-end-of-the-line (BEOL) metal stack with a via rail arranged over a plurality of FinFET devices.
  • FIGS. 7-17 illustrate cross-sectional views of some embodiments of a method of forming an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 18 illustrates a flow diagram of some embodiments of a method of forming an integrated circuit having a BEOL metal stack comprising a via rail.
  • FIG. 19 illustrates a flow diagram of some embodiments of a method of determining an optimized cell height for scaling based on electro-migration specifications.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Integrated chips (ICs) comprise power distribution networks that are configured to distribute power and ground voltages to multiple devices (e.g., transistors) within the ICs. Power distribution networks often comprise power rails, which are metal interconnect wires arranged within a back-end-of-the-line (BEOL) metal stack. The power rails are held at a selected voltage potential and are electrically coupled to a plurality of devices, so as to provide the voltage potential to the devices. For example, integrated chips often comprise a first power rail held at a source voltage potential (VSS) and a second power rail held at a ground voltage potential (VDD).
  • Typically, power rails are arranged on a lower metal interconnect wire (e.g., a “M1” layer). However, as the size of integrated chips decreases the size of the power rails has also decreased, causing a high current density within the power rails. It has been appreciated that in emerging technology nodes (e.g., 14 nm, 10 nm, 7 nm, 5 nm, etc.) the high current density can lead to reliability concerns such as electro-migration and/or IR issues (due to a higher resistance of the smaller metal interconnect wires). To improve electro-migration larger power rails (e.g., having a larger width and/or the height) may be used, however such an approach prevents proper scaling of cell sizes. Alternatively, multiple stacked metal interconnect wire layers may be collectively operated to act as a power rail structure. However, such an approach cannot prevent electro-migration ‘hot spots’ (i.e., areas within one or more of the multiple metal interconnect wire layers experiencing high electro-migration).
  • The present disclosure relates to an integrated circuit having a power rail structure, comprising two metal wire layers and an intervening via rail, which enables proper cell scaling while preventing reliability concerns such as electro-migration and/or IR issues. In some embodiments, the integrated circuit comprises a first plurality of conductive contacts arranged over a semiconductor substrate. A first metal interconnect wire is arranged over the first plurality of conductive contacts, and a second metal interconnect wire arranged over the first metal interconnect wire. A via rail is arranged over the first metal interconnect wire and is configured to electrically couple the first metal interconnect wire and the second metal interconnect wire. The via rail has a length that continuously extends over two or more of the plurality of conductive contacts. The length of the via rail provides for an increased cross-sectional area both between the first metal interconnect wire and the second metal interconnect wire and along a length of the via rail, thereby mitigating electro-migration and electro-migration hot spots within the integrated circuit.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of an integrated circuit 100 having a back-end-of-the-line (BEOL) metal stack comprising a via rail configured to mitigate electro-migration.
  • The integrated circuit 100 comprises a well region 106 arranged within a semiconductor substrate 102. The well region 106 comprises an active area 104 comprising a plurality of source/drain regions 108 arranged within the well region 106. The well region 106 has a first doping type that is opposite a second doping type of the semiconductor substrate 102. The plurality of source/drain regions 108 comprise highly doped regions (e.g., having a doping concentration greater than that of the surrounding semiconductor substrate 102 and/or well region 106) having the second doping type. For example, a PMOS active area formed within a p-type substrate may comprise p-type source/drain regions arranged within an n-well.
  • A plurality of gate structures 110 (to simplify the illustration, a single gate structure 110 is labeled in the figures) are arranged over the semiconductor substrate 102 between the plurality of source/drain regions 108. The plurality of gate structures 110 are configured to control a flow of charge carriers (e.g., holes or electrons) within a channel region 109 extending between adjacent source/drain regions 108 during operation of a transistor device 107. A plurality of middle-end-of-the-line (MEOL) structures 112 (to simplify the illustration, a single MEOL structure 112 is labeled in the figures) are arranged over the source/drain regions 108 at locations interleaved between the plurality of gate structures 110. The plurality of MEOL structures 112 comprise conductive material arranged in contact with the source/drain regions 108. The plurality of MEOL structures 112 are configured to provide for lateral signal routing (e.g., signal routing in a direction parallel to a top surface of the semiconductor substrate 102).
  • A plurality of conductive contacts 114 (to simplify the illustration, a single conductive contact 114 is labeled in the figures) are coupled to transistor devices 107 within the semiconductor substrate 102. In some embodiments, the plurality of conductive contacts 114 are arranged onto the plurality of MEOL structures 112. In other embodiments, the plurality of conductive contacts 114 may be arranged onto the plurality of gate structures 110. The plurality of conductive contacts 114 electrically couple the transistor devices 107 to a first metal interconnect wire 116. A via rail 118 is arranged over the first metal interconnect wire 116, and a second metal interconnect wire 120 is arranged on the via rail 118. A second via 122 is arranged on the second metal interconnect wire 120.
  • The via rail 118 has an elongated shape (e.g., a rectangular shape) with a length Lvr extending along a longer axis and a width extending along a shorter axis (i.e., into the plane of the drawing). The length Lvr of the via rail 118 is larger than a length Lc of respective ones of the plurality of conductive contacts 114 and/or a length Lv of the second via 122. In some embodiments, the via rail 118 extends past opposing sides of an underlying conductive contact 114 (e.g., from beyond a first sidewall of a conductive contact 114 to beyond an opposing, second sidewall of the conductive contact 114). In some embodiments, the via rail 118 continuously extends over two or more of the conductive contacts 114.
  • The length Lvr of the via rail 118 provides for an increased cross-sectional area between the first metal interconnect wire 116 and the second metal interconnect wire 120 and along a length of the via rail 118. The increased cross-sectional area reduces electro-migration stress and resistance (e.g., the via rail 118 may reduce electro-migration stress by more than 2 times that of traditional via structures). The length Lvr of the via rail 118 also enables improved scaling of integrated circuit layouts, since the increased cross-sectional area allows for a cell height to be reduced without significantly increasing electro-migration (e.g., the via rail 118 allows for a cross-sectional area of a current path of a power rail to increase while reducing a width of the power rail).
  • FIG. 2 illustrates a cross-sectional view of some additional embodiments of an integrated circuit 200 having a BEOL metal stack comprising a via rail.
  • The integrated circuit 200 comprises a plurality of source/drain regions 108 disposed within a well region 106 arranged within a semiconductor substrate 102. A dielectric structure 208 comprising an ILD layer 206 and a plurality of inter-metal dielectric (IMD) layers 208 a-208 d is arranged over the semiconductor substrate 102. In some embodiments, the ILD layer 206 may comprise an oxide (e.g., SiO2) or a doped silicate glass. In various embodiments, the plurality of IMD layers 208 a-208 d may comprise one or more of a low-k dielectric layer (i.e., a dielectric with a dielectric constant less than about 3.9), an ultra low-k dielectric layer, or an oxide (e.g., silicon oxide).
  • A plurality of gate structures 110 are arranged within the ILD layer 206 between adjacent source/drain regions 108. The plurality of gate structures 110 respectively comprise a gate dielectric layer 202 and an overlying gate electrode 204. In various embodiments, the gate dielectric layer 202 may comprise an oxide or a high-k dielectric layer. In some embodiments, the gate electrode 204 may comprise polysilicon or a metal (e.g., aluminum). In some embodiments, sidewall spacers (not shown) may be arranged on opposing sides of the gate structures 110. In some embodiments, the plurality of gate structures 110 are arranged at a contact gate pitch pCGP (i.e., a spacing between left edges of the gate structures 110 or between right edges of the gate structures 110) having values that vary due to misalignment errors by less than or equal to approximately 5%.
  • A plurality of middle-end-of-the-line (MEOL) structures 112 are also arranged within the ILD layer 206 over the plurality of source/drain regions 108. In some embodiments, the plurality of MEOL structures 112 may have heights that are substantially equal to heights of the plurality of gate structures 110 (i.e., upper surfaces of the plurality of MEOL structures 112 are substantially co-planar with upper surfaces of the gate structures 110). The plurality of MEOL structures 112 may comprise a conductive material such as aluminum, copper, and/or tungsten, for example.
  • A plurality of conductive contacts 114 are disposed within a first inter-metal dielectric (IMD) layer 208 a of the dielectric structure 208. In some embodiments, the conductive contacts 114 may comprise a conductive metal such as tungsten. The plurality of conductive contacts 114 are electrically coupled to a first metal interconnect wire 116 arranged within a second IMD layer 208 b overlying the first IMD layer 208 a. In some embodiments, the first metal interconnect wire 116 may comprise copper, aluminum, or a copper alloy.
  • A via rail 118 is arranged onto the first metal interconnect wire 116 and is configured to electrically couple the first metal interconnect wire 116 to an overlying second metal interconnect wire 120. In some embodiments, the via rail 118 may have a length Lvr that is greater than the contact gate pitch pCGP. In some embodiments, the via rail 118 and the second metal interconnect wire 120 may be arranged within a third IMD layer 208 c overlying the second IMD layer 208 b. In some embodiments, the via rail 118 and the second metal interconnect wire 120 may comprise copper, aluminum, or a copper alloy.
  • In some embodiments, the first metal interconnect wire 116 has a first height h1 and sidewalls with a first angle θ1. The via rail 118 has a second height h2 that is different than the first height h1. In some embodiments, for example, the second height h2 may be greater than the first height h1. In some embodiments, the first metal interconnect wire 116 may have sidewalls with a first angle θ1 and the via rail 118 may have sidewalls with a second angle θ2. In some embodiments, the first angle θ1 is substantially equal to the second angle θ2. In other embodiments, the first angle θ1 is different than the second angle θ2.
  • FIG. 3 illustrates a top-view of some additional embodiments of an integrated circuit 300 having a BEOL metal stack comprising a via rail.
  • The integrated circuit 300 comprises a first active area 104 a and a second active area 104 b arranged within a semiconductor substrate 102. The first active area 104 a and the second active area 104 b comprise source/drain regions extending along a first direction 302. In some embodiments, source/drain regions within the first active area 104 a have different doping types than source/drain regions within the second active area 104 b.
  • A plurality of gate structures 110 extend over the active areas, 104 a and 104 b, along a second direction 304 that is perpendicular to the first direction 302. A plurality of MEOL structures 112 extend over the active areas, 104 a and 104 b, along the second direction 304 at locations between the plurality of gate structures 110. The plurality of MEOL structures 112 are coupled to first metal interconnect wires, 116 a and 116 b, by way of conductive contacts 114. The first metal interconnect wires, 116 a and 116 b, are separated by the active areas, 104 a and 104 b, and extend in parallel over the plurality of gate structures 110 along the first direction 302. In some embodiments, an output pin 308 is on a same metal wire layer as the first metal interconnect wires and is coupled to the plurality of MEOL structures 112 by way of the conductive contacts 114.
  • Second metal interconnect wires, 120 a and 120 b, extend over the first metal interconnect wires, 116 a and 116 b, in the first direction 302. The first metal interconnect wires, 116 a and 116 b, are respectively coupled to the second metal interconnect wires, 120 a and 120 b, by way of via rails, 118 a and 118 b. The via rails, 118 a and 118 b, respectively comprise an elongated shape having a longer dimension extending in the first direction 302. In some embodiments, the via rails, 118 a and 118 b, have a substantially rectangular footprint. In some embodiments, the first metal interconnect wires, 116 a and 116 b, and the second metal interconnect wires, 120 a and 120 b, extend past opposing sidewalls of the via rails, 118 a and 118 b, in the first direction 302 and in the second direction 304.
  • The first metal interconnect wires, 116 a and 116 b, the via rails 118 a and 118 b, and the second metal interconnect wires, 120 a and 120 b, collectively comprise power rail structures, 306 a and 306 b, configured to distribute a voltage potential to multiple devices in the integrated circuit 300. In some embodiments, a first power rail structure 306 a may be held at a supply voltage (e.g., VDD), while a second power rail structure 306 b may be held at a ground voltage (e.g., VSS). In various embodiments, the power rail structures, 306 a and 306 b, may be arranged over or adjacent to the active areas, 104 a and 104 b.
  • By placing the via rails, 118 a and 118 b, respectively between the first metal interconnect wires, 116 a and 116 b, and the second metal interconnect wires, 120 a and 120 b, a cross-sectional area of the power rail structures, 306 a and 306 b, is increased. Increasing the cross-sectional area of the power rail structures, 306 a and 306 b, decreases electro-migration, and therefore also allows for a cell height 310 to be scaled without degrading electro-migration of the integrated circuit 300.
  • FIG. 4 illustrates a cross-sectional view of some additional embodiments of an integrated circuit 400 having a via rail.
  • The integrated circuit 400 comprises a plurality of metal interconnect layers arranged within a dielectric structure 208 overlying a semiconductor substrate 102. The plurality of metal interconnect layers comprise a local power rail structure 401 comprising a first metal interconnect wire 116, a via rail 118, and a second metal interconnect wire 120. In some embodiments, the plurality of metal interconnect layers further comprise a second power rail arranged on an upper metal interconnect wire 406 over the second metal interconnect wire 120. In such embodiments, the upper metal interconnect wire 406 may be held at a selected voltage (e.g., VSS or VDD). The upper metal interconnect wire 406 is coupled to the second metal interconnect wire 120 by way of a plurality of stacked intermediate metal interconnect wires 404 a-404 b and one or more intermediate vias 402 a-402 c. The via rail 118 continuously extends between first and second columns of the stacked intermediate metal interconnect wires 404 a-404 b and one or more intermediate vias 402 a-402 c, so as to provide current paths 408 having a relatively large cross-sectional area.
  • For example, during operation current travels from the upper metal interconnect wire 406 to the second metal interconnect wire 120 through the current paths 408. Since the size of the upper metal interconnect wire 406 is relatively large, the current density of the upper metal interconnect wire 406 is relatively small. However, the small size of the first and second metal interconnect wires, 116 and 120, causes the current density to increase (e.g., due to small cross-sectional area). The via rail 118 reduces the current density within the first metal interconnect wire 116 and the second metal interconnect wire 120 by increasing a cross-sectional area in a first direction 410 parallel to a surface of the semiconductor substrate 102 and in a second direction 412 perpendicular to the surface of the semiconductor substrate 102.
  • In some embodiments, a via 414 is arranged over the first metal interconnect wire 116 at a location offset from the via rail 118. The via 414 and the via rail 118 have a same width (into the plane of the drawing) and different lengths (in direction 410). For example, in some embodiments, the via rail 118 has length (in direction 410) that is more than five times a length of the via 414. In other embodiments, the via rail 118 has length that is more than ten times a length of the via 414.
  • Although the power rail structure 401 is shown as being on a first metal interconnect wire (e.g., a ‘M1’ layer) and a second metal interconnect wire (e.g., a ‘M2’ layer), it will be appreciated that power rail structure (e.g., metal interconnect wires held at VDD or VSS) may be arranged on additional and/or different metal wire layers separated by a via rail. For example, the power rail structure may comprise a third metal interconnect wire (e.g., a ‘M3’ layer), a fourth metal interconnect wire (e.g., a ‘M4’ layer), and a via rail located therebetween. Alternatively, the power rail structure may comprise the first metal interconnect wire 116, the second metal interconnect wire 120, the via rail 118, a third metal interconnect wire over the second metal interconnect wire 120 and a second via rail between the second metal interconnect wire 120 and the third metal interconnect wire, for example.
  • FIG. 5 illustrates a cross-sectional view of some additional embodiments of an integrated circuit 500 having a BEOL metal stack comprising a via rail.
  • The integrated circuit 500 comprises a dielectric structure 501 disposed over a semiconductor substrate 102. The dielectric structure 501 comprises a plurality of stacked dielectric layers (e.g., a low-k dielectric material, an oxide material, un-doped SiO2, fluorosilicate glass, etc.) including an ILD layer 206 and a plurality of IMD layers 208 a-208 d. In some embodiments, the plurality of stacked dielectric layers are separated by a plurality of etch stop layers 502 a-502 d. For example, the ILD layer 206 is separated from the first IMD layer 208 a by a first etch stop layer (ESL) 502 a, the first IMD layer 208 a is separated from a second IMD layer 208 b by a second ESL 502 b, etc. In various embodiments, the plurality of etch stop layers 502 a-502 d may comprise titanium nitride (TiN) and/or tantalum nitride (TaN).
  • A plurality of conductive contacts 114 are arranged within the ILD layer 206. A first diffusion barrier liner 504 a separates the plurality of conductive contacts 114 from the ILD layer 206. The first diffusion barrier liner 504 a is configured to prevent diffusion of metal atoms from the plurality of conductive contacts 114 to the ILD layer 206. In some embodiments, the first diffusion barrier liner 504 a may comprise tantalum (Ta) or titanium nitride (TaN). In some embodiments, the first diffusion barrier liner 504 a is located in direct contact with the first ESL 502 a.
  • A first metal interconnect wire 116 is arranged within the first IMD layer 208 a. A second diffusion barrier liner 504 b separates the first metal interconnect wire 116 from the first IMD layer 208 a. The second diffusion barrier liner 504 b also separates the first metal interconnect wire 116 from the plurality of conductive contacts 114. A second metal interconnect wire 120 is arranged over the first metal interconnect wire 116. In various embodiments, the first and second metal interconnect wires, 116 and 120, may comprise a conductive material, such as copper, aluminum, titanium, tungsten, etc.
  • The first metal interconnect wire 116 is electrically coupled to the second metal interconnect wire 120 by a via rail 118. An upper surface of the via rail 118 is in direct contact with the second metal interconnect wire 120. A third diffusion barrier liner 504 c separates the via rail 118 and the second metal interconnect wire 120 from the second IMD layer 208 b. The third diffusion barrier liner 504 c also separates a lower surface of the via rail 118 from the first metal interconnect wire 116. A second via 122 is arranged within a third IMD layer 208 c and a third metal interconnect wire 506 is arranged on the second via 122. The second via 122 is separated from the third IMD layer 208 c by a fourth diffusion barrier liner 504 d.
  • Although FIGS. 1-5 illustrate integrated circuits having a back-end-of-the-line (BEOL) metal stack arranged over planar transistors, it will be appreciated that the BEOL metal stack disclosed herein may also be used in conjunction with FinFET devices. FIGS. 6A-6B illustrate some embodiments of an integrated circuit comprising a back-end-of-the-line (BEOL) metal stack with a via rail arranged over a plurality of FinFET devices.
  • FIG. 6A illustrates a cross-sectional view of an integrated circuit 600 comprising a BEOL metal stack with a via rail 118 arranged over a plurality of FinFET devices 601. FIG. 6B illustrates a three-dimensional view 612 of the integrated chip 600 (cross-sectional view of FIG. 6A shown along line A-A′).
  • The integrated chip 600 comprises a fin of semiconductor material 604 protruding from an underlying semiconductor substrate 602. In some embodiments, the fin of semiconductor material 604 comprises the same material as the semiconductor substrate 602. Epitaxial source/drain regions 606 are arranged within the fin of semiconductor material 604.
  • A plurality of gate structures 608 (to simplify the illustration, a single gate structure 608 is labeled in the figures) are disposed over the semiconductor substrate 602. The plurality of gate structures 608 straddle the fin of semiconductor material 604. The plurality of gate structures 608 are configured to control the flow of charge carriers within the fin of semiconductor material 604. In some embodiments, the plurality of gate structures 608 may comprise a gate electrode and a gate dielectric layer 202, which separates the gate electrode from the fin of semiconductor material 604.
  • A dielectric layer 610 is arranged over the semiconductor substrate 602. The dielectric layer 610 extends along a planar surface of the semiconductor substrate 602, and along sidewalls and an upper surface of the fin of semiconductor material 604. In some embodiments, the dielectric layer 610 may comprise a silicon dioxide (SiO2) layer.
  • A plurality of middle-end-of-the-line (MEOL) structures 112 (to simplify the illustration, a single MEOL structure 112 is labeled in the figures) are arranged over the epitaxial source/drain regions 606 at locations interleaved between the plurality of gate structures 608. A plurality of conductive contacts 114 (to simplify the illustration, a single conductive contact 114 is labeled in the figures) are coupled to FinFET devices 601 within the semiconductor substrate 602. In some embodiments, the plurality of conductive contacts 114 are arranged onto the plurality of MEOL structures 112. In other embodiments, the plurality of conductive contacts 114 may be arranged onto the plurality of gate structures 608. The plurality of conductive contacts 114 electrically couple the transistor devices 107 to a first metal interconnect wire 116. A via rail 118 is arranged over the first metal interconnect wire 116, and a second metal interconnect wire 120 is arranged on the via rail 118. A second via 122 is arranged on the second metal interconnect wire 120. In some embodiments, the via rail 118 continuously extends over two or more of the conductive contacts 114.
  • FIGS. 7-17 illustrate cross-sectional views 700-1700 of some embodiments of a method of forming a via rail within a back-end-of-the-line (BEOL) metal stack.
  • As shown in cross-sectional view 700, a well region 106 is formed within a semiconductor substrate 102. In various embodiments, the semiconductor substrate 102 may be any type of semiconductor body (e.g., silicon, SiGe, SOI) such as a semiconductor wafer and/or one or more dies on a wafer, as well as any other type of metal layer, device, semiconductor and/or epitaxial layers, etc., associated therewith. The semiconductor substrate 102 may comprise an intrinsically doped semiconductor substrate having a first doping type (e.g., an n-type doping or a p-type doping).
  • The well region 106 may be formed by selectively implanting a dopant species 702 into the semiconductor substrate 102 (e.g., an n-well may be formed within a p-type substrate, to form a PMOS active area, by implanting a p-type dopant into the substrate prior to the formation of the gate structures). In some embodiments, the dopant species 702 may be selectively implanted into the semiconductor substrate 102 according to a first masking layer 704 arranged over the semiconductor substrate 102. In some embodiments, the first masking layer 704 may comprise a photoresist layer. In such embodiments, the first masking layer 704 may be patterned by selectively exposing a photoresist layer to radiation, and subsequently developing the photoresist layer.
  • As shown in cross-sectional view 800, a plurality of gate structures 110 are formed over the well region 106. The plurality of gate structures 110 comprise a gate electrode 204 separated from the semiconductor substrate 102 by a gate dielectric layer 202. In some embodiments, the plurality of gate structures 110 may be formed by forming a gate dielectric layer over the semiconductor substrate 102 and subsequently forming a gate electrode layer over the gate dielectric layer. The gate dielectric layer and the gate electrode layer are subsequently patterned according to a photolithography process to form the plurality of gate structures 110.
  • As shown in cross-sectional view 900, a plurality of source/drain regions 108 are formed within the well region 106. In some embodiments, the plurality of source/drain regions 108 may be formed by selectively implanting a dopant species 902 into the semiconductor substrate 102 according to the gate structures 110 and a second masking layer 904. In various embodiments, the dopant species 902 may comprise a p-type dopant (e.g., boron, gallium, etc.) or an n-type dopant (e.g., phosphorus, arsenic, etc.). In some embodiments, the dopant species 902 may be driven into the semiconductor substrate 102 by performing a subsequent high-temperature anneal. In some alternative embodiments, the source/drain regions 108 may be formed by etch and epitaxial growth processes at a location within or overlying the semiconductor substrate 102.
  • As shown in cross-sectional view 1000, a plurality of middle-end-of-the-line (MEOL) structures 112 are formed over the plurality of source/drain regions 108 at locations laterally interleaved between the plurality of gate structures 110. In some embodiments, the plurality of MEOL structures 112 are formed by depositing an inter-level dielectric (ILD) layer 206 over the semiconductor substrate 102. The ILD layer 206 is subsequently etched to form openings that are filled with a conductive material to form the plurality of MEOL structures 112. In other embodiments, the plurality of MEOL structures 112 may be formed prior to the formation of the ILD layer 206.
  • As shown in cross-sectional view 1100, a first inter-metal dielectric (IMD) layer 208 a is formed over the ILD layer 206. In some embodiments, a first etch stop layer (ESL) 502 a is formed over the ILD layer 206 prior to the formation of the first IMD layer 208 a, so that the first ESL 502 a separates the ILD layer 206 from the first IMD layer 208 a. In some embodiments, the first ESL 502 a may comprise a titanium nitride layer or tantalum layer deposited by a physical vapor deposition process (e.g., PVD, CVE, PE-CVD, ALD, etc.). In some embodiments, the first IMD layer 208 a may comprise a low-k dielectric layer formed by a physical vapor deposition process. The first IMD layer 208 a is subsequently exposed to an etchant 1102 according to a third masking layer 1104 (e.g., a photoresist layer) to form a plurality of conductive contact holes 1106.
  • As shown in cross-sectional view 1200, a first diffusion barrier liner 504 a is deposited in the conductive contact holes 1106. The first diffusion barrier liner 504 a is arranged along sidewalls and a lower surface of the conductive contact holes 1106. In some embodiments, the first diffusion barrier liner 504 a may be deposited using a physical vapor deposition processes (e.g., PVD, CVD, etc.). A conductive material (e.g., copper, tungsten, and/or aluminum) is formed within the conductive contact holes 1106 to form a plurality of conductive contacts 114. In some embodiments, the conductive material may be formed by first depositing a seed layer 1202 within the conductive contact holes 1106, followed by a subsequent plating process (e.g., an electroplating process, an electro-less plating process, etc.) to fill the conductive contact holes 1106 (with a conductive fill 1204). In some embodiments, a chemical mechanical polishing (CMP) process may be subsequently performed.
  • As shown in cross-sectional view 1300, a second IMD layer 208 b is formed over the first IMD layer 208 a. In some embodiments, a second ESL 502 b is formed over the first IMD layer 208 a, so that the second ESL 502 b separates the first IMD layer 208 a from the second IMD layer 208 b. A first metal interconnect wire 116 is formed within the second IMD layer 208 b. In some embodiments, the first metal interconnect wire 116 may be formed by forming the second IMD layer 208 b over the first IMD layer 208 a, and subsequently etching the second IMD layer 208 b to form a first metal wire trench 1302. A second diffusion barrier liner 504 b is deposited in the first metal wire trench 1302. The first metal wire trench 1302 is then filled with a conductive material (e.g., tungsten, copper, or aluminum copper) to form the first metal interconnect wire 116.
  • As shown in cross-sectional view 1400, a third IMD layer 208 c is formed over the second IMD layer 208 b. In some embodiments, a third ESL 502 c is formed between the second IMD layer 208 b and the third IMD layer 208 c. The third IMD layer 208 c is exposed to a first etchant 1402 according to a fourth masking layer 1404 to form a via rail hole 1406 extending into an upper surface of the third IMD layer 208 c. In some embodiments, the via rail hole 1406 extends along the upper surface of the third IMD layer 208 c over two or more of the plurality of conductive contacts 114.
  • As shown in cross-sectional view 1500, the third IMD layer 208 c is exposed to a second etchant 1502 according to a fifth masking layer 1504 to form a second metal wire trench 1506 extending into the upper surface of the third IMD layer 208 c. The via rail hole 1406 and the second metal wire trench 1506 collectively extend from the upper surface of the third IMD layer 208 c to the third ESL 502 c. In some embodiments, the etching operations shown in cross-sectional views 1400 and 1500 may be performed in a reverse order (e.g., so that the second metal wire trench 1506 is formed prior to forming the via rail hole 1406).
  • As shown in cross-sectional view 1600, a third diffusion barrier liner 504 c is deposited along sidewalls and lower surfaces of the via rail hole 1406 and the second metal wire trench 1506. A conductive material (e.g., copper, tungsten, and/or aluminum) is subsequently formed within the via rail hole 1406 and the second metal wire trench 1506. In some embodiments, the conductive material may be formed by first depositing a seed layer within the via rail hole 1406 and the second metal wire trench 1506, followed by a subsequent plating process (e.g., an electroplating process, an electro-less plating process) to fill the via rail hole 1406 and the second metal wire trench 1506. In some embodiments, a chemical mechanical polishing (CMP) process may be subsequently performed.
  • As shown in cross-sectional view 1700, a fourth IMD layer 208 d is formed over the third IMD layer 208 c. In some embodiments, a fourth ESL 502 d is formed between the third IMD layer 208 c and the fourth IMD layer 208 d. The fourth IMD layer 208 d is etched to form a second via hole 1702 and an overlying third metal wire trench 1704. A fourth diffusion barrier liner 504 d is deposited along sidewalls and lower surfaces of the second via hole 1702 and the third metal wire trench 1704. A conductive material (e.g., copper, tungsten, and/or aluminum) is subsequently formed within the second via hole 1702 and the third metal wire trench 1704 to form a via 122 and a third metal interconnect wire 520.
  • FIG. 18 illustrates a flow diagram of some embodiments of a method 1800 of forming an integrated circuit having a BEOL metal stack comprising a via rail. Although method 1800 is described in relation to FIGS. 7-17, it will be appreciated that the method 1800 is not limited to such structures, but instead may stand alone as a method independent of the structures.
  • Furthermore, while the disclosed methods (e.g., methods 1700 and 1800) are illustrated and described herein as a series of acts or events, it will be appreciated that the illustrated ordering of such acts or events are not to be interpreted in a limiting sense. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein. In addition, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein. Further, one or more of the acts depicted herein may be carried out in one or more separate acts and/or phases.
  • At 1802, an optimized height of a cell may be determined in some embodiments. In some embodiments, the optimized cell height may be determined based upon electro-migration specifications of simulations run on a cell layout. This is because the cell height impacts a width of the power rails (e.g., a smaller cell height will have a smaller power rail width than a larger cell height), such that the electro-migration specifications of the power rail may be a limiting factor of the optimized cell height. FIG. 19 illustrates a method 1900 of some embodiments corresponding to act 1802.
  • At 1804, a well region is formed within a semiconductor substrate. The well region may have a width that is determined based upon the optimized cell height. FIG. 7 illustrates a cross-sectional view 700 of some embodiments corresponding to act 1804.
  • At 1806, a plurality of gate structures are formed over the well region. FIG. 8 illustrates a cross-sectional view 800 of some embodiments corresponding to act 1806.
  • At 1808, a plurality of source/drain regions are formed within the well region on opposing sides of the plurality of gate structures. FIG. 9 illustrates a cross-sectional view 900 of some embodiments corresponding to act 1808.
  • At 1810, a plurality of middle-end-of-the-line (MEOL) structures are formed over the well region interleaved between the plurality of gate structures. FIG. 10 illustrates a cross-sectional view 1000 of some embodiments corresponding to act 1810.
  • At 1812, a plurality of conductive contacts are formed over the gate structures and/or the MEOL structures. FIGS. 11-12 illustrate cross-sectional views 1100-1200 of some embodiments corresponding to act 1812.
  • At 1814, a first metal interconnect wire is formed over the plurality of conductive contacts. FIG. 13 illustrates a cross-sectional view 1300 of some embodiments corresponding to act 1814.
  • At 1816, a via rail is formed over the first metal interconnect wire. The via rail has a length that extends over two or more of the conductive contacts. FIGS. 15-16 illustrate cross-sectional views 1400-1600 of some embodiments corresponding to act 1816.
  • At 1818, a second metal interconnect wire is formed over the via rail. FIG. 17 illustrates a cross-sectional view 1700 of some embodiments corresponding to act 1818.
  • FIG. 19 illustrates a flow diagram of some embodiments of a method 1900 of determining a cell height based on electro-migration specifications.
  • At 1902, an integrated circuit layout is provided. The integrated circuit layout has an initial cell height (e.g., between a first power rail held at VDD and a second power rail held at VSS) and MEOL structure pitch.
  • At 1904, a cell height and MEOL structure pitch is determined. The cell height and MEOL structure pitch correspond to a cross-sectional area between a power rail and a transistor device within an active area of a cell.
  • At 1906, a first electro-migration specification is determined for an integrated circuit layout having a single power rail structure (e.g., a power rail that is a first metal interconnect wire) within a cell having the determined cell height and MEOL structure pitch. In some embodiments, the first electro-migration specification may be determined using a simulation (e.g., SPICE).
  • At 1908, the first electro-migration specification is compared to a first predetermined electro-migration criteria. If the first electro-migration specification violates the first predetermined electro-migration criteria, the method 1900 proceeds to 1910. If the first electro-migration specification does not violate the first predetermined electro-migration criteria, the method 1900 proceeds to 1920.
  • At 1910, a second electro-migration specification is determined for an integrated circuit layout having a dual power rail structure (e.g., a power rail that is a first metal interconnect wire and an overlying second metal interconnect wire) within a cell having the initial cell height and MEOL structure pitch.
  • At 1912, the second electro-migration specification may be compared to the first predetermined electro-migration criteria. If the second electro-migration specification violates the first predetermined electro-migration criteria, the method 1900 proceeds to 1914. If the second electro-migration specification does not violate the first predetermined electro-migration criteria, the method 1900 proceeds to 1920.
  • At 1914, a third electro-migration specification is determined for an integrated circuit layout having a dual power rail structure with a via rail (e.g., a power rail that is a first metal wire layer and a second metal wire layer coupled by a via rail) within a cell having the determined cell height and MEOL structure pitch.
  • At 1916, the third electro-migration specification may be compared to the first predetermined electro-migration criteria. If the third electro-migration specification violates the first predetermined electro-migration criteria, the method 1900 proceeds to 1918. If the third electro-migration specification does not violate the first predetermined electro-migration criteria, the method 1900 proceeds to 1920.
  • At 1918, the cell height is increased. Increasing the cell height allows for the width of the power rails to be increased, thereby reducing the electro-migration specification. The method 1900 then repeats acts 1904-1916 using the new cell height.
  • At 1920, the first, second, or third electro-migration specification is compared to a second predetermined electro-migration criteria. If the first, second or third electro-migration specification do not violate the second predetermined electro-migration criteria, the first, second or third electro-migration specification pass the second predetermined electro-migration criteria by a relatively large amount, and the cell height can be further reduced at 1922. The method 1900 then repeats acts 1904-1916 using the new cell height.
  • However, if the first, second, or third electro-migration specification violates the second pre-determined electro-migration criteria, the first, second, or third electro-migration specifications marginally pass the second predetermined electro-migration criteria and the determined cell height is set as an optimized cell height (at 1924).
  • Therefore, the present disclosure relates to an integrated circuit having back-end-of-the-line (BEOL) metal stack that with a power rail structure, comprising two metal wire layers and an intervening via rail, which enables proper cell scaling while preventing reliability concerns such as electro-migration and/or IR issues.
  • In some embodiments, the present disclosure relates to an integrated circuit. The integrated circuit comprises a plurality of conductive contacts arranged over a semiconductor substrate. A first metal interconnect wire is arranged over the plurality of conductive contacts, and a second metal interconnect wire is arranged over the first metal interconnect wire. A via rail is arranged over the first metal interconnect wire and is configured to electrically couple the first metal interconnect wire and the second metal interconnect wire. The via rail has a length that continuously extends over two or more of the plurality of conductive contacts.
  • In other embodiments, the present disclosure relates to an integrated circuit. The integrated circuit comprises an active area comprising plurality of source/drain regions arranged within a well region disposed in a substrate. A plurality of gate structures are arranged over the substrate between adjacent ones of the plurality of source/drain regions at a contact gate pitch, and a plurality of middle-end-of-the-line (MEOL) structures are arranged on the plurality of source/drain regions. A plurality of conductive contacts are arranged over the plurality of MEOL structures. A first metal interconnect wire is arranged over the plurality of conductive contacts, and a second metal interconnect wire arranged over the first metal interconnect wire. A via rail is configured to electrically couple the first metal interconnect wire and the second metal interconnect wire. The via rail has a length that is greater than the contact gate pitch.
  • In yet other embodiments, the present disclosure relates to a method of forming an integrated circuit. The method comprises forming a plurality of conductive contacts over a semiconductor substrate. The method further comprises forming a first metal interconnect wire over the plurality of conductive contacts. The method further comprises forming a via rail over the first metal interconnect wire, wherein the via rail has a length that continuously extends over two or more of the plurality of conductive contacts. The method further comprises forming a second metal interconnect wire onto the via rail.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. An integrated chip, comprising:
a plurality of gate structures arranged over a substrate and between adjacent ones of a plurality of source/drain regions within the substrate;
a plurality of conductive contacts electrically coupled to the plurality of source/drain regions;
a first interconnect wire arranged over the plurality of conductive contacts;
a second interconnect wire arranged over the first interconnect wire;
a via rail contacting the first interconnect wire and the second interconnect wire, wherein the via rail has an outer sidewall that faces an outermost edge of the plurality of source/drain regions and that is laterally separated from the outermost edge of the plurality of source/drain regions by a non-zero distance; and
wherein the outer sidewall of the via rail continuously extends past two or more of the plurality of gate structures.
2. The integrated chip of claim 1, wherein the plurality of gate structures continuously extend from directly between adjacent ones of the plurality of source/drain regions to directly below the via rail.
3. The integrated chip of claim 1,
wherein the first interconnect wire comprises a first additional outer sidewall that faces the outermost edge of the plurality of source/drain regions and that is laterally separated from the outermost edge of the plurality of source/drain regions by a second non-zero distance; and
wherein the second interconnect wire comprises a second additional outer sidewall that faces the outermost edge of the plurality of source/drain regions and that is laterally separated from the outermost edge of the plurality of source/drain regions by a third non-zero distance.
4. The integrated chip of claim 1,
wherein the plurality of gate structures respectively have a length extending in a first direction and a width extending in a second direction, the width less than the length; and
wherein the plurality of gate structures protrude outward from below the via rail in the first direction.
5. The integrated chip of claim 1,
wherein two of the plurality of gate structures are arranged between closest neighboring ones of the plurality of conductive contacts along a first cross-sectional view; and
wherein the via rail continuously extends past outermost sidewalls of the closest neighboring ones of the plurality of conductive contacts along the first cross-sectional view.
6. The integrated chip of claim 1, wherein the via rail is configured to enable current to flow along both a first direction that is perpendicular to an upper surface of the substrate and along a second direction that is parallel to the upper surface of the substrate.
7. The integrated chip of claim 1, wherein the plurality of source/drain regions comprise epitaxial source/drain regions disposed on a fin of semiconductor material.
8. The integrated chip of claim 7, wherein the via rail laterally extends past an outer sidewall of the fin of semiconductor material.
9. An integrated chip, comprising:
a plurality of gate structures arranged over a substrate and between adjacent ones of a plurality of source/drain regions within the substrate;
a plurality of conductive contacts electrically coupled to the plurality of source/drain regions;
a first interconnect wire arranged over the plurality of conductive contacts;
a second interconnect wire arranged over the first interconnect wire;
a via rail contacting the first interconnect wire and the second interconnect wire, wherein the via rail continuously extends past the plurality of gate structures;
an inter-level dielectric (ILD) surrounding the via rail; and
a conductive via laterally separated from the via rail by the ILD, wherein the conductive via and the via rail have a substantially same width and different lengths.
10. The integrated chip of claim 9, wherein the first interconnect wire continuously extends from a lower surface contacting the plurality of conductive contacts to an upper surface contacting the via rail.
11. The integrated chip of claim 9, further comprising:
an additional via contacting an upper surface of the second interconnect wire at a location that is directly above an upper surface of the via rail.
12. The integrated chip of claim 9, wherein an upper surface of the first interconnect wire laterally extends past opposing sides of the via rail.
13. The integrated chip of claim 9, further comprising:
a plurality of middle-end-of-the-line (MEOL) structures continuously extending from directly over the plurality of source/drain regions to laterally outside the plurality of source/drain regions and directly below both the via rail and the first interconnect wire.
14. The integrated chip of claim 9, wherein the first interconnect wire is a disposed on a closest interconnect wire layer to the substrate and the second interconnect wire is disposed on a second closest interconnect wire layer to the substrate.
15. The integrated chip of claim 9, wherein the via rail and the conductive via are both on and in contact with an upper surface of the first interconnect wire.
16. The integrated chip of claim 9,
wherein the conductive via is disposed directly over one of the plurality of source/drain regions; and
wherein the conductive via and the via rail have the different lengths as measured along a cross-sectional view that includes two or more of the plurality of gate structures.
17. The integrated chip of claim 9, wherein the via rail has a first length that is more than five times a second length of the conductive via.
18. An integrated chip, comprising:
a plurality of gate structures arranged over a substrate and between adjacent ones of a plurality of source/drain regions within the substrate;
a plurality of conductive contacts electrically coupled to the plurality of source/drain regions;
a first interconnect wire arranged over the plurality of conductive contacts;
a second interconnect wire arranged over the first interconnect wire;
a via rail contacting the first interconnect wire and the second interconnect wire, wherein the via rail continuously extends past the plurality of gate structures;
wherein the via rail has a first angled sidewall that is oriented at a first angle with respect to a line that is normal to an upper surface of the substrate; and
wherein the first interconnect wire has a second angled sidewall that is oriented at a second angle with respect to the line that is normal to the upper surface of the substrate, the first angle is different than the second angle.
19. The integrated chip of claim 18, wherein the via rail has an outer sidewall that faces an outermost edge of the plurality of source/drain regions, that is laterally separated from the plurality of source/drain regions by a non-zero distance, and that continuously extends past two or more of the plurality of gate structures.
20. The integrated chip of claim 18, wherein the plurality of gate structures continuously extend from directly between adjacent ones of the plurality of source/drain regions to directly below the via rail.
US17/393,619 2015-10-26 2021-08-04 Via rail solution for high power electromigration Pending US20210366844A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/393,619 US20210366844A1 (en) 2015-10-26 2021-08-04 Via rail solution for high power electromigration

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562246366P 2015-10-26 2015-10-26
US15/213,506 US10510688B2 (en) 2015-10-26 2016-07-19 Via rail solution for high power electromigration
US16/106,395 US11088092B2 (en) 2015-10-26 2018-08-21 Via rail solution for high power electromigration
US17/393,619 US20210366844A1 (en) 2015-10-26 2021-08-04 Via rail solution for high power electromigration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/106,395 Continuation US11088092B2 (en) 2015-10-26 2018-08-21 Via rail solution for high power electromigration

Publications (1)

Publication Number Publication Date
US20210366844A1 true US20210366844A1 (en) 2021-11-25

Family

ID=58559051

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/213,506 Active US10510688B2 (en) 2015-10-26 2016-07-19 Via rail solution for high power electromigration
US16/106,395 Active 2036-12-14 US11088092B2 (en) 2015-10-26 2018-08-21 Via rail solution for high power electromigration
US16/682,377 Active US11063005B2 (en) 2015-10-26 2019-11-13 Via rail solution for high power electromigration
US17/393,619 Pending US20210366844A1 (en) 2015-10-26 2021-08-04 Via rail solution for high power electromigration

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US15/213,506 Active US10510688B2 (en) 2015-10-26 2016-07-19 Via rail solution for high power electromigration
US16/106,395 Active 2036-12-14 US11088092B2 (en) 2015-10-26 2018-08-21 Via rail solution for high power electromigration
US16/682,377 Active US11063005B2 (en) 2015-10-26 2019-11-13 Via rail solution for high power electromigration

Country Status (3)

Country Link
US (4) US10510688B2 (en)
CN (1) CN107039525B (en)
TW (1) TWI604589B (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793211B2 (en) 2015-10-20 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual power structure with connection pins
US10090244B2 (en) * 2016-07-27 2018-10-02 Qualcomm Incorporated Standard cell circuits employing high aspect ratio voltage rails for reduced resistance
US10290561B2 (en) * 2016-09-28 2019-05-14 Intel Corporation Thermal interfaces for integrated circuit packages
US10651170B2 (en) 2017-07-11 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Isolated wells for resistor devices
CN109786359B (en) * 2017-11-13 2020-11-27 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US11935825B2 (en) * 2018-09-28 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure, method, layout, and system
US11562953B2 (en) * 2018-10-23 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Cell having stacked pick-up region
CN111987064A (en) * 2019-05-22 2020-11-24 三星电子株式会社 Tap unit and semiconductor unit
US11735592B2 (en) * 2019-12-20 2023-08-22 Samsung Electronics Co., Ltd. Integrated circuit including integrated standard cell structure
US11264486B2 (en) * 2020-01-16 2022-03-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11217528B2 (en) * 2020-04-01 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having buried power rail disposed between two fins and method of making the same
US11569166B2 (en) 2020-08-31 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11943939B2 (en) * 2021-01-04 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method
US11640936B2 (en) * 2021-01-08 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of fabrication thereof
US20230061693A1 (en) * 2021-08-24 2023-03-02 Qualcomm Incorporated Three-dimensional (3d) interconnect structures employing via layer conductive structures in via layers and related fabrication methods
US11935929B2 (en) * 2021-10-21 2024-03-19 International Business Machines Corporation High aspect ratio shared contacts

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070295996A1 (en) * 2006-06-23 2007-12-27 Alpha & Omega Semiconductor, Ltd Closed cell configuration to increase channel density for sub-micron planar semiconductor power device
US20140141607A1 (en) * 2012-11-19 2014-05-22 International Business Machines Corporation Continuous via for power grid
US20160329276A1 (en) * 2015-05-07 2016-11-10 United Microelectronics Corp. Semiconductor integrated circuit layout structure

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3500308B2 (en) * 1997-08-13 2004-02-23 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated circuit
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JP4554011B2 (en) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
TWI261891B (en) * 2004-12-24 2006-09-11 Richtek Technology Corp Power metal oxide semiconductor transistor layout with lower output resistance and high current limit
US7332428B2 (en) * 2005-02-28 2008-02-19 Infineon Technologies Ag Metal interconnect structure and method
KR100675280B1 (en) 2005-06-22 2007-01-29 삼성전자주식회사 Selective copper alloy interconnections in semiconductor devices and methods of forming the same
US7414275B2 (en) * 2005-06-24 2008-08-19 International Business Machines Corporation Multi-level interconnections for an integrated circuit chip
US7279411B2 (en) 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
US8169014B2 (en) * 2006-01-09 2012-05-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interdigitated capacitive structure for an integrated circuit
JP4731456B2 (en) * 2006-12-19 2011-07-27 富士通セミコンダクター株式会社 Semiconductor device
US7834457B2 (en) 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US8056039B2 (en) 2008-05-29 2011-11-08 International Business Machines Corporation Interconnect structure for integrated circuits having improved electromigration characteristics
US8138554B2 (en) * 2008-09-17 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with local interconnects
US20100117190A1 (en) 2008-11-13 2010-05-13 Harry Chuang Fuse structure for intergrated circuit devices
US9793199B2 (en) * 2009-12-18 2017-10-17 Ati Technologies Ulc Circuit board with via trace connection and method of making the same
US8507957B2 (en) 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
JP5925611B2 (en) * 2012-06-21 2016-05-25 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US8921136B2 (en) * 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US9235674B2 (en) 2013-03-05 2016-01-12 Oracle International Corporation Mitigating electromigration effects using parallel pillars
US9620454B2 (en) 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070295996A1 (en) * 2006-06-23 2007-12-27 Alpha & Omega Semiconductor, Ltd Closed cell configuration to increase channel density for sub-micron planar semiconductor power device
US20140141607A1 (en) * 2012-11-19 2014-05-22 International Business Machines Corporation Continuous via for power grid
US20160329276A1 (en) * 2015-05-07 2016-11-10 United Microelectronics Corp. Semiconductor integrated circuit layout structure

Also Published As

Publication number Publication date
CN107039525A (en) 2017-08-11
US11088092B2 (en) 2021-08-10
US20180358309A1 (en) 2018-12-13
US20170117272A1 (en) 2017-04-27
US20200083182A1 (en) 2020-03-12
TW201725689A (en) 2017-07-16
US10510688B2 (en) 2019-12-17
TWI604589B (en) 2017-11-01
US11063005B2 (en) 2021-07-13
CN107039525B (en) 2020-05-01

Similar Documents

Publication Publication Date Title
US11063005B2 (en) Via rail solution for high power electromigration
US20220352072A1 (en) Standard-cell layout structure with horn power and smart metal cut
US10861790B2 (en) Power strap structure for high performance and low current density
US11024579B2 (en) Dual power structure with connection pins
US10163894B2 (en) FinFET-based ESD devices and methods for forming the same
US7834403B2 (en) Bipolar transistor FINFET technology
US10964781B2 (en) High voltage resistor device
US10784168B2 (en) Dummy MOL removal for performance enhancement
US10074606B2 (en) Via, trench or contact structure in the metallization, prematallization dielectric or interlevel dielectric layers of an integrated circuit
US20200135885A1 (en) Non-self aligned gate contacts formed over the active region of a transistor
US10269812B1 (en) Forming contacts for VFETs
US20230275024A1 (en) Graphite-Based Interconnects And Methods Of Fabrication Thereof
US11088075B2 (en) Layout structures with multiple fingers of multiple lengths
US20240096701A1 (en) Device with through via and related methods

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SIO, KAM-TOU;LAI, CHIH-MING;CHEN, CHUN-KUANG;AND OTHERS;SIGNING DATES FROM 20160621 TO 20160716;REEL/FRAME:057077/0940

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION