US20210181829A1 - Memory throttling - Google Patents

Memory throttling Download PDF

Info

Publication number
US20210181829A1
US20210181829A1 US17/249,392 US202117249392A US2021181829A1 US 20210181829 A1 US20210181829 A1 US 20210181829A1 US 202117249392 A US202117249392 A US 202117249392A US 2021181829 A1 US2021181829 A1 US 2021181829A1
Authority
US
United States
Prior art keywords
memory
memory device
controller
throttling
threshold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/249,392
Inventor
Reza Bacchus
Melvin Benedict
Eric L. Pope
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hewlett Packard Enterprise Development LP
Original Assignee
Hewlett Packard Enterprise Development LP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Enterprise Development LP filed Critical Hewlett Packard Enterprise Development LP
Priority to US17/249,392 priority Critical patent/US20210181829A1/en
Assigned to HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP reassignment HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: POPE, Eric L., BENEDICT, MELVIN K., BACCHUS, Reza
Publication of US20210181829A1 publication Critical patent/US20210181829A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/325Power saving in peripheral device
    • G06F1/3275Power saving in memory, e.g. RAM, cache
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/20Cooling means
    • G06F1/206Cooling means comprising thermal management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • G06F1/3215Monitoring of peripheral devices
    • G06F1/3225Monitoring of peripheral devices of memory devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/3058Monitoring arrangements for monitoring environmental properties or parameters of the computing system or of the computing system component, e.g. monitoring of power, currents, temperature, humidity, position, vibrations
    • G06F11/3062Monitoring arrangements for monitoring environmental properties or parameters of the computing system or of the computing system component, e.g. monitoring of power, currents, temperature, humidity, position, vibrations where the monitored property is the power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1689Synchronisation and timing concerns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/81Threshold
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2213/00Indexing scheme relating to interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F2213/16Memory access
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/04Arrangements for writing information into, or reading information out from, a digital store with means for avoiding disturbances due to temperature effects
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • Memory devices provide storage of data that may be accessed by a system through a memory controller.
  • Typical systems may include a memory controller communicating with multiple memory devices through a memory bus.
  • the memory controller can send access requests to each memory device to either read data from a particular address of a particular memory device or write data to the memory device.
  • FIG. 1 illustrates an example memory device
  • FIG. 2 illustrates an example system with the example memory device of FIG. 1 ;
  • FIG. 3 illustrates an example operation of the memory device of FIG. 1 with an example memory controller
  • FIG. 4 illustrates an example process for throttling processing of memory requests by the example memory device of FIG. 1 ;
  • FIGS. 5A and 5B illustrate an example process for throttling based on temperature
  • FIGS. 6A and 6B illustrate an example process for throttling based on quality of service
  • FIGS. 7A and 7B illustrate an example process for throttling based on power draw
  • FIG. 8 illustrates a block diagram of an example system with a computer-readable storage medium including instructions executable by a processor for throttling a memory device.
  • the memory device may include a throttling portion with a controller that can monitor certain parameters and, upon determining that at least one threshold has been exceeded, reduce the rate of processing of memory access requests from a memory controller.
  • the functionality or circuitry to determine the need to throttle and the control of the throttling is embedded within the memory device.
  • a particular system may include a memory controller communicating with different types of memory devices.
  • Such systems may give rise to issues related to compatibility and complexity of operation of the memory controller.
  • the memory controller may be required to control various types of devices.
  • the memory devices may be required to be constrained to a protocol which allows a memory controller to fully control operation of the memory device.
  • example memory devices which contain certain functionality within the memory device itself. This allows the memory devices to function with a memory controller with a non-deterministic protocol. Further, the load from the memory bus is significantly reduced by eliminating certain communications between the memory device and the memory controller.
  • the example memory device 100 of FIG. 1 may be a dynamic random-access memory (DRAM) device, As described in greater detail below, example DRAM devices may communicate data to and receive commands from a memory controller through a bidirectional data bus.
  • the example memory device 100 includes at least one memory region 110 for storing of data.
  • the memory regions 110 may store data in locations that are identified by addresses which may be included in the commands received from the memory controller.
  • Various memory devices may include any number of memory regions 110 . Further, the size of each memory region 110 may vary in various examples. Of course, the size and number of memory regions 110 determines the storage capacity of the memory device 100 .
  • the example memory device 100 further includes a controller 120 embedded in the memory device 100 .
  • the embedded controller 120 may be integrally formed or otherwise positioned within the memory device 100 .
  • the controller 120 may include hardware, software or firmware to allow the controller 120 to control various operations of the memory device 100 , including throttling the operation of the memory device 100 .
  • throttling may refer to reducing the rate of operation of the memory device 100 .
  • throttling may include slowing the processing of commands from a memory controller that is external to the memory device.
  • the commands may include requests for access to the memory regions 110 , for example, to read data from or write data to the memory regions 110 .
  • the example system 200 of FIG. 2 may be implemented in a. variety of computer systems.
  • the system 200 is implemented in a standard server system.
  • the example system 200 includes a central processing unit (CPU) 210 coupled to a memory controller 220 .
  • the CPU 210 may execute a variety of commands as may be indicated by firmware or software, for example.
  • the example system 200 of FIG. 2 may include multiple slots for memory devices (e.g., DRAM devices 100 , 202 , 204 ) that are coupled to the memory controller 220 through a memory bus 230 (e.g., an address bus). Based on the commands executed by the CPU 210 , the memory controller 220 may send a signal on the address bus 230 to access a particular memory device (e.g., DRAM 100 ) or groups of memory devices 100 , 202 , 204 installed on various slots coupled to the memory controller 220 .
  • a particular memory device e.g., DRAM 100
  • groups of memory devices 100 , 202 , 204 installed on various slots coupled to the memory controller 220 .
  • FIG. 2 illustrates the example memory device 100 of FIG. 1 in greater detail.
  • the example memory device 100 includes a clock 240 .
  • FIG. 2 further illustrates a throttling portion 250 of the example memory device 100 .
  • the throttling portion 250 of the example memory device 100 includes the controller 120 .
  • the controller 120 is a part of the example memory device 100 and, in various examples, a part of the throttling portion 250 of the example memory device 100 .
  • the controller 120 of the example memory device 100 may receive read or write signals from the memory controller 220 , for example.
  • the read or write signals from the memory controller 220 may include requests for access to certain portions of the memory regions 110 to write data to or read data from the accessed memory regions 110 .
  • the read or write signals from the memory controller 220 generally include an address corresponding to the location in the memory regions for which access is desired. The address may specify at least one of the memory regions, for example.
  • the controller 120 of the example memory device 100 may schedule processing of the access requests in the read or write signals from the memory controller 220 .
  • the example memory device 100 may have a default processing speed that may be a function of the hardware, firmware or software forming the example memory device 100 .
  • the default processing speed may be limited by the processing speed of the controller 120 .
  • the controller 120 may limit the speed at which the access requests are processed based. on one or more factors.
  • the controller 120 of the example memory device 100 may throttle processing of the access requests upon determining that a throttling threshold has been exceeded.
  • the throttling portion 250 of the example memory device 100 includes various portions 260 , 270 , 280 to facilitate throttling of the example memory device 100 .
  • the example portions 260 , 270 and 280 are described in greater detail below with reference to FIGS. 5A-7B .
  • the controller 120 and the various example portions 260 , 270 , 280 may be implemented as hardware, software, firmware or a combination thereof.
  • FIG. 3 illustrates an example operation of the memory device of FIGS. 1 and 2 with the example memory controller 220 .
  • the controller 120 of the example memory device 100 may receive read or write signals from the memory controller 220 which may include requests for access to certain portions of the memory regions 110 .
  • the controller 120 may respond to the read or write signals with, for example, a signal containing data that may be read from the memory region 110 or an acknowledgement of writing of data to the memory region.
  • the read or write signals from the memory controller 220 are not deterministic.
  • the timing of the response from the controller 120 to the signals from the memory controller 220 is independent of the memory controller 220 and the signals themselves. The timing of the response is determined internally by the example memory device 100 (e.g., the controller 120 ).
  • the example process 400 may be implemented in the controller 120 of the example memory device 100 described above in FIGS. 1-3 .
  • the example process 400 includes processing of memory access requests (block 410 ).
  • the example memory device 100 may receive read or write signals from the memory controller 220 , and the read or write signals may include requests to access the memory regions 110 .
  • the processing of the memory access requests may include processing the requested read or write command.
  • the controller 120 may retrieve data stored at a memory location specified in the read request and forward the retrieved data to the memory controller 220 , for example.
  • the controller 120 may access a desired memory location (e.g., in a particular memory region 110 ) and write data specified in the write request at the desired memory location.
  • the controller 120 determines if a throttling threshold has been exceeded (block 420 ).
  • the throttling threshold may be a value of any of a variety of parameters, an excess of which warrants throttling the operation of the example memory device 100 .
  • the throttling threshold may be a value of a parameter such as a temperature within the example memory device 100 , a quality-of-service parameter or a level of power being drawn by the example memory device 100 . for example.
  • the controller 120 of the example memory device 100 may determine that a throttling threshold has been exceeded by regularly or continuously monitoring the associated parameter.
  • the process 400 returns to block 410 and continues processing memory requests at the current speed, for example.
  • the current speed may be the default processing rate or the maximum processing rate of the sample memory device 100 .
  • the controller 120 throttles processing of memory access requests from the memory controller 220 (block 430 ).
  • the controller 120 may reduce the rate at which it responds to read or write signals from the memory controller 220 .
  • the controller 120 may hold the read or write signals in a buffer of the memory device 100 in order to reduce the rate of processing of the access requests in the read or write signals.
  • the example process 500 of FIG. 5B may be implemented in the controller 120 of the example memory device 100 described above.
  • the controller 120 of the example memory device 100 may receive read or write signals from, for example, a memory controller, such as the memory controller 220 illustrated in FIG. 2 .
  • the read or write signals may include access request for the memory regions 110 of the example memory device 100 .
  • the controller may process the access requests by reading from or writing to a specific location in the memory regions 110 .
  • the controller 120 may regularly or continuously obtain a temperature value of the example memory device 100 (block 510 ).
  • the controller 120 may communicate with a thermal portion 260 of the throttling portion 250 .
  • the thermal portion 260 may include circuitry to measure a temperature value or may simply include a trigger to indicate the temperature value has exceeded a predetermined value.
  • the controller 120 may determine, based on an indication from the thermal portion 260 , whether or not a temperature threshold has been exceeded (block 520 ).
  • the process 500 returns to block 510 , and the controller 120 continues to obtain temperature values.
  • the controller 120 may continue to process access requests at a current rate.
  • the controller 120 may throttle operation of the memory device (block 530 ), As noted above, throttling operation of the memory device may include reducing the rate of processing of the access requests.
  • the memory controller may access the clock 240 of the example memory device 100 to control the rate at which the access requests are processed.
  • the reduced rate may be a single predetermined rate that is lower than the maximum speed of the memory device. In other examples, the reduced rate may be dependent on the determined temperature of the memory device. For example, the controller 120 may reduce the rate a larger amount for a higher temperature value.
  • QoS quality of service
  • QoS for the example memory device 100 or a particular memory region 110 of the example memory device may be determined by one or more components or factors. Such components or factors may limit the frequency at which the example memory device 100 or a particular memory region 110 is accessed.
  • the QoS may be determined by the maximum bandwidth of the controller 120 of the example memory device 100 .
  • the QoS may be dependent on the arrangement of the memory device 100 with respect to the memory controller 220 or the CPU 210 illustrated in the example of FIG. 2 .
  • the example memory device 100 may be provided to operate in a non-uniform memory access (NUMA) configuration.
  • NUMA non-uniform memory access
  • the example memory device 100 may be accessible by a local processor (e.g., the CPU 210 of FIG. 2 ) or a remote processor (not shown).
  • the QoS of the example memory device 100 and various memory regions 110 may be different for the local processor than for the remote processor.
  • the example process 600 of FIG. 6B may be implemented in the controller 120 of the example memory device 100 described above.
  • the controller 120 of the example memory device 100 may receive read or write signals as described above.
  • the read or write signals may include requests for access to a specific memory region of the memory regions 110 (block 610 ).
  • the controller 120 may communicate with a QoS portion 270 of the example memory device 100 .
  • the QoS portion 270 may include QoS restrictions on one or more memory regions 110 .
  • the QoS portion 270 may include a different restriction for each memory region of the memory regions 110 .
  • the controller 120 may determine whether the requested memory region is subject to a QoS restriction (block 620 ), In this regard, the controller 120 may access the QoS portion 270 and obtain any restriction applicable to the requested memory region, If the requested memory region is not subject to any QoS restriction, the process may continue to block 650 , and the controller may process the access request. On the other hand, at block 620 , if the controller 120 determines that the requested memory region is subject to a QoS restriction, the controller determines whether a delay in processing the access request is needed (block 630 ).
  • a QoS restriction may indicate that a delay is needed by imposing a limit on the frequency of access requests processed for a memory region.
  • a memory region may include a QoS restriction indicating that an access request for that memory region may be processed once every n clock cycles.
  • the controller 120 may reset a counter for the particular memory region at n each time the memory region is accessed. The counter is decremented by one for each cycle of the clock 240 until it reaches zero. The controller 120 may not allow access to the memory region until the counter has reached zero. The value of n may be different for each memory region, and the controller 120 may update counters for each memory region at each clock cycle.
  • the controller 120 may determine that a delay in processing the access request is needed if the counter for the requested is greater than zero. If, at block 630 , the controller 120 determines that no delay is needed (e.g., the counter is at zero), the process proceeds to block 650 , and the controller processes the access request. On the other hand, if the controller 120 determines that a delay is needed in processing the access request (e.g., the counter is greater than zero), the controller 120 throttles operation of the example memory device 100 (block 640 ). In this regard, throttling of the example memory device 100 may include delaying of access requests for a particular memory region.
  • the delaying of access requests for one memory region may nevertheless allow processing of requests for other memory regions, thus allowing out-of-order processing of access requests.
  • an access request for a throttled memory region may be buffered, and a subsequent access request for another memory region may be processed.
  • FIGS. 7A and 7B an example of throttling based on power draw is illustrated.
  • the example process 700 of FIG. 7B may be implemented in the controller 120 of the example memory device 100 described above.
  • the controller 120 of the example memory device 100 may receive read or write signals.
  • the controller 120 may regularly or continuously obtain a power draw value of the example memory device 100 (block 710 ).
  • the controller 120 may communicate with a power portion 280 of the throttling portion 250 ,
  • the power portion 280 may include circuitry to measure the amount of power being drawn by the example memory device 100 .
  • the power portion 280 may not measure the precise amount of power being drawn buy may instead include a trigger to indicate that the level of power being drawn by the example memory device 100 has exceeded a predetermined value.
  • the controller 120 may determine, based on an indication from the power portion 280 , whether or not a power draw threshold has been exceeded (block 720 ).
  • the process 700 returns to block 710 , and the controller 120 continues to obtain an indication of the power draw level.
  • the controller 12 . 0 may continue to process access requests at a current rate.
  • the controller 120 may throttle operation of the memory device (block 730 ).
  • throttling operation of the memory device may include reducing the rate of processing of the access requests.
  • the memory controller may access the clock 240 of the example memory device 100 to control the rate at which the access requests are processed.
  • the reduced rate may be either a single reduced rate or a function of the power draw level. In this regard, a larger power draw may result is a lower rate of processing of access requests.
  • FIG. 8 illustrates a block diagram of an example system with a computer-readable storage medium including example instructions executable by a processor to provide throttling of a memory device.
  • the system 800 includes a processor 810 and a computer-readable storage medium 820 .
  • the computer-readable storage medium 820 includes example instructions 821 - 823 executable by the processor 810 to perform various functionalities described herein.
  • the example instructions 821 - 823 may be executable by a processor 810 that is embedded within a memory device, such as the controller 120 of the example memory device 100 described above.
  • the example instructions include receiving memory access requests instructions 821 .
  • the instructions 821 cause the processor 810 to receive read or write signals from an external memory controller, such as the memory controller 220 of FIG. 2 ,
  • the memory controller is external to the memory device, while the processor 810 is embedded within the memory device.
  • the example instructions 822 cause the processor 810 to determine a throttling threshold has been exceeded.
  • the throttling threshold may be associated with a temperature value of the memory device, a quality-of-service restriction or a power draw level of the memory device.
  • example instructions 823 cause the processor 810 to throttle processing of the memory access requests.
  • providing throttling functionality within the memory device may reduce the communication between the memory device and the memory controller to read or write signals.
  • issues related to compatibility between the memory controller and the memory device, as well as between the memory device and other memory devices on the same memory bus, may be reduced or eliminated.

Abstract

An example memory device comprises at least one memory region; and a controller to determine exceeding of a throttling threshold and to throttle processing of access requests for the at least one memory region.

Description

    BACKGROUND
  • Memory devices provide storage of data that may be accessed by a system through a memory controller. Typical systems may include a memory controller communicating with multiple memory devices through a memory bus. The memory controller can send access requests to each memory device to either read data from a particular address of a particular memory device or write data to the memory device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of various examples, reference is now made to the following descriptions taken in connection with the accompanying drawings in which:
  • FIG. 1 illustrates an example memory device;
  • FIG. 2 illustrates an example system with the example memory device of FIG. 1;
  • FIG. 3 illustrates an example operation of the memory device of FIG. 1 with an example memory controller;
  • FIG. 4 illustrates an example process for throttling processing of memory requests by the example memory device of FIG. 1;
  • FIGS. 5A and 5B illustrate an example process for throttling based on temperature;
  • FIGS. 6A and 6B illustrate an example process for throttling based on quality of service;
  • FIGS. 7A and 7B illustrate an example process for throttling based on power draw; and
  • FIG. 8 illustrates a block diagram of an example system with a computer-readable storage medium including instructions executable by a processor for throttling a memory device.
  • DETAILED DESCRIPTION
  • Various examples described herein provide for a memory device with the ability to throttle operation of the memory device under certain circumstances. The memory device may include a throttling portion with a controller that can monitor certain parameters and, upon determining that at least one threshold has been exceeded, reduce the rate of processing of memory access requests from a memory controller. The functionality or circuitry to determine the need to throttle and the control of the throttling is embedded within the memory device.
  • With emerging memory technologies, a particular system may include a memory controller communicating with different types of memory devices. Such systems may give rise to issues related to compatibility and complexity of operation of the memory controller. For example, the memory controller may be required to control various types of devices. Alternatively, the memory devices may be required to be constrained to a protocol which allows a memory controller to fully control operation of the memory device.
  • In accordance with examples described herein, example memory devices are provided which contain certain functionality within the memory device itself. This allows the memory devices to function with a memory controller with a non-deterministic protocol. Further, the load from the memory bus is significantly reduced by eliminating certain communications between the memory device and the memory controller.
  • Referring first to FIG. 1, an example memory device is illustrated. The example memory device 100 of FIG. 1 may be a dynamic random-access memory (DRAM) device, As described in greater detail below, example DRAM devices may communicate data to and receive commands from a memory controller through a bidirectional data bus. The example memory device 100 includes at least one memory region 110 for storing of data. The memory regions 110 may store data in locations that are identified by addresses which may be included in the commands received from the memory controller. Various memory devices may include any number of memory regions 110. Further, the size of each memory region 110 may vary in various examples. Of course, the size and number of memory regions 110 determines the storage capacity of the memory device 100.
  • The example memory device 100 further includes a controller 120 embedded in the memory device 100. In this regard, the embedded controller 120 may be integrally formed or otherwise positioned within the memory device 100. As described with reference to the various examples below, the controller 120 may include hardware, software or firmware to allow the controller 120 to control various operations of the memory device 100, including throttling the operation of the memory device 100. As used herein, “throttling” may refer to reducing the rate of operation of the memory device 100. For example, throttling may include slowing the processing of commands from a memory controller that is external to the memory device. The commands may include requests for access to the memory regions 110, for example, to read data from or write data to the memory regions 110.
  • Referring now to FIG. 2, an example system 200 with the example memory device 100 of FIG. 1 is illustrated. The example system 200 of FIG. 2 may be implemented in a. variety of computer systems. In one example, the system 200 is implemented in a standard server system. The example system 200 includes a central processing unit (CPU) 210 coupled to a memory controller 220. The CPU 210 may execute a variety of commands as may be indicated by firmware or software, for example.
  • Various commands executed by the CPU 210 may require access to data or other information stored in the memory of the example system 200. In this regard, the example system 200 is provided with various memory systems. The example system 200 of FIG. 2 may include multiple slots for memory devices (e.g., DRAM devices 100, 202, 204) that are coupled to the memory controller 220 through a memory bus 230 (e.g., an address bus). Based on the commands executed by the CPU 210, the memory controller 220 may send a signal on the address bus 230 to access a particular memory device (e.g., DRAM 100) or groups of memory devices 100, 202, 204 installed on various slots coupled to the memory controller 220.
  • FIG. 2 illustrates the example memory device 100 of FIG. 1 in greater detail. In addition to the memory regions 110 described above with reference to FIG. 1, the example memory device 100 includes a clock 240. FIG. 2 further illustrates a throttling portion 250 of the example memory device 100. In particular, as illustrated in FIG. 2, the throttling portion 250 of the example memory device 100 includes the controller 120. As noted above, the controller 120 is a part of the example memory device 100 and, in various examples, a part of the throttling portion 250 of the example memory device 100. As illustrated in FIG. 3, the controller 120 of the example memory device 100 may receive read or write signals from the memory controller 220, for example. The read or write signals from the memory controller 220 may include requests for access to certain portions of the memory regions 110 to write data to or read data from the accessed memory regions 110. In this regard, the read or write signals from the memory controller 220 generally include an address corresponding to the location in the memory regions for which access is desired. The address may specify at least one of the memory regions, for example.
  • The controller 120 of the example memory device 100 may schedule processing of the access requests in the read or write signals from the memory controller 220. In this regard, the example memory device 100 may have a default processing speed that may be a function of the hardware, firmware or software forming the example memory device 100. For example, the default processing speed may be limited by the processing speed of the controller 120. In addition, the controller 120 may limit the speed at which the access requests are processed based. on one or more factors. For example, the controller 120 of the example memory device 100 may throttle processing of the access requests upon determining that a throttling threshold has been exceeded. In this regard, the throttling portion 250 of the example memory device 100 includes various portions 260, 270, 280 to facilitate throttling of the example memory device 100. The example portions 260, 270 and 280 are described in greater detail below with reference to FIGS. 5A-7B. In various examples, the controller 120 and the various example portions 260, 270, 280 may be implemented as hardware, software, firmware or a combination thereof.
  • FIG. 3 illustrates an example operation of the memory device of FIGS. 1 and 2 with the example memory controller 220. As noted above, the controller 120 of the example memory device 100 may receive read or write signals from the memory controller 220 which may include requests for access to certain portions of the memory regions 110. The controller 120 may respond to the read or write signals with, for example, a signal containing data that may be read from the memory region 110 or an acknowledgement of writing of data to the memory region. In accordance with various examples, the read or write signals from the memory controller 220 are not deterministic. In this regard, the timing of the response from the controller 120 to the signals from the memory controller 220 is independent of the memory controller 220 and the signals themselves. The timing of the response is determined internally by the example memory device 100 (e.g., the controller 120).
  • Referring now to FIG. 4, an example process 400 is illustrated for throttling processing of memory requests by the example memory device 100 of FIG. 1. The example process 400 may be implemented in the controller 120 of the example memory device 100 described above in FIGS. 1-3. The example process 400 includes processing of memory access requests (block 410). In this regard, as described above, the example memory device 100 may receive read or write signals from the memory controller 220, and the read or write signals may include requests to access the memory regions 110. The processing of the memory access requests may include processing the requested read or write command. In the case of a read request, the controller 120 may retrieve data stored at a memory location specified in the read request and forward the retrieved data to the memory controller 220, for example. In the case of a write request, the controller 120 may access a desired memory location (e.g., in a particular memory region 110) and write data specified in the write request at the desired memory location.
  • In accordance with the example process 400, the controller 120 determines if a throttling threshold has been exceeded (block 420). The throttling threshold may be a value of any of a variety of parameters, an excess of which warrants throttling the operation of the example memory device 100. The throttling threshold may be a value of a parameter such as a temperature within the example memory device 100, a quality-of-service parameter or a level of power being drawn by the example memory device 100. for example. The controller 120 of the example memory device 100 may determine that a throttling threshold has been exceeded by regularly or continuously monitoring the associated parameter.
  • If the controller determines that no throttling threshold has been exceeded, the process 400 returns to block 410 and continues processing memory requests at the current speed, for example. The current speed may be the default processing rate or the maximum processing rate of the sample memory device 100.
  • On the other hand, if the controller 120 determines that at least one throttling threshold has been exceeded, the controller 120 throttles processing of memory access requests from the memory controller 220 (block 430). As noted above, the controller 120 may reduce the rate at which it responds to read or write signals from the memory controller 220. In one example, the controller 120 may hold the read or write signals in a buffer of the memory device 100 in order to reduce the rate of processing of the access requests in the read or write signals.
  • Referring now to FIGS. 5A and 5B, an example of throttling based on temperature is illustrated. Again, the example process 500 of FIG. 5B may be implemented in the controller 120 of the example memory device 100 described above. As illustrated in FIG. 5A, the controller 120 of the example memory device 100 may receive read or write signals from, for example, a memory controller, such as the memory controller 220 illustrated in FIG. 2. The read or write signals may include access request for the memory regions 110 of the example memory device 100. The controller may process the access requests by reading from or writing to a specific location in the memory regions 110.
  • The controller 120 may regularly or continuously obtain a temperature value of the example memory device 100 (block 510). In this regard, the controller 120 may communicate with a thermal portion 260 of the throttling portion 250. The thermal portion 260 may include circuitry to measure a temperature value or may simply include a trigger to indicate the temperature value has exceeded a predetermined value. Thus, the controller 120 may determine, based on an indication from the thermal portion 260, whether or not a temperature threshold has been exceeded (block 520).
  • If the temperature threshold has not been exceeded, the process 500 returns to block 510, and the controller 120 continues to obtain temperature values. In this regard, the controller 120 may continue to process access requests at a current rate.
  • On the other hand, if an indication from the thermal portion 260 indicates that the temperature threshold has been exceeded, the controller 120 may throttle operation of the memory device (block 530), As noted above, throttling operation of the memory device may include reducing the rate of processing of the access requests. In this regard, the memory controller may access the clock 240 of the example memory device 100 to control the rate at which the access requests are processed. The reduced rate may be a single predetermined rate that is lower than the maximum speed of the memory device. In other examples, the reduced rate may be dependent on the determined temperature of the memory device. For example, the controller 120 may reduce the rate a larger amount for a higher temperature value.
  • Referring now to FIGS. 6A and 6B, an example of throttling based on quality of service (QoS) is illustrated. In various examples, QoS for the example memory device 100 or a particular memory region 110 of the example memory device may be determined by one or more components or factors. Such components or factors may limit the frequency at which the example memory device 100 or a particular memory region 110 is accessed. For example, the QoS may be determined by the maximum bandwidth of the controller 120 of the example memory device 100. In further examples, the QoS may be dependent on the arrangement of the memory device 100 with respect to the memory controller 220 or the CPU 210 illustrated in the example of FIG. 2. In one example, the example memory device 100 may be provided to operate in a non-uniform memory access (NUMA) configuration. In a MUMA configuration, the example memory device 100 may be accessible by a local processor (e.g., the CPU 210 of FIG. 2) or a remote processor (not shown). The QoS of the example memory device 100 and various memory regions 110 may be different for the local processor than for the remote processor.
  • The example process 600 of FIG. 6B may be implemented in the controller 120 of the example memory device 100 described above. As illustrated in FIG. 6A, the controller 120 of the example memory device 100 may receive read or write signals as described above. The read or write signals may include requests for access to a specific memory region of the memory regions 110 (block 610). As illustrated in FIG. 6A, the controller 120 may communicate with a QoS portion 270 of the example memory device 100. The QoS portion 270 may include QoS restrictions on one or more memory regions 110. In one example, the QoS portion 270 may include a different restriction for each memory region of the memory regions 110.
  • Upon receiving a memory request for a memory region, the controller 120 may determine whether the requested memory region is subject to a QoS restriction (block 620), In this regard, the controller 120 may access the QoS portion 270 and obtain any restriction applicable to the requested memory region, If the requested memory region is not subject to any QoS restriction, the process may continue to block 650, and the controller may process the access request. On the other hand, at block 620, if the controller 120 determines that the requested memory region is subject to a QoS restriction, the controller determines whether a delay in processing the access request is needed (block 630).
  • A QoS restriction may indicate that a delay is needed by imposing a limit on the frequency of access requests processed for a memory region. For example, a memory region may include a QoS restriction indicating that an access request for that memory region may be processed once every n clock cycles. In this regard, the controller 120 may reset a counter for the particular memory region at n each time the memory region is accessed. The counter is decremented by one for each cycle of the clock 240 until it reaches zero. The controller 120 may not allow access to the memory region until the counter has reached zero. The value of n may be different for each memory region, and the controller 120 may update counters for each memory region at each clock cycle.
  • Thus, at block 630, the controller 120 may determine that a delay in processing the access request is needed if the counter for the requested is greater than zero. If, at block 630, the controller 120 determines that no delay is needed (e.g., the counter is at zero), the process proceeds to block 650, and the controller processes the access request. On the other hand, if the controller 120 determines that a delay is needed in processing the access request (e.g., the counter is greater than zero), the controller 120 throttles operation of the example memory device 100 (block 640). In this regard, throttling of the example memory device 100 may include delaying of access requests for a particular memory region. In one example, the delaying of access requests for one memory region may nevertheless allow processing of requests for other memory regions, thus allowing out-of-order processing of access requests. For example, an access request for a throttled memory region may be buffered, and a subsequent access request for another memory region may be processed.
  • Referring now to FIGS. 7A and 7B, an example of throttling based on power draw is illustrated. Again, the example process 700 of FIG. 7B may be implemented in the controller 120 of the example memory device 100 described above. As illustrated in FIG. 7A and as described above, the controller 120 of the example memory device 100 may receive read or write signals.
  • The controller 120 may regularly or continuously obtain a power draw value of the example memory device 100 (block 710). In this regard, the controller 120 may communicate with a power portion 280 of the throttling portion 250, The power portion 280 may include circuitry to measure the amount of power being drawn by the example memory device 100. In some examples, the power portion 280 may not measure the precise amount of power being drawn buy may instead include a trigger to indicate that the level of power being drawn by the example memory device 100 has exceeded a predetermined value. Thus, the controller 120 may determine, based on an indication from the power portion 280, whether or not a power draw threshold has been exceeded (block 720).
  • If the power draw threshold has not been exceeded, the process 700 returns to block 710, and the controller 120 continues to obtain an indication of the power draw level. In this regard, the controller 12.0 may continue to process access requests at a current rate.
  • On the other hand, if an indication from the power portion 280 indicates that a power draw threshold has been exceeded, the controller 120 may throttle operation of the memory device (block 730). As noted above, throttling operation of the memory device may include reducing the rate of processing of the access requests. In this regard, the memory controller may access the clock 240 of the example memory device 100 to control the rate at which the access requests are processed. Again, the reduced rate may be either a single reduced rate or a function of the power draw level. In this regard, a larger power draw may result is a lower rate of processing of access requests.
  • FIG. 8 illustrates a block diagram of an example system with a computer-readable storage medium including example instructions executable by a processor to provide throttling of a memory device. The system 800 includes a processor 810 and a computer-readable storage medium 820. The computer-readable storage medium 820 includes example instructions 821-823 executable by the processor 810 to perform various functionalities described herein. As noted above, the example instructions 821-823 may be executable by a processor 810 that is embedded within a memory device, such as the controller 120 of the example memory device 100 described above.
  • The example instructions include receiving memory access requests instructions 821. The instructions 821 cause the processor 810 to receive read or write signals from an external memory controller, such as the memory controller 220 of FIG. 2, In this regard, the memory controller is external to the memory device, while the processor 810 is embedded within the memory device.
  • The example instructions 822 cause the processor 810 to determine a throttling threshold has been exceeded. As described above, in various examples the throttling threshold may be associated with a temperature value of the memory device, a quality-of-service restriction or a power draw level of the memory device. Further, example instructions 823 cause the processor 810 to throttle processing of the memory access requests.
  • Thus, providing throttling functionality within the memory device may reduce the communication between the memory device and the memory controller to read or write signals. In this regard, issues related to compatibility between the memory controller and the memory device, as well as between the memory device and other memory devices on the same memory bus, may be reduced or eliminated.
  • The various examples set forth herein are described in terms of example block diagrams, flow charts and other illustrations. Those skilled in the art will appreciate that the illustrated examples and their various alternatives can be implemented without confinement to the illustrated examples. For example, block diagrams and their accompanying description should not be construed as mandating a particular architecture or configuration.

Claims (15)

What is claimed is:
1. A memory device, comprising:
at least one memory region; and.
a controller to determine when a throttling threshold has been exceeded, the controller being to throttle processing of access requests for the at least one memory region.
2. The memory device of claim 1, wherein the memory device is a dynamic random access memory (DRAM) device.
3. The memory device of claim 1, wherein the controller is to receive access requests from an external memory controller.
4. The memory device of claim 1, wherein the controller comprises at least one of:
a thermal portion to determine if a temperature threshold has been exceeded and to facilitate throttling of access requests for the at least one memory region when the temperature threshold has been exceeded;
a quality-of-service (QoS) portion to determine if an access threshold for a first memory region of the at least one memory region has been exceeded and to facilitate throttling of access requests for the first memory region when the access threshold for the first memory region has been exceeded; or
a power control portion to determine if a power draw threshold for the memory device has been exceeded and to facilitate throttling of access requests for the at least one memory region when the power draw threshold has been exceeded.
5. The memory device of claim 4, wherein the QoS portion includes a separate counter for each of the at least one memory region.
6. The memory device of claim 1, wherein the controller is at least one of hardware, software or firmware.
7. A method, comprising:
receiving, by a memory device, a memory access request from a memory controller for access to at least one memory region of the memory device;
determining, by a controller of the memory device, when a throttling threshold associated with the memory device has been exceeded; and
throttling processing of the memory access requests, wherein the throttling includes reducing a rate of processing of the memory access request for the at least one memory region.
8. The method of claim 7, wherein the memory device is a dynamic random access memory (DRAM) device.
9. The method of claim 7, wherein the determining when the throttling threshold has been exceeded comprises:
obtaining a temperature of a portion of the memory device; and
determining that the temperature is above a temperature threshold.
10. The method of claim 7, wherein the determining when the throttling threshold has been exceeded comprises:
identifying a first memory region of the at least one memory region associated with the memory access request;
determining that the first memory region is subject to a quality-of-service (QoS) restriction; and
determining if the QoS restriction dictates a delay in processing the memory access request.
11. The method of claim 7, wherein the determining when the throttling threshold has been exceeded comprises:
obtaining a power draw level for the memory device; and
determining that the power draw level is above a power draw threshold.
12. A non-transitory computer-readable medium encoded with instructions executable by a processor of a computing system, the computer-readable storage medium comprising instructions to:
receive a memory access request from a memory controller for access to at least one memory region of the memory device;
determine when a throttling threshold associated with the memory device has been exceeded; and
throttling processing of the memory access requests, wherein the throttling includes reducing a rate of processing of the memory access request for the at least one memory region.
13. The non-transitory computer-readable medium of claim 12, wherein the determining when the throttling threshold has been exceeded comprises:
obtaining a temperature of a portion of the memory device; and
determining that the temperature is above a temperature threshold.
14. The non-transitory computer-readable medium of claim 12, wherein the determining when the throttling threshold has been exceeded comprises:
identifying a first memory region of the at least one memory region associated memory access request;
determining that the first memory region is subject to a quality-of-service (QoS) restriction; and
determining if the QoS restriction dictates a delay in processing the memory access request.
15. The non-transitory computer-readable medium of claim 12, wherein the determining when the throttling threshold has been exceeded comprises:
obtaining a power draw level for the memory device; and
determining that the power draw level is above a power draw threshold.
US17/249,392 2015-12-21 2021-03-01 Memory throttling Abandoned US20210181829A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/249,392 US20210181829A1 (en) 2015-12-21 2021-03-01 Memory throttling

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2015/066991 WO2017111887A1 (en) 2015-12-21 2015-12-21 Memory throttling
US201816064050A 2018-06-20 2018-06-20
US17/249,392 US20210181829A1 (en) 2015-12-21 2021-03-01 Memory throttling

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US16/064,050 Continuation US10936044B2 (en) 2015-12-21 2015-12-21 Quality of service based memory throttling
PCT/US2015/066991 Continuation WO2017111887A1 (en) 2015-12-21 2015-12-21 Memory throttling

Publications (1)

Publication Number Publication Date
US20210181829A1 true US20210181829A1 (en) 2021-06-17

Family

ID=59091118

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/064,050 Active 2036-07-14 US10936044B2 (en) 2015-12-21 2015-12-21 Quality of service based memory throttling
US17/249,392 Abandoned US20210181829A1 (en) 2015-12-21 2021-03-01 Memory throttling

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/064,050 Active 2036-07-14 US10936044B2 (en) 2015-12-21 2015-12-21 Quality of service based memory throttling

Country Status (2)

Country Link
US (2) US10936044B2 (en)
WO (1) WO2017111887A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11481016B2 (en) * 2018-03-02 2022-10-25 Samsung Electronics Co., Ltd. Method and apparatus for self-regulating power usage and power consumption in ethernet SSD storage systems
US11500439B2 (en) 2018-03-02 2022-11-15 Samsung Electronics Co., Ltd. Method and apparatus for performing power analytics of a storage system
US11397460B2 (en) * 2019-06-20 2022-07-26 Western Digital Technologies, Inc. Intelligent power saving mode for solid state drive (ssd) systems

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5127014A (en) 1990-02-13 1992-06-30 Hewlett-Packard Company Dram on-chip error correction/detection
US5566304A (en) 1995-05-08 1996-10-15 Apple Computer, Inc. Method of dynamic selection between immediate and delayed read access acknowledgement
US5987555A (en) 1997-12-22 1999-11-16 Compaq Computer Corporation Dynamic delayed transaction discard counter in a bus bridge of a computer system
US6199131B1 (en) 1997-12-22 2001-03-06 Compaq Computer Corporation Computer system employing optimized delayed transaction arbitration technique
US6349390B1 (en) 1999-01-04 2002-02-19 International Business Machines Corporation On-board scrubbing of soft errors memory module
US6832254B1 (en) 1999-08-23 2004-12-14 Nortel Networks Limited Method and apparatus for associating an end-to-end call identifier with a connection in a multimedia packet network
US6742074B2 (en) 1999-08-31 2004-05-25 Micron Technology, Inc. Bus to system memory delayed read processing
US20030009721A1 (en) 2001-07-06 2003-01-09 International Business Machines Corporation Method and system for background ECC scrubbing for a memory array
US6838331B2 (en) 2002-04-09 2005-01-04 Micron Technology, Inc. Method and system for dynamically operating memory in a power-saving error correction mode
US20160195918A9 (en) 2002-06-05 2016-07-07 Broadcom Corporation Method and Apparatus for Predictive and Adaptive Power Management of Memory Subsystem Based on memory access Information
US7266710B1 (en) 2002-06-21 2007-09-04 Steve Cooper Power throttle controller
US7269481B2 (en) * 2003-06-25 2007-09-11 Intel Corporation Method and apparatus for memory bandwidth thermal budgetting
US20050055594A1 (en) 2003-09-05 2005-03-10 Doering Andreas C. Method and device for synchronizing a processor and a coprocessor
US7299313B2 (en) 2004-10-29 2007-11-20 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US7472292B2 (en) 2005-10-03 2008-12-30 Hewlett-Packard Development Company, L.P. System and method for throttling memory power consumption based on status of cover switch of a computer system
US7159082B1 (en) 2005-10-03 2007-01-02 Hewlett-Packard Development Company, L.P. System and method for throttling memory accesses
US7458000B2 (en) 2006-03-31 2008-11-25 International Business Machines Corporation Automatic shutdown or throttling of a bist state machine using thermal feedback
WO2008014494A2 (en) 2006-07-28 2008-01-31 Drc Computer Corporation Fpga co-processor for accelerated computation
US8051253B2 (en) 2006-09-28 2011-11-01 Virident Systems, Inc. Systems and apparatus with programmable memory control for heterogeneous main memory
US8122265B2 (en) 2006-12-29 2012-02-21 Intel Corporation Power management using adaptive thermal throttling
JP4362135B2 (en) 2007-02-13 2009-11-11 富士通株式会社 Data transfer apparatus and data transfer method
US8122229B2 (en) 2007-09-12 2012-02-21 Convey Computer Dispatch mechanism for dispatching instructions from a host processor to a co-processor
US8156307B2 (en) 2007-08-20 2012-04-10 Convey Computer Multi-processor system having at least one processor that comprises a dynamically reconfigurable instruction set
US8161356B2 (en) 2008-03-28 2012-04-17 Intel Corporation Systems, methods, and apparatuses to save memory self-refresh power
US8713252B1 (en) 2009-05-06 2014-04-29 Violin Memory, Inc. Transactional consistency scheme
US9667280B2 (en) 2010-09-24 2017-05-30 Qualcomm Incorporated Methods and apparatus for touch temperature management based on power dissipation history
KR101873526B1 (en) 2011-06-09 2018-07-02 삼성전자주식회사 On-chip data scrubbing method and apparatus with ECC
US8694719B2 (en) * 2011-06-24 2014-04-08 Sandisk Technologies Inc. Controller, storage device, and method for power throttling memory operations
WO2013028827A1 (en) 2011-08-24 2013-02-28 Rambus Inc. Methods and systems for mapping a peripheral function onto a legacy memory interface
US9141568B2 (en) * 2011-08-25 2015-09-22 Apple Inc. Proportional memory operation throttling
US9195577B2 (en) * 2011-09-30 2015-11-24 Intel Corporation Dynamic operations for 3D stacked memory using thermal data
US20130139008A1 (en) 2011-11-29 2013-05-30 Advanced Micro Devices, Inc. Methods and apparatus for ecc memory error injection
US9684633B2 (en) * 2013-01-24 2017-06-20 Samsung Electronics Co., Ltd. Adaptive service controller, system on chip and method of controlling the same
US9268637B2 (en) 2013-03-15 2016-02-23 Silicon Space Technology Corporation Memory circuit incorporating error detection and correction (EDAC), method of operation, and system
US9250953B2 (en) 2013-11-12 2016-02-02 Oxide Interactive Llc Organizing tasks by a hierarchical task scheduler for execution in a multi-threaded processing system
WO2015116077A1 (en) 2014-01-30 2015-08-06 Hewlett-Packard Development Company, L.P. Access controlled memory region
WO2015116079A1 (en) 2014-01-30 2015-08-06 Hewlett-Packard Development Company, L.P. Sending a data flow
WO2015116078A1 (en) 2014-01-30 2015-08-06 Hewlett-Packard Development Company, L.P. Memory data versioning
US10061532B2 (en) 2014-01-30 2018-08-28 Hewlett Packard Enterprise Development Lp Migrating data between memories
WO2016014046A1 (en) 2014-07-23 2016-01-28 Hewlett-Packard Development Company, L.P. Delayed read indication
US9940036B2 (en) * 2014-09-23 2018-04-10 Western Digital Technologies, Inc. System and method for controlling various aspects of PCIe direct attached nonvolatile memory storage subsystems

Also Published As

Publication number Publication date
WO2017111887A1 (en) 2017-06-29
US10936044B2 (en) 2021-03-02
US20190018474A1 (en) 2019-01-17

Similar Documents

Publication Publication Date Title
US20210181829A1 (en) Memory throttling
US9021228B2 (en) Managing out-of-order memory command execution from multiple queues while maintaining data coherency
US9431084B2 (en) Determining and storing bit error rate relationships in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
EP3005128B1 (en) Separate memory controllers to access data in memory
US10768823B2 (en) Flow control for unaligned writes in network storage device
US7457892B2 (en) Data communication flow control device and methods thereof
US10990322B2 (en) Memory buffer chip, memory system and method of controlling the memory buffer chip
US7934110B2 (en) Dynamically managing thermal levels in a processing system
US11467767B2 (en) Storage device throttling amount of communicated data depending on suspension frequency of operation
WO2018188085A1 (en) Memory refreshing technique and computer system
US8819309B1 (en) Low latency bypass buffer
US10514848B2 (en) Data storage method for selectively storing data in a buffer preset in a memory of an electronic device or an inherent buffer in an SSD
US20080225858A1 (en) Data transferring apparatus and information processing system
JP6331944B2 (en) Information processing apparatus, memory control apparatus, and information processing apparatus control method
US20150331616A1 (en) Set head flag of request
US20090254710A1 (en) Device and method for controlling cache memory
CN105183398B (en) A kind of storage device, electronic equipment and data processing method
US10937484B2 (en) Dynamic bandwidth throttling of DRAM accesses for memory tracing
US20190012279A1 (en) Computer system, communication device, and storage control method
CN107085557A (en) Direct memory access system and associated method
CN107621926B (en) Stack area data access method and device, readable storage medium and computer equipment
US11100014B2 (en) Providing data in a shared memory
US9864551B2 (en) Determining throughput based on system busy level
US9465754B2 (en) Bridge circuit to arbitrate bus commands

Legal Events

Date Code Title Description
AS Assignment

Owner name: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BACCHUS, REZA;BENEDICT, MELVIN K.;POPE, ERIC L.;SIGNING DATES FROM 20151218 TO 20151221;REEL/FRAME:055474/0219

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE