US20210125948A1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
US20210125948A1
US20210125948A1 US16/665,813 US201916665813A US2021125948A1 US 20210125948 A1 US20210125948 A1 US 20210125948A1 US 201916665813 A US201916665813 A US 201916665813A US 2021125948 A1 US2021125948 A1 US 2021125948A1
Authority
US
United States
Prior art keywords
semiconductor device
layer
substrate
stress relief
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/665,813
Inventor
Tse-Yao Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Priority to US16/665,813 priority Critical patent/US20210125948A1/en
Assigned to NANYA TECHNOLOGY CORPORATION reassignment NANYA TECHNOLOGY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, TSE-YAO
Priority to TW109122286A priority patent/TWI741668B/en
Priority to CN202010862799.0A priority patent/CN112736066A/en
Publication of US20210125948A1 publication Critical patent/US20210125948A1/en
Priority to US17/550,348 priority patent/US11791294B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L27/10823
    • H01L27/10855
    • H01L27/10876
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/0219Material of the auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02233Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body not in direct contact with the bonding area
    • H01L2224/02235Reinforcing structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02233Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body not in direct contact with the bonding area
    • H01L2224/0225Structure of the auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02233Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body not in direct contact with the bonding area
    • H01L2224/0225Structure of the auxiliary member
    • H01L2224/02251Multilayer auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02233Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body not in direct contact with the bonding area
    • H01L2224/0226Material of the auxiliary member
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • H01L2224/0348Permanent masks, i.e. masks left in the finished device, e.g. passivation layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03901Methods of manufacturing bonding areas involving a specific sequence of method steps with repetition of the same manufacturing step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L27/10814
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Definitions

  • the present disclosure relates to a semiconductor device and a method for fabricating the semiconductor device, and more particularly, to a semiconductor device with a stress relief structure and a method for fabricating the semiconductor device with a stress relief structure.
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cellular telephones, digital cameras, and other electronic equipment.
  • the dimensions of semiconductor devices are continuously being scaled down to meet the increasing demand of computing ability.
  • a variety of issues arise during the scaling-down process, and such issues are continuously increasing. Therefore, challenges remain in achieving improved quality, yield, performance, and reliability and reduced complexity.
  • One aspect of the present disclosure provides a semiconductor device including a substrate, an intrinsically conductive pad positioned above the substrate, a stress relief structure positioned above the substrate and distant from the intrinsically conductive pad, and an external bonding structure positioned directly above the stress relief structure.
  • the stress relief structure comprises a conductive frame and a plurality of insulating segments positioned within the conductive frame.
  • each of the plurality of insulating segments has a square shape and the insulating segments are separated from each other.
  • the semiconductor device further comprises a plurality of word lines positioned in the substrate and extending along a first direction, wherein each of the plurality of insulating segments has a rectangular shape and extends along a second direction perpendicular to the first direction.
  • the semiconductor device further comprises a plurality of word lines positioned in the substrate and extending along a first direction, wherein each of the plurality of insulating segments has a rectangular shape and extends along a second direction diagonal with respect to the first direction.
  • the semiconductor device further comprises a redistribution conductive layer positioned above the stress relief structure and the intrinsically conductive pad.
  • the semiconductor device further comprises a stress-buffering layer positioned below the external bonding structure, wherein the stress-buffering layer is formed of a material having a coefficient of thermal expansion of less than about 20 ppm/° C. and a Young's Modulus of less than about 15 GPa.
  • the external bonding structure comprises a bottom bonding layer positioned directly above the stress relief structure and a top bonding layer positioned above the bottom bonding layer.
  • the external bonding structure comprises a bottom bonding layer positioned directly above the stress relief structure, a middle bonding layer positioned on the bottom bonding layer, and a top bonding layer positioned on the middle bonding layer.
  • a width of the external bonding structure is less than a width of the stress relief structure.
  • the semiconductor device further comprises a wiring layer positioned on the external bonding structure.
  • a width of the wiring layer is less than a width of the external bonding structure.
  • the semiconductor device further comprises two spacers positioned adjacent to two sides of the external bonding structure.
  • Another aspect of the present disclosure provides a method for fabricating a semiconductor device including providing a substrate, forming an intrinsically conductive pad above the substrate, and forming a stress relief structure above the substrate and distant from the intrinsically conductive pad.
  • forming the stress relief structure above the substrate and distant from the intrinsically conductive pad comprises: forming a conductive frame above the substrate; and forming a plurality of insulating segments within the conductive frame.
  • the method for fabricating the semiconductor device further comprises: forming a redistribution conductive layer above the intrinsically conductive pad and the stress relief structure.
  • the method for fabricating the semiconductor device further comprises: forming a plurality of passivation layers above the redistribution conductive layer and forming a first pad opening in the plurality of passivation layers.
  • the method for fabricating the semiconductor device further comprises: performing a passivation process comprising soaking the first pad opening with a precursor, wherein the precursor is dimethylaminotrimethylsilane or tetramethylsilane.
  • the method for fabricating the semiconductor device further comprises: performing a cleaning process, wherein the cleaning process comprises applying a remote plasma to the first pad opening.
  • the method for fabricating the semiconductor device further comprises: forming an external bonding structure on the redistribution conductive layer; wherein the external bonding structure comprises a bottom bonding layer formed on the redistribution conductive layer and a top bonding layer formed on the bottom bonding layer.
  • the stress relief structure may distribute the stress of wiring; therefore, the delamination of the plurality of insulating films may be reduced. As a result, the yield of the semiconductor device may be improved.
  • a passivation process may reduce undesirable sidewall growth of the plurality of passivation layers.
  • FIG. 2 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with FIG. 1 ;
  • FIGS. 3 to 5 illustrate, in schematic top-view diagrams, semiconductor devices in accordance with some other embodiments of the present disclosure
  • FIGS. 6 to 8 illustrate, in schematic cross-sectional view diagrams, semiconductor devices in accordance with other embodiments of the present disclosure
  • FIG. 9 illustrates, in a flowchart diagram form, a method for fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIG. 10 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure
  • FIG. 11 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 10 , part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIGS. 12 to 15 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIG. 16 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure
  • FIG. 17 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 16 , part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIGS. 18 to 21 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIG. 22 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure
  • FIG. 23 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 23 , part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIGS. 24 to 30 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure
  • FIGS. 31 to 35 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with another embodiment of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • orientation, layout, location, shapes, sizes, amounts, or other measures do not necessarily mean an exactly identical orientation, layout, location, shape, size, amount, or other measure, but are intended to encompass nearly identical orientation, layout, location, shapes, sizes, amounts, or other measures within acceptable variations that may occur, for example, due to manufacturing processes.
  • the term “substantially” may be used herein to reflect this meaning.
  • items described as “substantially the same,” “substantially equal,” or “substantially planar,” may be exactly the same, equal, or planar, or may be the same, equal, or planar within acceptable variations that may occur, for example, due to manufacturing processes.
  • a semiconductor device generally means a device which can function by utilizing semiconductor characteristics, and an electro-optic device, a light-emitting display device, a semiconductor circuit, and an electronic device are all included in the category of the semiconductor device.
  • FIG. 1 illustrates, in a schematic cross-sectional view diagram, a semiconductor device 100 A in accordance with one embodiment of the present disclosure.
  • FIG. 2 illustrates, in a schematic top-view diagram, the semiconductor device 100 A in accordance with FIG. 1 .
  • FIGS. 3 to 5 illustrate, in schematic top-view diagrams, semiconductor devices 100 B, 100 C and 100 D in accordance with some other embodiments of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIGS. 2 to 5 for clarity.
  • the semiconductor device 100 A may include a substrate 101 , an isolation layer 103 , a plurality of doped regions, a plurality of insulating films, a plurality of contacts, a plurality of bit line contacts 115 , a plurality of bit lines 117 , a plurality of capacitor plugs 119 , a first conductive via 121 , a first conductive layer 123 , an intrinsically conductive pad 125 , a redistribution conductive via 127 , a redistribution conductive layer 129 , a plurality of word lines 201 , a plurality of capacitor structures 301 , a stress relief structure 401 , a plurality of passivation layers, an external bonding structure 601 , and a wiring layer 607 .
  • the substrate 101 may be formed of, for example, silicon, germanium, silicon germanium, silicon carbon, silicon germanium carbon, gallium, gallium arsenic, indium arsenic, indium phosphorus or other IV-IV, III-V or II-VI semiconductor materials.
  • the substrate 101 may include an organic semiconductor or a layered semiconductor such as silicon/silicon germanium, silicon-on-insulator or silicon germanium-on-insulator.
  • the substrate 101 may include a top semiconductor layer and a bottom semiconductor layer formed of silicon, and a buried insulating layer which may separate the top semiconductor layer and the bottom semiconductor layer.
  • the buried insulating layer may include, for example, a crystalline or non-crystalline oxide, nitride or any combination thereof.
  • the isolation layer 103 may be disposed in an upper portion of the substrate 101 .
  • Two isolation layers 103 are shown in the cross-sectional diagram in FIG. 1 , but other quantities of isolation layers may be used in other embodiments.
  • the isolation layer 103 may be formed of, for example, an insulating material such as silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or fluoride-doped silicate.
  • the isolation layer 103 may define a plurality of active regions 105 of the substrate 101 .
  • silicon oxynitride refers to a substance which contains silicon, nitrogen, and oxygen and in which a proportion of oxygen is greater than that of nitrogen.
  • Silicon nitride oxide refers to a substance which contains silicon, oxygen, and nitrogen and in which a proportion of nitrogen is greater than that of oxygen.
  • the plurality of word lines 201 may be disposed in the upper portion of the substrate 101 and separated from each other. Each of the plurality of active regions 105 may intersect two of the plurality of word lines 201 .
  • the plurality of word lines 201 may include a plurality of word line insulating layers 203 , a plurality of word line conductive layers 205 , and a plurality of word line capping layers 207 .
  • the plurality of word line insulating layers 203 may be inwardly disposed in the upper portion of the substrate 101 .
  • the plurality of word line insulating layers 203 may have a thickness between about 0.5 nm and about 10 nm. Bottoms of the plurality of word line insulating layers 203 may be flat.
  • the plurality of word line insulating layers 203 may be formed of, for example, an insulating material having a dielectric constant of about 4.0 or greater.
  • the insulating material having a dielectric constant of about 4.0 or greater may be hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, lanthanum oxide, strontium titanate, lanthanum aluminate, yttrium oxide, gallium (III) trioxide, gadolinium gallium oxide, lead zirconium titanate, barium strontium titanate, or a mixture thereof.
  • the insulating material may be silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like.
  • the plurality of word line conductive layers 205 may be respectively correspondingly disposed on the plurality of word line insulating layers 203 .
  • the plurality of word line conductive layers 205 may be formed of, for example, a conductive material such as doped polysilicon, silicon germanium, metal, metal alloy, metal silicide, metal nitride, metal carbide, or a combination including multilayers thereof.
  • the metal may be aluminum, copper, tungsten, or cobalt.
  • the metal silicide may be nickel silicide, platinum silicide, titanium silicide, molybdenum silicide, cobalt silicide, tantalum silicide, tungsten silicide, or the like.
  • the plurality of word line conductive layers 205 may have a thickness between about 50 nm and about 500 nm.
  • the plurality of word line capping layers 207 may be respectively correspondingly disposed on the plurality of word line conductive layers 205 . Top surfaces of the plurality of word line capping layers 207 may be even with a top surface of the substrate 101 .
  • the plurality of word line capping layers 207 may be formed of, for example, an insulating material having a dielectric constant of about 4.0 or greater.
  • the plurality of doped regions may be disposed in the upper portion of the substrate 101 .
  • the plurality of doped regions may be doped with a dopant such as phosphorus, arsenic, antimony, or boron.
  • the plurality of doped regions may include a first doped region 107 and two second doped regions 109 .
  • the first doped region 107 may be disposed between the two of the plurality of word lines 201 .
  • the two second doped regions 109 may be respectively correspondingly disposed between the plurality of word lines 201 and the isolation layer 103 .
  • the plurality of insulating films may be disposed on the substrate 101 .
  • the plurality of insulating films may be formed of, for example, silicon nitride, silicon oxide, silicon oxynitride, flowable oxide, tonen silazen, undoped silica glass, borosilica glass, phosphosilica glass, borophosphosilica glass, plasma-enhanced tetra-ethyl orthosilicate, fluoride silicate glass, carbon-doped silicon oxide, xerogel, aerogel, amorphous fluorinated carbon, organo silicate glass, parylene, bis-benzocyclobutenes, polyimide, porous polymeric material, or a combination thereof, but are not limited thereto.
  • the plurality of insulating films may be all formed of a same material but are not limited thereto.
  • the plurality of insulating films may include a first insulating film 701 , a second insulating film 703 , a third insulating film 705 , a fourth insulating film 707 , a fifth insulating film 709 , a sixth insulating film 711 , a seventh insulating film 713 , an eighth insulating film 715 , and a ninth insulating film 717 .
  • the first insulating film 701 may be disposed on the substrate 101 .
  • the plurality of contacts may be disposed in the first insulating film 701 .
  • the plurality of contacts may include a first contact 111 and two second contacts 113 .
  • the first contact 111 may be disposed on the first doped region 107 and electrically connected to the first doped region 107 .
  • the two second contacts 113 may be respectively correspondingly disposed on the two second doped regions 109 and electrically connected to the two second doped regions 109 .
  • the first contact 111 and the two second contacts 113 may be formed of a conductive material such as doped polysilicon, metal, metal nitride, or metal silicide.
  • the second insulating film 703 may be disposed on the first insulating film 701 .
  • the plurality of bit line contacts 115 may be disposed in the second insulating film 703 and in the plurality of active regions 105 . (Only one bit line contact 115 is shown in the cross-sectional diagram in FIG. 1 .)
  • the bit line contact 115 may be disposed on the first contact 111 and electrically connected to the first contact 111 .
  • the plurality of bit line contacts 115 may be formed of a same material as the first contact 111 , but are not limited thereto.
  • the third insulating film 705 may be disposed on the second insulating film 703 .
  • the plurality of bit lines 117 may be disposed in the third insulating film 705 . (Only one bit line 117 is shown in the cross-sectional diagram in FIG. 1 .)
  • the bit line 117 may be disposed on the corresponding bit line contact 115 and electrically connected to the corresponding bit line contact 115 .
  • the plurality of bit lines 117 may be formed of a conductive material such as tungsten, aluminum, copper, nickel, or cobalt.
  • the fourth insulating film 707 may be disposed on the third insulating film 705 .
  • the plurality of capacitor plugs 119 may be disposed so as to penetrate through the fourth insulating film 707 , the third insulating film 705 , and the second insulating film 703 .
  • two of the plurality of capacitor plugs 119 may be respectively correspondingly disposed on the two second contacts 113 and electrically connected to the two second contacts 113 .
  • the plurality of capacitor plugs 119 may be formed of doped polysilicon, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, copper, aluminum or aluminum alloy.
  • the fifth insulating film 709 may be disposed on the fourth insulating film 707 .
  • the plurality of capacitor structures 301 may be disposed in the fifth insulating film 709 and respectively correspondingly on the plurality of capacitor plugs 119 .
  • the plurality of capacitor structures 301 may be electrically connected to the plurality of capacitor plugs 119 .
  • the plurality of capacitor structures 301 may include a plurality of capacitor bottom electrodes 303 , a capacitor insulating layer 305 , and a capacitor top electrode 307 .
  • the plurality of capacitor bottom electrodes 303 may be inwardly disposed in the fifth insulating film 709 . Bottoms of the plurality of capacitor bottom electrodes 303 may directly contact top surfaces of the plurality of capacitor plugs 119 .
  • the plurality of capacitor bottom electrodes 303 may be formed of doped polysilicon, metal, or metal silicide.
  • the capacitor insulating layer 305 may be disposed on the plurality of capacitor bottom electrodes 303 .
  • the capacitor insulating layer 305 may be formed of a single layer including an insulating material having a dielectric constant of about 4.0 or greater.
  • the capacitor insulating layer 305 may have a thickness between about 1 angstrom and about 100 angstroms.
  • the capacitor insulating layer 305 may be formed of a stacked layer consisting of silicon oxide, silicon nitride, and silicon oxide.
  • the capacitor top electrode 307 may be disposed on the capacitor insulating layer 305 .
  • the capacitor top electrode 307 may be formed of doped polysilicon or metal.
  • the sixth insulating film 711 may be disposed on the capacitor top electrode 307 .
  • the seventh insulating film 713 may be disposed on the sixth insulating film 711 .
  • the eighth insulating film 715 may be disposed on the seventh insulating film 713 .
  • the ninth insulating film 717 may be disposed on the eighth insulating film 715 .
  • the first conductive via 121 may be disposed in the sixth insulating film 711 and on the capacitor top electrode 307 .
  • the first conductive via 121 may be electrically connected to the capacitor top electrode 307 .
  • the first conductive via 121 may be formed of, for example, metal, metal alloy, silicate, silicide, polysilicon, amorphous silicon, or any other semiconductor-compatible conductive material.
  • the first conductive layer 123 may be disposed in the seventh insulating film 713 and on the first conductive via 121 .
  • the first conductive layer 123 may be electrically connected to the first conductive via 121 .
  • the first conductive layer 123 may be formed of, for example, a conductive material such as doped polysilicon, metal, metal nitride, or metal silicide.
  • an intrinsically conductive pad 125 may be disposed in the eighth insulating film 715 and on the first conductive layer 123 .
  • the intrinsically conductive pad 125 may be electrically connected to the first conductive layer 123 and electrically coupled to the plurality of capacitor structures 301 .
  • the intrinsically conductive pad 125 may be formed of, for example, aluminum or copper.
  • the intrinsically conductive pad 125 may be formed of a stacked layer consisting of gold, nickel, and copper.
  • first conductive via 121 and the first conductive layer 123 in the embodiment are shown for illustrative purpose only and are not limiting. There could be other numbers of conductive vias or conductive lines, such as a second conductive via or a second conductive line, disposed among other numbers of insulating films to electrically couple the intrinsically conductive pad 125 , and other numbers of capacitor structures 301 are also possible.
  • the stress relief structure 401 may be disposed in the eighth insulating film 715 and distant from the intrinsically conductive pad 125 .
  • the stress relief structure 401 may include a conductive frame 403 and a plurality of insulating segments 405 .
  • the conductive frame 403 may be disposed distant from the intrinsically conductive pad 125 and may have a mesh shape. That is, members of the conductive frame 403 may be connected to each other.
  • the conductive frame 403 may be formed of, for example, a conductive material such as metal, metal nitride, or metal silicide.
  • the plurality of insulating segments 405 may be disposed within the conductive frame 403 and may have a square shape.
  • the redistribution conductive via 127 may be disposed in the ninth insulating film 717 and on the intrinsically conductive pad 125 .
  • the redistribution conductive via 127 may be electrically connected to the intrinsically conductive pad 125 .
  • the redistribution conductive via 127 may be formed of a same material as the first conductive via 121 , but is not limited thereto.
  • the redistribution conductive layer 129 may be disposed on the ninth insulating film 717 .
  • the redistribution conductive layer 129 may be disposed above the intrinsically conductive pad 125 and the stress relief structure 401 .
  • the redistribution conductive layer 129 may be formed of, for example, tin, nickel, copper, gold, aluminum, or an alloy thereof.
  • the redistribution conductive layer 129 may be electrically connected to the redistribution conductive via 127 and electrically coupled to the intrinsically conductive pad 125 .
  • the plurality of passivation layers may be disposed on the redistribution conductive layer 129 and on the ninth insulating film 717 .
  • the plurality of passivation layers may include a first passivation layer 501 , a second passivation layer 503 , and a third passivation layer 505 .
  • the first passivation layer 501 may be disposed on the ninth insulating film 717 and the redistribution conductive layer 129 .
  • the first passivation layer 501 may be formed of, for example, silicon oxide or phosphosilica glass.
  • the second passivation layer 503 may be disposed on the first passivation layer 501 and formed of, for example, silicon nitride, silicon oxynitride, or silicon oxide nitride.
  • the first passivation layer 501 may serve as a stress buffer between the second passivation layer 503 and the ninth insulating film 717 .
  • the second passivation layer 503 may serve as a high vapor barrier in order to prevent moisture from entering from above.
  • the third passivation layer 505 may be disposed on the second passivation layer 503 and formed of, for example, polyimide or polyamide. The third passivation layer 505 may prevent the layers below the third passivation layer 505 from receiving mechanical scratches or background radiation.
  • the external bonding structure 601 may be disposed in the second passivation layer 503 and the first passivation layer 501 .
  • the external bonding structure 601 may be disposed on the redistribution conductive layer 129 .
  • the external bonding structure 601 may be disposed directly above the stress relief structure 401 and higher than the intrinsically conductive pad 125 .
  • the external bonding structure 601 may be electrically connected to the redistribution conductive layer 129 and electrically coupled to the intrinsically conductive pad 125 .
  • the external bonding structure 601 may include a bottom bonding layer 603 and a top bonding layer 605 .
  • the bottom bonding layer 603 may be disposed in the first passivation layer 501 and on the redistribution conductive layer 129 .
  • the bottom bonding layer 603 may be electrically connected to the redistribution conductive layer 129 .
  • a thickness of the bottom bonding layer 603 may be less than a thickness of the first passivation layer 501 .
  • the bottom bonding layer 603 may include nickel.
  • the top bonding layer 605 may be disposed in the first passivation layer 501 and the second passivation layer 503 .
  • the top bonding layer 605 may be disposed on the bottom bonding layer 603 and electrically connected to the bottom bonding layer 603 .
  • a top surface of the top bonding layer 605 may be even with a top surface of the second passivation layer 503 .
  • the top bonding layer 605 may include palladium, cobalt, or a combination thereof.
  • a width W 1 of the stress relief structure 401 may be greater than a width W 2 of the external bonding structure 601 .
  • a wiring layer 607 may be disposed in the third passivation layer 505 and on the top bonding layer 605 .
  • the wiring layer 607 may be formed of, for example, gold, copper, aluminum, or an alloy thereof.
  • a width W 3 of the wiring layer 607 may be less than the width W 2 of the external bonding structure 601 .
  • a wire or solder bump (not shown) may be disposed on the wiring layer 607 and may electrically connect the semiconductor device to an external circuit.
  • a stress may be applied to semiconductor device and the stress may cause delamination of the plurality of insulating films.
  • the redistribution conductive layer 129 may redirect the stress from the intrinsically conductive pad 125 to the wiring layer 607 .
  • the stress relief structure 401 directly below the wiring layer 607 and the external bonding structure 601 may serve as a cushion to reduce the stress of wiring and prevent layers underneath the stress relief structure 401 from delaminating.
  • the members of the conductive frame 403 are connected to each other and may distribute the stress throughout the entire conductive frame 403 ; therefore, the conductive frame 403 may provide a better stress-buffering capability comparing to a standalone anti-stress structure.
  • the plurality of insulating segments 405 formed of the material including polyimide or the epoxy-based material may be capable of absorbing and distributing the stress to further improve the stress-buffering capability of the stress relief structure 401 .
  • the conductive frame 403 may have a shape of a rectangle with parallel, horizontal crossmembers.
  • the plurality of insulating segments 405 may have a rectangular shape and may be separated from each other.
  • the plurality of insulating segments 405 may extend along a direction Y.
  • the conductive frame 403 may have a shape of a rectangle with parallel, vertical crossmembers.
  • the plurality of insulating segments 405 may have a rectangular shape and may be separated from each other.
  • the plurality of insulating segments 405 may extend along a direction X perpendicular to the direction Y.
  • the conductive frame 403 may have a shape of a rectangle with parallel, diagonal crossmembers.
  • the plurality of insulating segments 405 may have a rectangular shape and be separated from each other.
  • the plurality of insulating segments 405 may extend along a direction W diagonal with respect to the direction X and the direction Y.
  • FIGS. 6 to 8 illustrate, in schematic cross-sectional view diagrams, semiconductor devices 100 E, 100 F and 100 G in accordance with other embodiments of the present disclosure.
  • spacers 609 may be attached to two sides of the external bonding structure 601 .
  • the two spacers 609 may be disposed between the external bonding structure 601 and the first passivation layer 501 and the second passivation layer 503 .
  • the two spacers 609 may be formed of, for example, silicon oxide.
  • the external bonding structure 601 may include the bottom bonding layer 603 , a middle bonding layer 611 , and the top bonding layer 605 .
  • the middle bonding layer 611 may be disposed on the bottom bonding layer 603 .
  • the top bonding layer 605 may be disposed on the middle bonding layer 611 .
  • the bottom bonding layer 603 may be formed of, for example, gold.
  • the middle bonding layer 611 may be formed of, for example, nickel.
  • the top bonding layer 605 may be formed of, for example, copper.
  • a stress-buffering layer 131 may be disposed in the ninth insulating film 717 and between the redistribution conductive layer 129 and the stress relief structure 401 .
  • the stress-buffering layer 131 may be disposed directly below the external bonding structure 601 .
  • the stress-buffering layer 131 may be utilized to absorb and redistribute the stress concentration from the underlying layers created by the shear stresses from thermal expansion mismatches and normal stresses due to wiring processes.
  • the stress-buffering layer 131 may be formed of, for example, a material having a coefficient of thermal expansion of less than about 20 ppm/° C. and a Young's Modulus of less than about 15 GPa.
  • the stress-buffering layer 131 may be formed of a material including polyimide or an epoxy-based material.
  • the stress-buffering layer 131 may have a thickness between about 5,000 angstroms and about 100,000 angstroms.
  • the thickness of the stress-buffering layer 131 may be between about 10,000 angstroms and about 50,000 angstroms.
  • FIG. 9 illustrates, in a flowchart diagram form, a method 10 for fabricating a semiconductor device 100 A in accordance with one embodiment of the present disclosure.
  • FIG. 10 illustrates, in a schematic top-view diagram, the semiconductor device 100 A in accordance with one embodiment of the present disclosure.
  • FIG. 11 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 10 , part of a flow of fabricating the semiconductor device 100 A in accordance with one embodiment of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIG. 10 for clarity.
  • a substrate 101 may be provided, and an isolation layer 103 , a plurality of word line trenches 209 , and a plurality of doped regions may be formed in the substrate 101 .
  • the isolation layer 103 may define a plurality of active areas 105 .
  • the plurality of active areas 105 may be separated from each other and extend along a direction W in a top-view diagram.
  • the plurality of word line trenches 209 may be inwardly formed in the substrate 101 .
  • the plurality of word line trenches 209 may extend along a direction X diagonal with respect to the direction W.
  • Each of the plurality of active regions 105 may intersect two of the plurality of word line trenches 209 .
  • the plurality of doped regions may include a first doped region 107 and two second doped regions 109 .
  • the first doped region 107 may be formed between the plurality of word line trenches 209 .
  • the two second doped regions 109 may be formed between the isolation layer 103 and the plurality of word line trenches 209 .
  • FIGS. 12 to 15 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure.
  • FIG. 16 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure.
  • FIG. 17 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 16 , part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIG. 16 for clarity.
  • a plurality of word lines 201 may be formed in the substrate 101 .
  • the plurality of word lines 201 may include a plurality of word line insulating layers 203 , a plurality of word line conductive layers 205 , and a plurality of word line capping layers 207 .
  • the plurality of word line insulating layers 203 may be formed in the plurality of word line trenches 209 .
  • the plurality of word line conductive layers 205 may be respectively correspondingly formed on the plurality of word line insulating layers 203 .
  • the plurality of word line capping layers 207 may be formed on the plurality of word line conductive layers 205 .
  • a planarization process such as chemical mechanical polishing, may be performed to provide a substantially flat surface for subsequent processing steps.
  • a plurality of bit lines 117 may be formed above the substrate 101 .
  • a first insulating film 701 may be formed on the substrate 101 .
  • a first contact 111 and two second contacts 113 may be formed in the first insulating film 701 .
  • the first contact 111 may be formed on the first doped region 107 .
  • the two second contacts 113 may be respectively correspondingly formed on the two second doped regions 109 .
  • a second insulating film 703 may be formed on the first insulating film 701 .
  • a third insulating film 705 may be formed on the second insulating film 703 .
  • a plurality of bit line contacts 115 may be formed in the second insulating film 703 .
  • the plurality of bit line contacts 115 may be respectively correspondingly disposed on the plurality of first contacts 111 .
  • a plurality of bit lines 117 may be formed in the third insulating film 705 .
  • the plurality of bit lines 117 may extend along a direction Y diagonal with respect to the direction W and perpendicular to the direction X.
  • the plurality of bit lines 117 may be implemented as wavy lines.
  • the plurality of bit lines 117 may be separated from each other. Each of the plurality of bit lines 117 may intersect one of the plurality of active regions 105 in a top view diagram. The plurality of bit lines 117 may be electrically connected to the plurality of bit line contacts 115 .
  • FIGS. 18 to 21 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure.
  • FIG. 22 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure.
  • FIG. 23 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 22 , part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIG. 23 for clarity.
  • a plurality of capacitor structures 301 may be formed above the substrate 101 .
  • the plurality of capacitor structures 301 may include a plurality of capacitor bottom electrodes 303 , a capacitor insulating layer 305 , and a capacitor top electrode 307 .
  • a fourth insulating film 707 may be formed on the third insulating film 705 .
  • a plurality of capacitor plugs 119 may be formed so as to extend through the fourth insulating film 707 , the third insulating film 705 , and the second insulating film 703 .
  • the plurality of capacitor plugs 119 may be respectively correspondingly disposed on the two second contacts 113 .
  • a fifth insulating film 709 may be formed on the fourth insulating film 707 .
  • a plurality of capacitor trenches 309 may be inwardly formed in the fifth insulating film 709 .
  • the plurality of capacitor bottom electrodes 303 may be respectively correspondingly formed in the plurality of capacitor trenches 309 .
  • the capacitor insulating layer 305 may be formed on the plurality of capacitor bottom electrodes 303 .
  • a capacitor top electrode 307 may be formed on the capacitor insulating layer 305 and may fill the plurality of capacitor trenches 309 .
  • an intrinsically conductive pad 125 and a stress relief structure 401 may be formed above the substrate 101 .
  • the stress relief structure 401 may include a conductive frame 403 and a plurality of insulating segments 405 .
  • a sixth insulating film 711 and a seventh insulating film 713 may be sequentially formed on the capacitor top electrode 307 .
  • a first conductive via 121 may be formed in the sixth insulating film 711 and on the capacitor top electrode 307 .
  • a first conductive layer 123 may be formed in the seventh insulating film 713 and on the first conductive via 121 .
  • the intrinsically conductive pad 125 and the stress relief structure 401 may be concurrently formed in the eighth insulating film 715 , but are not limited thereto.
  • a conductive layer may be formed on the seventh insulating film 713 by a first deposition process such as chemical vapor deposition, physical vapor deposition, sputtering deposition, electroplating, or electroless plating.
  • a photolithography process may be performed to define positions of the intrinsically conductive pad 125 and the conductive frame 403 .
  • an etch process such as an anisotropic dry etch process, may be performed to form the intrinsically conductive pad 125 and the conductive frame 403 on the seventh insulating film 713 .
  • a second deposition process may be performed to deposit an insulating layer over the seventh insulating film 713 and cover the intrinsically conductive pad 125 and the stress relief structure 401 .
  • a planarization process such as chemical mechanical polishing, may be performed until top surfaces of the intrinsically conductive pad 125 and the conductive frame 403 are exposed, and concurrently form the eighth insulating film 715 and the plurality of insulating segments 405 within the conductive frame 403 .
  • FIGS. 24 to 30 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating the semiconductor device 100 A in accordance with one embodiment of the present disclosure.
  • a redistribution conductive layer 129 may be formed above the intrinsically conductive pad 125 and the stress relief structure 401 .
  • a ninth insulating film 717 may be formed on the eighth insulating film 715 .
  • a redistribution conductive via 127 may be formed in the ninth insulating film 717 and on the intrinsically conductive pad 125 .
  • a conductive layer may be formed on the ninth insulating film 717 by a deposition process such as chemical vapor deposition, physical vapor deposition, sputtering deposition, electroplating, or electroless plating.
  • a photolithography process may be performed to define a position of the redistribution conductive layer 129 .
  • an etch process such as an anisotropic dry etch process, may be performed to form the redistribution conductive layer 129 .
  • a first passivation layer 501 and a second passivation layer 503 may be sequentially formed on the ninth insulating film 717 and the redistribution conductive layer 129 , a first pad opening 507 may be formed so as to penetrate through the first passivation layer 501 and the second passivation layer 503 , and a cleaning process 801 may be performed on the second passivation layer 503 and the first pad opening 507 .
  • the first passivation layer 501 may be formed on the ninth insulating film 717 and the redistribution conductive layer 129 by a deposition process.
  • a planarization process such as chemical mechanical polishing, may be performed to provide a substantially flat surface for subsequent processing steps.
  • the second passivation layer 503 may be formed on the first passivation layer 501 .
  • a photolithography process may be performed to define a position of the first pad opening 507 .
  • an etch process such as an anisotropic dry etch process, may be performed to form the first pad opening 507 .
  • a portion of a top surface of the redistribution conductive layer 129 may be exposed through the first pad opening 507 .
  • the cleaning process 801 may be performed after the etching process.
  • the cleaning process 801 may include applying a mixture of hydrogen and argon as a remote plasma source with a process temperature between about 250° C. and about 350° C. and a process pressure ranging between about 1 Torr and about 10 Torr in the presence of a bias energy applied to the equipment performing the cleaning process 801 .
  • the bias energy may be between about 0 W and 200 W.
  • the cleaning process 801 may remove oxide, originating from oxidation by oxygen in the air, from the top surface of the redistribution conductive layer 129 without damaging the conductive feature of the redistribution conductive layer 129 .
  • a passivation process 803 may be performed on the second passivation layer 503 and the first pad opening 507 .
  • the passivation process 803 may include soaking the semiconductor device with a precursor such as dimethylaminotrimethylsilane, tetramethylsilane, or the like at a process temperature ranging between about 200° C. and about 400° C.
  • An ultraviolet energy may be used to facilitate the passivation process 803 .
  • the passivation process 803 may passivate sidewalls of the second passivation layer 503 and the first passivation layer 501 exposed through the first pad opening 507 by sealing surface pores thereof to reduce undesirable sidewall growth, which may affect the electric characteristics of the semiconductor device, during subsequent processing steps. As a result, the performance and reliability of the semiconductor device may be increased.
  • an external bonding structure 601 may be formed in the first pad opening 507 .
  • the external bonding structure 601 may include a bottom bonding layer 603 and a top bonding layer 605 .
  • the bottom bonding layer 603 may be formed on the redistribution conductive layer 129 in the first pad opening 507 by electroplating or electroless plating.
  • the bottom bonding layer 603 may include nickel and may serve as a barrier between the redistribution conductive layer 129 formed of copper and the top bonding layer 605 .
  • the top bonding layer 605 may be formed on the bottom bonding layer 603 in the first pad opening 507 by electroplating or electroless plating.
  • the top bonding layer 605 may include palladium, cobalt, or a combination thereof.
  • a top surface of the top bonding layer 605 may include a plurality of heterogeneous nucleation sites such as topographical features, lattice discontinuities/orientations, surface defects, textures, or other surface features. The plurality of heterogeneous nucleation sites on the top surface of the top bonding layer 605 may facilitate a subsequent wiring/bonding process.
  • a third passivation layer 505 and a wiring layer 607 may be formed on the second passivation layer 503 .
  • the third passivation layer 505 may be formed on the second passivation layer 503 .
  • the third passivation layer 505 may include polyimide or polyamide.
  • a photolithography process may be performed to define a position of the wiring layer 607 in the third passivation layer 505 .
  • an etch process such as an anisotropic dry etch process, may be performed to form a second pad opening 509 .
  • a portion of the top surface of the top bonding layer 605 may be exposed through the second pad opening 509 .
  • the wiring layer 607 may be formed in the second pad opening 509 and on the top bonding layer 605 .
  • FIGS. 31 to 35 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device 100 E in accordance with another embodiment of the present disclosure.
  • a spacer layer 613 may be formed over a top surface of the second passivation layer 503 and in the first pad opening 507 .
  • the spacer layer 613 may be formed of, for example, silicon oxide.
  • an etch process such as an anisotropic dry etch process, may be performed to remove portions of the spacer layer 613 formed over the top surface of the second passivation layer 503 and on a bottom of the first pad opening 507 and concurrently form two spacers 609 attached to sidewalls of the first pad opening 507 .
  • the external bonding structure 601 may be formed by a procedure similar to that illustrated in FIGS. 27 and 28 .
  • the bottom bonding layer 603 may be formed on the redistribution conductive layer 129 and between the two spacers 609 .
  • the top bonding layer 605 may be formed on the bottom bonding layer 603 and between the two spacers 609 .
  • the third passivation layer 505 and the wiring layer 607 may be formed by a procedure similar to that illustrated in FIGS. 29 and 30 .
  • the stress relief structure 401 may distribute the stress of wiring; therefore, the delamination of the plurality of insulating films may be reduced. As a result, the yield of the semiconductor device may be improved.
  • the passivation process 803 may reduce undesirable sidewall growth of the plurality of passivation layers.

Abstract

The present application discloses a semiconductor device and a method for fabricating the semiconductor device. The semiconductor device includes a substrate, an intrinsically conductive pad positioned above the substrate, a stress relief structure positioned above the substrate and distant from the intrinsically conductive pad, and an external bonding structure positioned directly above the stress relief structure.

Description

    TECHNICAL FIELD
  • The present disclosure relates to a semiconductor device and a method for fabricating the semiconductor device, and more particularly, to a semiconductor device with a stress relief structure and a method for fabricating the semiconductor device with a stress relief structure.
  • DISCUSSION OF THE BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cellular telephones, digital cameras, and other electronic equipment. The dimensions of semiconductor devices are continuously being scaled down to meet the increasing demand of computing ability. However, a variety of issues arise during the scaling-down process, and such issues are continuously increasing. Therefore, challenges remain in achieving improved quality, yield, performance, and reliability and reduced complexity.
  • This Discussion of the Background section is provided for background information only. The statements in this Discussion of the Background are not an admission that the subject matter disclosed in this section constitutes prior art to the present disclosure, and no part of this Discussion of the Background section may be used as an admission that any part of this application, including this Discussion of the Background section, constitutes prior art to the present disclosure.
  • SUMMARY
  • One aspect of the present disclosure provides a semiconductor device including a substrate, an intrinsically conductive pad positioned above the substrate, a stress relief structure positioned above the substrate and distant from the intrinsically conductive pad, and an external bonding structure positioned directly above the stress relief structure.
  • In some embodiments, the stress relief structure comprises a conductive frame and a plurality of insulating segments positioned within the conductive frame.
  • In some embodiments, each of the plurality of insulating segments has a square shape and the insulating segments are separated from each other.
  • In some embodiments, the semiconductor device further comprises a plurality of word lines positioned in the substrate and extending along a first direction, wherein each of the plurality of insulating segments has a rectangular shape and extends along a second direction perpendicular to the first direction.
  • In some embodiments, the semiconductor device further comprises a plurality of word lines positioned in the substrate and extending along a first direction, wherein each of the plurality of insulating segments has a rectangular shape and extends along a second direction diagonal with respect to the first direction.
  • In some embodiments, the semiconductor device further comprises a redistribution conductive layer positioned above the stress relief structure and the intrinsically conductive pad.
  • In some embodiments, the semiconductor device further comprises a stress-buffering layer positioned below the external bonding structure, wherein the stress-buffering layer is formed of a material having a coefficient of thermal expansion of less than about 20 ppm/° C. and a Young's Modulus of less than about 15 GPa.
  • In some embodiments, the external bonding structure comprises a bottom bonding layer positioned directly above the stress relief structure and a top bonding layer positioned above the bottom bonding layer.
  • In some embodiments, the external bonding structure comprises a bottom bonding layer positioned directly above the stress relief structure, a middle bonding layer positioned on the bottom bonding layer, and a top bonding layer positioned on the middle bonding layer.
  • In some embodiments, a width of the external bonding structure is less than a width of the stress relief structure.
  • In some embodiments, the semiconductor device further comprises a wiring layer positioned on the external bonding structure.
  • In some embodiments, a width of the wiring layer is less than a width of the external bonding structure.
  • In some embodiments, the semiconductor device further comprises two spacers positioned adjacent to two sides of the external bonding structure.
  • Another aspect of the present disclosure provides a method for fabricating a semiconductor device including providing a substrate, forming an intrinsically conductive pad above the substrate, and forming a stress relief structure above the substrate and distant from the intrinsically conductive pad.
  • In some embodiments, forming the stress relief structure above the substrate and distant from the intrinsically conductive pad comprises: forming a conductive frame above the substrate; and forming a plurality of insulating segments within the conductive frame.
  • In some embodiments, the method for fabricating the semiconductor device further comprises: forming a redistribution conductive layer above the intrinsically conductive pad and the stress relief structure.
  • In some embodiments, the method for fabricating the semiconductor device further comprises: forming a plurality of passivation layers above the redistribution conductive layer and forming a first pad opening in the plurality of passivation layers.
  • In some embodiments, the method for fabricating the semiconductor device further comprises: performing a passivation process comprising soaking the first pad opening with a precursor, wherein the precursor is dimethylaminotrimethylsilane or tetramethylsilane.
  • In some embodiments, the method for fabricating the semiconductor device further comprises: performing a cleaning process, wherein the cleaning process comprises applying a remote plasma to the first pad opening.
  • In some embodiments, the method for fabricating the semiconductor device further comprises: forming an external bonding structure on the redistribution conductive layer; wherein the external bonding structure comprises a bottom bonding layer formed on the redistribution conductive layer and a top bonding layer formed on the bottom bonding layer.
  • Due to the design of the semiconductor device of the present disclosure, the stress relief structure may distribute the stress of wiring; therefore, the delamination of the plurality of insulating films may be reduced. As a result, the yield of the semiconductor device may be improved. In addition, a passivation process may reduce undesirable sidewall growth of the plurality of passivation layers.
  • The foregoing has outlined rather broadly the features and technical advantages of the present disclosure in order that the detailed description of the disclosure that follows may be better understood. Additional features and advantages of the disclosure will be described hereinafter, and form the subject of the claims of the disclosure. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present disclosure. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the disclosure as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrates, in a schematic cross-sectional view diagram, a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 2 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with FIG. 1;
  • FIGS. 3 to 5 illustrate, in schematic top-view diagrams, semiconductor devices in accordance with some other embodiments of the present disclosure;
  • FIGS. 6 to 8 illustrate, in schematic cross-sectional view diagrams, semiconductor devices in accordance with other embodiments of the present disclosure;
  • FIG. 9 illustrates, in a flowchart diagram form, a method for fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 10 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 11 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 10, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIGS. 12 to 15 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 16 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 17 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 16, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIGS. 18 to 21 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 22 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure;
  • FIG. 23 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 23, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIGS. 24 to 30 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure;
  • FIGS. 31 to 35 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with another embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • It should be understood that when an element or layer is referred to as being “connected to” or “coupled to” another element or layer, it can be directly connected to or coupled to another element or layer, or intervening elements or layers may be present.
  • It should be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. Unless indicated otherwise, these terms are only used to distinguish one element from another element. Thus, for example, a first element, a first component or a first section discussed below could be termed a second element, a second component or a second section without departing from the teachings of the present disclosure.
  • Unless the context indicates otherwise, terms such as “same,” “equal,” “planar,” or “coplanar,” as used herein when referring to orientation, layout, location, shapes, sizes, amounts, or other measures do not necessarily mean an exactly identical orientation, layout, location, shape, size, amount, or other measure, but are intended to encompass nearly identical orientation, layout, location, shapes, sizes, amounts, or other measures within acceptable variations that may occur, for example, due to manufacturing processes. The term “substantially” may be used herein to reflect this meaning. For example, items described as “substantially the same,” “substantially equal,” or “substantially planar,” may be exactly the same, equal, or planar, or may be the same, equal, or planar within acceptable variations that may occur, for example, due to manufacturing processes.
  • In the present disclosure, a semiconductor device generally means a device which can function by utilizing semiconductor characteristics, and an electro-optic device, a light-emitting display device, a semiconductor circuit, and an electronic device are all included in the category of the semiconductor device.
  • It should be noted that, in the description of the present disclosure, above (or up) corresponds to the direction of the arrow of the direction Z, and below (or down) corresponds to the opposite direction of the arrow of the direction Z.
  • FIG. 1 illustrates, in a schematic cross-sectional view diagram, a semiconductor device 100A in accordance with one embodiment of the present disclosure. FIG. 2 illustrates, in a schematic top-view diagram, the semiconductor device 100A in accordance with FIG. 1. FIGS. 3 to 5 illustrate, in schematic top-view diagrams, semiconductor devices 100B, 100C and 100D in accordance with some other embodiments of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIGS. 2 to 5 for clarity.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the semiconductor device 100A may include a substrate 101, an isolation layer 103, a plurality of doped regions, a plurality of insulating films, a plurality of contacts, a plurality of bit line contacts 115, a plurality of bit lines 117, a plurality of capacitor plugs 119, a first conductive via 121, a first conductive layer 123, an intrinsically conductive pad 125, a redistribution conductive via 127, a redistribution conductive layer 129, a plurality of word lines 201, a plurality of capacitor structures 301, a stress relief structure 401, a plurality of passivation layers, an external bonding structure 601, and a wiring layer 607.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the substrate 101 may be formed of, for example, silicon, germanium, silicon germanium, silicon carbon, silicon germanium carbon, gallium, gallium arsenic, indium arsenic, indium phosphorus or other IV-IV, III-V or II-VI semiconductor materials. Alternatively, in another embodiment, the substrate 101 may include an organic semiconductor or a layered semiconductor such as silicon/silicon germanium, silicon-on-insulator or silicon germanium-on-insulator. When the substrate 101 is formed of silicon-on-insulator, the substrate 101 may include a top semiconductor layer and a bottom semiconductor layer formed of silicon, and a buried insulating layer which may separate the top semiconductor layer and the bottom semiconductor layer. The buried insulating layer may include, for example, a crystalline or non-crystalline oxide, nitride or any combination thereof.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the isolation layer 103 may be disposed in an upper portion of the substrate 101. (Two isolation layers 103 are shown in the cross-sectional diagram in FIG. 1, but other quantities of isolation layers may be used in other embodiments.) The isolation layer 103 may be formed of, for example, an insulating material such as silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or fluoride-doped silicate. The isolation layer 103 may define a plurality of active regions 105 of the substrate 101.
  • It should be noted that, in the present disclosure, silicon oxynitride refers to a substance which contains silicon, nitrogen, and oxygen and in which a proportion of oxygen is greater than that of nitrogen. Silicon nitride oxide refers to a substance which contains silicon, oxygen, and nitrogen and in which a proportion of nitrogen is greater than that of oxygen.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the plurality of word lines 201 may be disposed in the upper portion of the substrate 101 and separated from each other. Each of the plurality of active regions 105 may intersect two of the plurality of word lines 201. The plurality of word lines 201 may include a plurality of word line insulating layers 203, a plurality of word line conductive layers 205, and a plurality of word line capping layers 207.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the plurality of word line insulating layers 203 may be inwardly disposed in the upper portion of the substrate 101. The plurality of word line insulating layers 203 may have a thickness between about 0.5 nm and about 10 nm. Bottoms of the plurality of word line insulating layers 203 may be flat. The plurality of word line insulating layers 203 may be formed of, for example, an insulating material having a dielectric constant of about 4.0 or greater. (All dielectric constants mentioned herein are relative to a vacuum unless otherwise noted.) The insulating material having a dielectric constant of about 4.0 or greater may be hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, lanthanum oxide, strontium titanate, lanthanum aluminate, yttrium oxide, gallium (III) trioxide, gadolinium gallium oxide, lead zirconium titanate, barium strontium titanate, or a mixture thereof. Alternatively, in another embodiment, the insulating material may be silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the plurality of word line conductive layers 205 may be respectively correspondingly disposed on the plurality of word line insulating layers 203. The plurality of word line conductive layers 205 may be formed of, for example, a conductive material such as doped polysilicon, silicon germanium, metal, metal alloy, metal silicide, metal nitride, metal carbide, or a combination including multilayers thereof. The metal may be aluminum, copper, tungsten, or cobalt. The metal silicide may be nickel silicide, platinum silicide, titanium silicide, molybdenum silicide, cobalt silicide, tantalum silicide, tungsten silicide, or the like. The plurality of word line conductive layers 205 may have a thickness between about 50 nm and about 500 nm.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the plurality of word line capping layers 207 may be respectively correspondingly disposed on the plurality of word line conductive layers 205. Top surfaces of the plurality of word line capping layers 207 may be even with a top surface of the substrate 101. The plurality of word line capping layers 207 may be formed of, for example, an insulating material having a dielectric constant of about 4.0 or greater.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the plurality of doped regions may be disposed in the upper portion of the substrate 101. The plurality of doped regions may be doped with a dopant such as phosphorus, arsenic, antimony, or boron. The plurality of doped regions may include a first doped region 107 and two second doped regions 109. The first doped region 107 may be disposed between the two of the plurality of word lines 201. The two second doped regions 109 may be respectively correspondingly disposed between the plurality of word lines 201 and the isolation layer 103.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the plurality of insulating films may be disposed on the substrate 101. The plurality of insulating films may be formed of, for example, silicon nitride, silicon oxide, silicon oxynitride, flowable oxide, tonen silazen, undoped silica glass, borosilica glass, phosphosilica glass, borophosphosilica glass, plasma-enhanced tetra-ethyl orthosilicate, fluoride silicate glass, carbon-doped silicon oxide, xerogel, aerogel, amorphous fluorinated carbon, organo silicate glass, parylene, bis-benzocyclobutenes, polyimide, porous polymeric material, or a combination thereof, but are not limited thereto. The plurality of insulating films may be all formed of a same material but are not limited thereto. The plurality of insulating films may include a first insulating film 701, a second insulating film 703, a third insulating film 705, a fourth insulating film 707, a fifth insulating film 709, a sixth insulating film 711, a seventh insulating film 713, an eighth insulating film 715, and a ninth insulating film 717.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the first insulating film 701 may be disposed on the substrate 101. The plurality of contacts may be disposed in the first insulating film 701. For each of the plurality of active regions 105, the plurality of contacts may include a first contact 111 and two second contacts 113. The first contact 111 may be disposed on the first doped region 107 and electrically connected to the first doped region 107. The two second contacts 113 may be respectively correspondingly disposed on the two second doped regions 109 and electrically connected to the two second doped regions 109. The first contact 111 and the two second contacts 113 may be formed of a conductive material such as doped polysilicon, metal, metal nitride, or metal silicide.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the second insulating film 703 may be disposed on the first insulating film 701. The plurality of bit line contacts 115 may be disposed in the second insulating film 703 and in the plurality of active regions 105. (Only one bit line contact 115 is shown in the cross-sectional diagram in FIG. 1.) For each of the plurality of active regions 105, the bit line contact 115 may be disposed on the first contact 111 and electrically connected to the first contact 111. The plurality of bit line contacts 115 may be formed of a same material as the first contact 111, but are not limited thereto.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the third insulating film 705 may be disposed on the second insulating film 703. The plurality of bit lines 117 may be disposed in the third insulating film 705. (Only one bit line 117 is shown in the cross-sectional diagram in FIG. 1.) For each of the plurality of active regions 105, the bit line 117 may be disposed on the corresponding bit line contact 115 and electrically connected to the corresponding bit line contact 115. The plurality of bit lines 117 may be formed of a conductive material such as tungsten, aluminum, copper, nickel, or cobalt.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the fourth insulating film 707 may be disposed on the third insulating film 705. The plurality of capacitor plugs 119 may be disposed so as to penetrate through the fourth insulating film 707, the third insulating film 705, and the second insulating film 703. For each of the plurality of active regions 105, two of the plurality of capacitor plugs 119 may be respectively correspondingly disposed on the two second contacts 113 and electrically connected to the two second contacts 113. The plurality of capacitor plugs 119 may be formed of doped polysilicon, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, copper, aluminum or aluminum alloy.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the fifth insulating film 709 may be disposed on the fourth insulating film 707. The plurality of capacitor structures 301 may be disposed in the fifth insulating film 709 and respectively correspondingly on the plurality of capacitor plugs 119. The plurality of capacitor structures 301 may be electrically connected to the plurality of capacitor plugs 119. The plurality of capacitor structures 301 may include a plurality of capacitor bottom electrodes 303, a capacitor insulating layer 305, and a capacitor top electrode 307. The plurality of capacitor bottom electrodes 303 may be inwardly disposed in the fifth insulating film 709. Bottoms of the plurality of capacitor bottom electrodes 303 may directly contact top surfaces of the plurality of capacitor plugs 119. The plurality of capacitor bottom electrodes 303 may be formed of doped polysilicon, metal, or metal silicide.
  • With reference to FIGS. 1 and 2, in the embodiment depicted, the capacitor insulating layer 305 may be disposed on the plurality of capacitor bottom electrodes 303. The capacitor insulating layer 305 may be formed of a single layer including an insulating material having a dielectric constant of about 4.0 or greater. The capacitor insulating layer 305 may have a thickness between about 1 angstrom and about 100 angstroms. Alternatively, in another embodiment, the capacitor insulating layer 305 may be formed of a stacked layer consisting of silicon oxide, silicon nitride, and silicon oxide. The capacitor top electrode 307 may be disposed on the capacitor insulating layer 305. The capacitor top electrode 307 may be formed of doped polysilicon or metal.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the sixth insulating film 711 may be disposed on the capacitor top electrode 307. The seventh insulating film 713 may be disposed on the sixth insulating film 711. The eighth insulating film 715 may be disposed on the seventh insulating film 713. The ninth insulating film 717 may be disposed on the eighth insulating film 715. The first conductive via 121 may be disposed in the sixth insulating film 711 and on the capacitor top electrode 307. The first conductive via 121 may be electrically connected to the capacitor top electrode 307. The first conductive via 121 may be formed of, for example, metal, metal alloy, silicate, silicide, polysilicon, amorphous silicon, or any other semiconductor-compatible conductive material. The first conductive layer 123 may be disposed in the seventh insulating film 713 and on the first conductive via 121. The first conductive layer 123 may be electrically connected to the first conductive via 121. The first conductive layer 123 may be formed of, for example, a conductive material such as doped polysilicon, metal, metal nitride, or metal silicide.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, an intrinsically conductive pad 125 may be disposed in the eighth insulating film 715 and on the first conductive layer 123. The intrinsically conductive pad 125 may be electrically connected to the first conductive layer 123 and electrically coupled to the plurality of capacitor structures 301. The intrinsically conductive pad 125 may be formed of, for example, aluminum or copper. Alternatively, in another embodiment, the intrinsically conductive pad 125 may be formed of a stacked layer consisting of gold, nickel, and copper.
  • It should be noted that the first conductive via 121 and the first conductive layer 123 in the embodiment are shown for illustrative purpose only and are not limiting. There could be other numbers of conductive vias or conductive lines, such as a second conductive via or a second conductive line, disposed among other numbers of insulating films to electrically couple the intrinsically conductive pad 125, and other numbers of capacitor structures 301 are also possible.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the stress relief structure 401 may be disposed in the eighth insulating film 715 and distant from the intrinsically conductive pad 125. The stress relief structure 401 may include a conductive frame 403 and a plurality of insulating segments 405. The conductive frame 403 may be disposed distant from the intrinsically conductive pad 125 and may have a mesh shape. That is, members of the conductive frame 403 may be connected to each other. The conductive frame 403 may be formed of, for example, a conductive material such as metal, metal nitride, or metal silicide. The plurality of insulating segments 405 may be disposed within the conductive frame 403 and may have a square shape. The plurality of insulating segments 405 may be formed of a same material as the eighth insulating film 715, but are not limited thereto. Alternatively, in another embodiment, the plurality of insulating segments 405 may be formed of a material including polyimide or an epoxy-based material.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the redistribution conductive via 127 may be disposed in the ninth insulating film 717 and on the intrinsically conductive pad 125. The redistribution conductive via 127 may be electrically connected to the intrinsically conductive pad 125. The redistribution conductive via 127 may be formed of a same material as the first conductive via 121, but is not limited thereto. The redistribution conductive layer 129 may be disposed on the ninth insulating film 717. The redistribution conductive layer 129 may be disposed above the intrinsically conductive pad 125 and the stress relief structure 401. The redistribution conductive layer 129 may be formed of, for example, tin, nickel, copper, gold, aluminum, or an alloy thereof. The redistribution conductive layer 129 may be electrically connected to the redistribution conductive via 127 and electrically coupled to the intrinsically conductive pad 125.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the plurality of passivation layers may be disposed on the redistribution conductive layer 129 and on the ninth insulating film 717. The plurality of passivation layers may include a first passivation layer 501, a second passivation layer 503, and a third passivation layer 505. The first passivation layer 501 may be disposed on the ninth insulating film 717 and the redistribution conductive layer 129. The first passivation layer 501 may be formed of, for example, silicon oxide or phosphosilica glass. The second passivation layer 503 may be disposed on the first passivation layer 501 and formed of, for example, silicon nitride, silicon oxynitride, or silicon oxide nitride. The first passivation layer 501 may serve as a stress buffer between the second passivation layer 503 and the ninth insulating film 717. The second passivation layer 503 may serve as a high vapor barrier in order to prevent moisture from entering from above. The third passivation layer 505 may be disposed on the second passivation layer 503 and formed of, for example, polyimide or polyamide. The third passivation layer 505 may prevent the layers below the third passivation layer 505 from receiving mechanical scratches or background radiation.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the external bonding structure 601 may be disposed in the second passivation layer 503 and the first passivation layer 501. The external bonding structure 601 may be disposed on the redistribution conductive layer 129. The external bonding structure 601 may be disposed directly above the stress relief structure 401 and higher than the intrinsically conductive pad 125. The external bonding structure 601 may be electrically connected to the redistribution conductive layer 129 and electrically coupled to the intrinsically conductive pad 125. The external bonding structure 601 may include a bottom bonding layer 603 and a top bonding layer 605.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, the bottom bonding layer 603 may be disposed in the first passivation layer 501 and on the redistribution conductive layer 129. The bottom bonding layer 603 may be electrically connected to the redistribution conductive layer 129. A thickness of the bottom bonding layer 603 may be less than a thickness of the first passivation layer 501. The bottom bonding layer 603 may include nickel. The top bonding layer 605 may be disposed in the first passivation layer 501 and the second passivation layer 503. The top bonding layer 605 may be disposed on the bottom bonding layer 603 and electrically connected to the bottom bonding layer 603. A top surface of the top bonding layer 605 may be even with a top surface of the second passivation layer 503. The top bonding layer 605 may include palladium, cobalt, or a combination thereof. A width W1 of the stress relief structure 401 may be greater than a width W2 of the external bonding structure 601.
  • With reference to FIGS. 1 to 2, in the embodiment depicted, a wiring layer 607 may be disposed in the third passivation layer 505 and on the top bonding layer 605. The wiring layer 607 may be formed of, for example, gold, copper, aluminum, or an alloy thereof. A width W3 of the wiring layer 607 may be less than the width W2 of the external bonding structure 601. A wire or solder bump (not shown) may be disposed on the wiring layer 607 and may electrically connect the semiconductor device to an external circuit.
  • During a wiring process or a process of forming a solder bump, a stress may be applied to semiconductor device and the stress may cause delamination of the plurality of insulating films. To reduce the effect of the stress of wiring, the redistribution conductive layer 129 may redirect the stress from the intrinsically conductive pad 125 to the wiring layer 607. The stress relief structure 401 directly below the wiring layer 607 and the external bonding structure 601 may serve as a cushion to reduce the stress of wiring and prevent layers underneath the stress relief structure 401 from delaminating. In addition, the members of the conductive frame 403 are connected to each other and may distribute the stress throughout the entire conductive frame 403; therefore, the conductive frame 403 may provide a better stress-buffering capability comparing to a standalone anti-stress structure. Furthermore, the plurality of insulating segments 405 formed of the material including polyimide or the epoxy-based material may be capable of absorbing and distributing the stress to further improve the stress-buffering capability of the stress relief structure 401.
  • With reference to FIG. 3, the conductive frame 403 may have a shape of a rectangle with parallel, horizontal crossmembers. The plurality of insulating segments 405 may have a rectangular shape and may be separated from each other. The plurality of insulating segments 405 may extend along a direction Y. With reference to FIG. 4, the conductive frame 403 may have a shape of a rectangle with parallel, vertical crossmembers. The plurality of insulating segments 405 may have a rectangular shape and may be separated from each other. The plurality of insulating segments 405 may extend along a direction X perpendicular to the direction Y. With reference to FIG. 5, the conductive frame 403 may have a shape of a rectangle with parallel, diagonal crossmembers. The plurality of insulating segments 405 may have a rectangular shape and be separated from each other. The plurality of insulating segments 405 may extend along a direction W diagonal with respect to the direction X and the direction Y.
  • FIGS. 6 to 8 illustrate, in schematic cross-sectional view diagrams, semiconductor devices 100E, 100F and 100G in accordance with other embodiments of the present disclosure.
  • With reference to FIG. 6, spacers 609 may be attached to two sides of the external bonding structure 601. In other words, the two spacers 609 may be disposed between the external bonding structure 601 and the first passivation layer 501 and the second passivation layer 503. The two spacers 609 may be formed of, for example, silicon oxide.
  • With reference to FIG. 7, the external bonding structure 601 may include the bottom bonding layer 603, a middle bonding layer 611, and the top bonding layer 605. The middle bonding layer 611 may be disposed on the bottom bonding layer 603. The top bonding layer 605 may be disposed on the middle bonding layer 611. The bottom bonding layer 603 may be formed of, for example, gold. The middle bonding layer 611 may be formed of, for example, nickel. The top bonding layer 605 may be formed of, for example, copper.
  • With reference to FIG. 8, a stress-buffering layer 131 may be disposed in the ninth insulating film 717 and between the redistribution conductive layer 129 and the stress relief structure 401. The stress-buffering layer 131 may be disposed directly below the external bonding structure 601. The stress-buffering layer 131 may be utilized to absorb and redistribute the stress concentration from the underlying layers created by the shear stresses from thermal expansion mismatches and normal stresses due to wiring processes. The stress-buffering layer 131 may be formed of, for example, a material having a coefficient of thermal expansion of less than about 20 ppm/° C. and a Young's Modulus of less than about 15 GPa. Specifically, the stress-buffering layer 131 may be formed of a material including polyimide or an epoxy-based material. The stress-buffering layer 131 may have a thickness between about 5,000 angstroms and about 100,000 angstroms. Preferably, the thickness of the stress-buffering layer 131 may be between about 10,000 angstroms and about 50,000 angstroms.
  • FIG. 9 illustrates, in a flowchart diagram form, a method 10 for fabricating a semiconductor device 100A in accordance with one embodiment of the present disclosure. FIG. 10 illustrates, in a schematic top-view diagram, the semiconductor device 100A in accordance with one embodiment of the present disclosure. FIG. 11 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 10, part of a flow of fabricating the semiconductor device 100A in accordance with one embodiment of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIG. 10 for clarity.
  • With reference to FIGS. 9 to 11, at step S11, in the embodiment depicted, a substrate 101 may be provided, and an isolation layer 103, a plurality of word line trenches 209, and a plurality of doped regions may be formed in the substrate 101. The isolation layer 103 may define a plurality of active areas 105. The plurality of active areas 105 may be separated from each other and extend along a direction W in a top-view diagram. The plurality of word line trenches 209 may be inwardly formed in the substrate 101. The plurality of word line trenches 209 may extend along a direction X diagonal with respect to the direction W. Each of the plurality of active regions 105 may intersect two of the plurality of word line trenches 209. The plurality of doped regions may include a first doped region 107 and two second doped regions 109. The first doped region 107 may be formed between the plurality of word line trenches 209. The two second doped regions 109 may be formed between the isolation layer 103 and the plurality of word line trenches 209.
  • FIGS. 12 to 15 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure. FIG. 16 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure. FIG. 17 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 16, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIG. 16 for clarity.
  • With reference to FIGS. 9 and 12 to 14, at step S13, in the embodiment depicted, a plurality of word lines 201 may be formed in the substrate 101. The plurality of word lines 201 may include a plurality of word line insulating layers 203, a plurality of word line conductive layers 205, and a plurality of word line capping layers 207. With reference to FIG. 12, the plurality of word line insulating layers 203 may be formed in the plurality of word line trenches 209. With reference to FIG. 13, the plurality of word line conductive layers 205 may be respectively correspondingly formed on the plurality of word line insulating layers 203. With reference to FIG. 14, the plurality of word line capping layers 207 may be formed on the plurality of word line conductive layers 205. A planarization process, such as chemical mechanical polishing, may be performed to provide a substantially flat surface for subsequent processing steps.
  • With reference to FIGS. 9 and 15 to 17, at step S15, in the embodiment depicted, a plurality of bit lines 117 may be formed above the substrate 101. With reference to FIG. 15, a first insulating film 701 may be formed on the substrate 101. For each of the plurality of active regions 105, a first contact 111 and two second contacts 113 may be formed in the first insulating film 701. The first contact 111 may be formed on the first doped region 107. The two second contacts 113 may be respectively correspondingly formed on the two second doped regions 109.
  • With reference to FIGS. 16 and 17, a second insulating film 703 may be formed on the first insulating film 701. A third insulating film 705 may be formed on the second insulating film 703. A plurality of bit line contacts 115 may be formed in the second insulating film 703. The plurality of bit line contacts 115 may be respectively correspondingly disposed on the plurality of first contacts 111. A plurality of bit lines 117 may be formed in the third insulating film 705. The plurality of bit lines 117 may extend along a direction Y diagonal with respect to the direction W and perpendicular to the direction X. The plurality of bit lines 117 may be implemented as wavy lines. The plurality of bit lines 117 may be separated from each other. Each of the plurality of bit lines 117 may intersect one of the plurality of active regions 105 in a top view diagram. The plurality of bit lines 117 may be electrically connected to the plurality of bit line contacts 115.
  • FIGS. 18 to 21 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure. FIG. 22 illustrates, in a schematic top-view diagram, the semiconductor device in accordance with one embodiment of the present disclosure. FIG. 23 illustrates, in a schematic cross-sectional diagram taken along a line A-A′ in FIG. 22, part of a flow of fabricating a semiconductor device in accordance with one embodiment of the present disclosure. Some elements of the semiconductor device of the present disclosure are not shown in FIG. 23 for clarity.
  • With reference to FIGS. 9 and 18 to 21, at step S17, in the embodiment depicted, a plurality of capacitor structures 301 may be formed above the substrate 101. The plurality of capacitor structures 301 may include a plurality of capacitor bottom electrodes 303, a capacitor insulating layer 305, and a capacitor top electrode 307. With reference to FIG. 18, a fourth insulating film 707 may be formed on the third insulating film 705. A plurality of capacitor plugs 119 may be formed so as to extend through the fourth insulating film 707, the third insulating film 705, and the second insulating film 703. For each of the plurality of active regions 105, the plurality of capacitor plugs 119 may be respectively correspondingly disposed on the two second contacts 113.
  • With reference to FIG. 19, a fifth insulating film 709 may be formed on the fourth insulating film 707. A plurality of capacitor trenches 309 may be inwardly formed in the fifth insulating film 709. The plurality of capacitor bottom electrodes 303 may be respectively correspondingly formed in the plurality of capacitor trenches 309. With reference to FIG. 20, the capacitor insulating layer 305 may be formed on the plurality of capacitor bottom electrodes 303. With reference to FIG. 21, a capacitor top electrode 307 may be formed on the capacitor insulating layer 305 and may fill the plurality of capacitor trenches 309.
  • With reference to FIGS. 9, 22, and 23, at step S19, in the embodiment depicted, an intrinsically conductive pad 125 and a stress relief structure 401 may be formed above the substrate 101. The stress relief structure 401 may include a conductive frame 403 and a plurality of insulating segments 405. With reference to FIGS. 22 and 23, a sixth insulating film 711 and a seventh insulating film 713 may be sequentially formed on the capacitor top electrode 307. A first conductive via 121 may be formed in the sixth insulating film 711 and on the capacitor top electrode 307. A first conductive layer 123 may be formed in the seventh insulating film 713 and on the first conductive via 121. The intrinsically conductive pad 125 and the stress relief structure 401 may be concurrently formed in the eighth insulating film 715, but are not limited thereto.
  • With reference to FIGS. 22 and 23, in the embodiment depicted, a conductive layer may be formed on the seventh insulating film 713 by a first deposition process such as chemical vapor deposition, physical vapor deposition, sputtering deposition, electroplating, or electroless plating. A photolithography process may be performed to define positions of the intrinsically conductive pad 125 and the conductive frame 403. After the photolithography process, an etch process, such as an anisotropic dry etch process, may be performed to form the intrinsically conductive pad 125 and the conductive frame 403 on the seventh insulating film 713. Subsequently, a second deposition process may be performed to deposit an insulating layer over the seventh insulating film 713 and cover the intrinsically conductive pad 125 and the stress relief structure 401. After the second deposition process, a planarization process, such as chemical mechanical polishing, may be performed until top surfaces of the intrinsically conductive pad 125 and the conductive frame 403 are exposed, and concurrently form the eighth insulating film 715 and the plurality of insulating segments 405 within the conductive frame 403.
  • FIGS. 24 to 30 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating the semiconductor device 100A in accordance with one embodiment of the present disclosure.
  • With reference to FIGS. 9 and 24, at step S21, in the embodiment depicted, a redistribution conductive layer 129 may be formed above the intrinsically conductive pad 125 and the stress relief structure 401. A ninth insulating film 717 may be formed on the eighth insulating film 715. A redistribution conductive via 127 may be formed in the ninth insulating film 717 and on the intrinsically conductive pad 125. A conductive layer may be formed on the ninth insulating film 717 by a deposition process such as chemical vapor deposition, physical vapor deposition, sputtering deposition, electroplating, or electroless plating. A photolithography process may be performed to define a position of the redistribution conductive layer 129. After the photolithography process, an etch process, such as an anisotropic dry etch process, may be performed to form the redistribution conductive layer 129.
  • With reference to FIGS. 9 and 25, at step S23, in the embodiment depicted, a first passivation layer 501 and a second passivation layer 503 may be sequentially formed on the ninth insulating film 717 and the redistribution conductive layer 129, a first pad opening 507 may be formed so as to penetrate through the first passivation layer 501 and the second passivation layer 503, and a cleaning process 801 may be performed on the second passivation layer 503 and the first pad opening 507. The first passivation layer 501 may be formed on the ninth insulating film 717 and the redistribution conductive layer 129 by a deposition process. A planarization process, such as chemical mechanical polishing, may be performed to provide a substantially flat surface for subsequent processing steps. The second passivation layer 503 may be formed on the first passivation layer 501. A photolithography process may be performed to define a position of the first pad opening 507. After the photolithography process, an etch process, such as an anisotropic dry etch process, may be performed to form the first pad opening 507. A portion of a top surface of the redistribution conductive layer 129 may be exposed through the first pad opening 507.
  • With reference to FIG. 25, the cleaning process 801 may be performed after the etching process. The cleaning process 801 may include applying a mixture of hydrogen and argon as a remote plasma source with a process temperature between about 250° C. and about 350° C. and a process pressure ranging between about 1 Torr and about 10 Torr in the presence of a bias energy applied to the equipment performing the cleaning process 801. The bias energy may be between about 0 W and 200 W. The cleaning process 801 may remove oxide, originating from oxidation by oxygen in the air, from the top surface of the redistribution conductive layer 129 without damaging the conductive feature of the redistribution conductive layer 129.
  • With reference to FIGS. 9 and 26, at step S25, in the embodiment depicted, a passivation process 803 may be performed on the second passivation layer 503 and the first pad opening 507. The passivation process 803 may include soaking the semiconductor device with a precursor such as dimethylaminotrimethylsilane, tetramethylsilane, or the like at a process temperature ranging between about 200° C. and about 400° C. An ultraviolet energy may be used to facilitate the passivation process 803. The passivation process 803 may passivate sidewalls of the second passivation layer 503 and the first passivation layer 501 exposed through the first pad opening 507 by sealing surface pores thereof to reduce undesirable sidewall growth, which may affect the electric characteristics of the semiconductor device, during subsequent processing steps. As a result, the performance and reliability of the semiconductor device may be increased.
  • With reference to FIGS. 9, 27 and 28, at step S27, in the embodiment depicted, an external bonding structure 601 may be formed in the first pad opening 507. The external bonding structure 601 may include a bottom bonding layer 603 and a top bonding layer 605. With reference to FIG. 27, the bottom bonding layer 603 may be formed on the redistribution conductive layer 129 in the first pad opening 507 by electroplating or electroless plating. The bottom bonding layer 603 may include nickel and may serve as a barrier between the redistribution conductive layer 129 formed of copper and the top bonding layer 605. With reference to FIG. 28, the top bonding layer 605 may be formed on the bottom bonding layer 603 in the first pad opening 507 by electroplating or electroless plating. The top bonding layer 605 may include palladium, cobalt, or a combination thereof. A top surface of the top bonding layer 605 may include a plurality of heterogeneous nucleation sites such as topographical features, lattice discontinuities/orientations, surface defects, textures, or other surface features. The plurality of heterogeneous nucleation sites on the top surface of the top bonding layer 605 may facilitate a subsequent wiring/bonding process.
  • With reference to FIGS. 9, 29 and 30, at step S29, in the embodiment depicted, a third passivation layer 505 and a wiring layer 607 may be formed on the second passivation layer 503. With reference to FIG. 29, the third passivation layer 505 may be formed on the second passivation layer 503. The third passivation layer 505 may include polyimide or polyamide. A photolithography process may be performed to define a position of the wiring layer 607 in the third passivation layer 505. After the photolithography process, an etch process, such as an anisotropic dry etch process, may be performed to form a second pad opening 509. A portion of the top surface of the top bonding layer 605 may be exposed through the second pad opening 509. With reference to FIG. 30, the wiring layer 607 may be formed in the second pad opening 509 and on the top bonding layer 605.
  • FIGS. 31 to 35 illustrate, in schematic cross-sectional diagrams, part of a flow of fabricating a semiconductor device 100E in accordance with another embodiment of the present disclosure.
  • With reference to FIG. 31, a spacer layer 613 may be formed over a top surface of the second passivation layer 503 and in the first pad opening 507. The spacer layer 613 may be formed of, for example, silicon oxide. With reference to FIG. 32, an etch process, such as an anisotropic dry etch process, may be performed to remove portions of the spacer layer 613 formed over the top surface of the second passivation layer 503 and on a bottom of the first pad opening 507 and concurrently form two spacers 609 attached to sidewalls of the first pad opening 507. With reference to FIGS. 33 and 34, the external bonding structure 601 may be formed by a procedure similar to that illustrated in FIGS. 27 and 28. The bottom bonding layer 603 may be formed on the redistribution conductive layer 129 and between the two spacers 609. The top bonding layer 605 may be formed on the bottom bonding layer 603 and between the two spacers 609. With reference to FIG. 35, the third passivation layer 505 and the wiring layer 607 may be formed by a procedure similar to that illustrated in FIGS. 29 and 30.
  • Due to the design of the semiconductor device of the present disclosure, the stress relief structure 401 may distribute the stress of wiring; therefore, the delamination of the plurality of insulating films may be reduced. As a result, the yield of the semiconductor device may be improved. In addition, the passivation process 803 may reduce undesirable sidewall growth of the plurality of passivation layers.
  • Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, many of the processes discussed above can be implemented in different methodologies and replaced by other processes, or a combination thereof.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, and steps.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a substrate;
an intrinsically conductive pad positioned above the substrate;
a stress relief structure positioned above the substrate and distant from the intrinsically conductive pad; and
an external bonding structure positioned directly above the stress relief structure.
2. The semiconductor device of claim 1, wherein the stress relief structure comprises a conductive frame and a plurality of insulating segments positioned within the conductive frame.
3. The semiconductor device of claim 2, wherein each of the plurality of insulating segments has a square shape and the insulating segments are separated from each other.
4. The semiconductor device of claim 2, further comprising a plurality of word lines positioned in the substrate and extending along a first direction, wherein each of the plurality of insulating segments has a rectangular shape and extends along a second direction perpendicular to the first direction.
5. The semiconductor device of claim 2, further comprising a plurality of word lines positioned in the substrate and extending along a first direction, wherein each of the plurality of insulating segments has a rectangular shape and extends along a second direction diagonal with respect to the first direction.
6. The semiconductor device of claim 2, further comprising a redistribution conductive layer positioned above the stress relief structure and the intrinsically conductive pad.
7. The semiconductor device of claim 6, further comprising a stress-buffering layer positioned below the external bonding structure, wherein the stress-buffering layer is formed of a material having a coefficient of thermal expansion of less than about 20 ppm/° C. and a Young's Modulus of less than about 15 GPa.
8. The semiconductor device of claim 2, wherein the external bonding structure comprises a bottom bonding layer positioned directly above the stress relief structure and a top bonding layer positioned above the bottom bonding layer.
9. The semiconductor device of claim 2, wherein the external bonding structure comprises a bottom bonding layer positioned directly above the stress relief structure, a middle bonding layer positioned on the bottom bonding layer, and a top bonding layer positioned on the middle bonding layer.
10. The semiconductor device of claim 8, wherein a width of the external bonding structure is less than a width of the stress relief structure.
11. The semiconductor device of claim 8, further comprising a wiring layer positioned on the external bonding structure.
12. The semiconductor device of claim 11, wherein a width of the wiring layer is less than a width of the external bonding structure.
13. The semiconductor device of claim 8, further comprising two spacers positioned adjacent to two sides of the external bonding structure.
14. A method for fabricating a semiconductor device, comprising:
providing a substrate;
forming an intrinsically conductive pad above the substrate; and
forming a stress relief structure above the substrate and distant from the intrinsically conductive pad.
15. The method for fabricating the semiconductor device of claim 14, wherein forming the stress relief structure above the substrate and distant from the intrinsically conductive pad comprises:
forming a conductive frame above the substrate; and
forming a plurality of insulating segments within the conductive frame.
16. The method for fabricating the semiconductor device of claim 15, further comprising:
forming a redistribution conductive layer above the intrinsically conductive pad and the stress relief structure.
17. The method for fabricating the semiconductor device of claim 16, further comprising:
forming a plurality of passivation layers above the redistribution conductive layer and forming a first pad opening in the plurality of passivation layers.
18. The method for fabricating the semiconductor device of claim 17, further comprising:
performing a passivation process comprising soaking the first pad opening with a precursor, wherein the precursor is dimethylaminotrimethylsilane or tetramethylsilane.
19. The method for fabricating the semiconductor device of claim 17, further comprising:
performing a cleaning process, wherein the cleaning process comprises applying a remote plasma to the first pad opening.
20. The method for fabricating the semiconductor device of claim 18, further comprising:
forming an external bonding structure on the redistribution conductive layer;
wherein the external bonding structure comprises a bottom bonding layer formed on the redistribution conductive layer and a top bonding layer formed on the bottom bonding layer.
US16/665,813 2019-10-28 2019-10-28 Semiconductor device and method for fabricating the same Abandoned US20210125948A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US16/665,813 US20210125948A1 (en) 2019-10-28 2019-10-28 Semiconductor device and method for fabricating the same
TW109122286A TWI741668B (en) 2019-10-28 2020-07-01 Semiconductor device and method for fabricating the same
CN202010862799.0A CN112736066A (en) 2019-10-28 2020-08-25 Semiconductor element and method for manufacturing the same
US17/550,348 US11791294B2 (en) 2019-10-28 2021-12-14 Method for fabricating semiconductor device with stress relief structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/665,813 US20210125948A1 (en) 2019-10-28 2019-10-28 Semiconductor device and method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/550,348 Division US11791294B2 (en) 2019-10-28 2021-12-14 Method for fabricating semiconductor device with stress relief structure

Publications (1)

Publication Number Publication Date
US20210125948A1 true US20210125948A1 (en) 2021-04-29

Family

ID=75586122

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/665,813 Abandoned US20210125948A1 (en) 2019-10-28 2019-10-28 Semiconductor device and method for fabricating the same
US17/550,348 Active 2039-12-03 US11791294B2 (en) 2019-10-28 2021-12-14 Method for fabricating semiconductor device with stress relief structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/550,348 Active 2039-12-03 US11791294B2 (en) 2019-10-28 2021-12-14 Method for fabricating semiconductor device with stress relief structure

Country Status (3)

Country Link
US (2) US20210125948A1 (en)
CN (1) CN112736066A (en)
TW (1) TWI741668B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220139816A1 (en) * 2020-10-30 2022-05-05 Taiwan Semiconductor Manufacturing Company Limited Organic interposer including intra-die structural reinforcement structures and methods of forming the same
WO2023014775A1 (en) * 2021-08-03 2023-02-09 Applied Materials, Inc. Selective silicide deposition for 3-d dram

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039958B2 (en) * 2008-10-31 2011-10-18 Advanced Micro Devices, Inc. Semiconductor device including a reduced stress configuration for metal pillars
US20160049384A1 (en) * 2014-08-13 2016-02-18 Taiwan Semiconductor Manufacturing Company Ltd. Buffer layer(s) on a stacked structure having a via
US20190393160A1 (en) * 2018-06-22 2019-12-26 Nanya Technology Corporation Semiconductor device and method of forming the same
US20200098707A1 (en) * 2018-09-21 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for reducing thermal expansion mismatch during integrated circuit packaging

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003209134A (en) * 2002-01-11 2003-07-25 Hitachi Ltd Semiconductor device and its manufacturing method
US7208837B2 (en) * 2004-02-10 2007-04-24 United Microelectronics Corp. Semiconductor chip capable of implementing wire bonding over active circuits
CN102306635B (en) * 2004-11-16 2015-09-09 罗姆股份有限公司 The manufacture method of semiconductor device and semiconductor device
US7646087B2 (en) * 2005-04-18 2010-01-12 Mediatek Inc. Multiple-dies semiconductor device with redistributed layer pads
JP4764668B2 (en) * 2005-07-05 2011-09-07 セイコーエプソン株式会社 Electronic substrate manufacturing method and electronic substrate
JP4289335B2 (en) * 2005-08-10 2009-07-01 セイコーエプソン株式会社 Electronic components, circuit boards and electronic equipment
JP5111878B2 (en) * 2007-01-31 2013-01-09 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
TWI364804B (en) * 2007-11-14 2012-05-21 Ind Tech Res Inst Wafer level sensor package structure and method therefor
US8227916B2 (en) * 2009-07-22 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for reducing dielectric layer delamination
KR102079283B1 (en) * 2013-10-15 2020-02-19 삼성전자 주식회사 Integrated circuit device having through-silicon via structure and method of manufacturing the same
US9881884B2 (en) * 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10038025B2 (en) * 2015-12-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Via support structure under pad areas for BSI bondability improvement
US9812414B1 (en) 2016-06-17 2017-11-07 Nanya Technology Corporation Chip package and a manufacturing method thereof
US11011413B2 (en) * 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039958B2 (en) * 2008-10-31 2011-10-18 Advanced Micro Devices, Inc. Semiconductor device including a reduced stress configuration for metal pillars
US20160049384A1 (en) * 2014-08-13 2016-02-18 Taiwan Semiconductor Manufacturing Company Ltd. Buffer layer(s) on a stacked structure having a via
US20190393160A1 (en) * 2018-06-22 2019-12-26 Nanya Technology Corporation Semiconductor device and method of forming the same
US20200098707A1 (en) * 2018-09-21 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for reducing thermal expansion mismatch during integrated circuit packaging

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220139816A1 (en) * 2020-10-30 2022-05-05 Taiwan Semiconductor Manufacturing Company Limited Organic interposer including intra-die structural reinforcement structures and methods of forming the same
US11610835B2 (en) * 2020-10-30 2023-03-21 Taiwan Semiconductor Manufacturing Company Limited Organic interposer including intra-die structural reinforcement structures and methods of forming the same
WO2023014775A1 (en) * 2021-08-03 2023-02-09 Applied Materials, Inc. Selective silicide deposition for 3-d dram

Also Published As

Publication number Publication date
TW202117807A (en) 2021-05-01
TWI741668B (en) 2021-10-01
CN112736066A (en) 2021-04-30
US20220102303A1 (en) 2022-03-31
US11791294B2 (en) 2023-10-17

Similar Documents

Publication Publication Date Title
US11646280B2 (en) Method for fabricating semiconductor device
US11877444B2 (en) Semiconductor device and method for fabricating the same
US11791294B2 (en) Method for fabricating semiconductor device with stress relief structure
US11264323B2 (en) Semiconductor device and method for fabricating the same
US11217594B2 (en) Semiconductor device and method for fabricating the same
US10916510B1 (en) Semiconductor device with stress-relieving features and method for fabricating the same
US11908816B2 (en) Method for fabricating semiconductor device with graphene layers
US11830919B2 (en) Semiconductor device and method for fabricating the same
TWI757046B (en) Semiconductor device and method for fabricating the same
US11282781B2 (en) Semiconductor device and method for fabricating the same
US11631637B2 (en) Method for fabricating semiconductor device with stress-relieving structures
US11164816B2 (en) Semiconductor device and method for fabricating the same
US11557576B2 (en) Method for fabricating semiconductor device with active interposer
US11211287B2 (en) Semiconductor device and method for fabricating the same
US11552081B2 (en) Method for fabricating a semiconductor device and the same
US11101229B2 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: NANYA TECHNOLOGY CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HUANG, TSE-YAO;REEL/FRAME:050856/0395

Effective date: 20190920

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION