US20210091118A1 - Semiconductor-on-insulator wafer having a composite insulator layer - Google Patents

Semiconductor-on-insulator wafer having a composite insulator layer Download PDF

Info

Publication number
US20210091118A1
US20210091118A1 US16/580,259 US201916580259A US2021091118A1 US 20210091118 A1 US20210091118 A1 US 20210091118A1 US 201916580259 A US201916580259 A US 201916580259A US 2021091118 A1 US2021091118 A1 US 2021091118A1
Authority
US
United States
Prior art keywords
layer
insulator
etch stop
wafer
insulator layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/580,259
Other versions
US10950631B1 (en
Inventor
Kuan-Liang Liu
Yeur-Luen Tu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/580,259 priority Critical patent/US10950631B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TU, YEUR-LUEN, LIU, KUAN-LIANG
Priority to TW109108749A priority patent/TWI747200B/en
Priority to CN202010216626.1A priority patent/CN112635491A/en
Priority to US17/192,333 priority patent/US11676969B2/en
Application granted granted Critical
Publication of US10950631B1 publication Critical patent/US10950631B1/en
Publication of US20210091118A1 publication Critical patent/US20210091118A1/en
Priority to US18/309,905 priority patent/US20230275097A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body

Definitions

  • An SOI wafer comprises a handle wafer, a buried oxide layer overlying the handle wafer, and a device layer overlying the buried oxide layer.
  • an SOI wafer leads to reduced parasitic capacitance, reduced leakage current, reduced latch up, and improved semiconductor device performance (e.g., lower power consumption and higher switching speed).
  • FIG. 1 illustrates a cross-sectional view of some embodiments of a semiconductor-on-insulator (SOI) wafer having a composite insulator layer.
  • SOI semiconductor-on-insulator
  • FIG. 2 illustrates a cross-sectional view of some other embodiments of the SOI wafer of FIG. 1 .
  • FIG. 3 illustrates a cross-sectional view of some other embodiments of the SOI wafer of FIG. 1 .
  • FIG. 4 illustrates a cross-sectional view of some embodiments of an integrated chip (IC) comprising a semiconductor-on-insulator (SOI) substrate having a composite insulator structure.
  • IC integrated chip
  • SOI semiconductor-on-insulator
  • FIG. 5 illustrates a cross-sectional view of some other embodiments of the IC of FIG. 4 .
  • FIGS. 6-16 illustrate a series of cross-sectional views of some embodiments for forming a semiconductor-on-insulator (SOI) wafer having a composite insulator layer and singulating individual integrated chips (ICs) from the SOI wafer.
  • SOI semiconductor-on-insulator
  • FIG. 17 illustrates a flowchart of some embodiments of a method for forming a semiconductor-on-insulator (SOI) wafer having a composite insulator layer and singulating individual integrated chips (ICs) from the SOI wafer.
  • SOI semiconductor-on-insulator
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Some semiconductor-on-insulator (SOI) wafers comprise a handle wafer (e.g., a silicon wafer), a buried oxide layer (e.g., silicon dioxide (SiO 2 )) overlying the handle wafer, and a device layer (e.g., a silicon layer) overlying the insulator layer.
  • a handle wafer e.g., a silicon wafer
  • a buried oxide layer e.g., silicon dioxide (SiO 2 )
  • SiO 2 silicon dioxide
  • One approach for forming such an SOI wafer is a bond and etch process.
  • One of two bond and etch process are typically utilized to form the SOI wafer.
  • One bond and etch process includes forming the oxide layer on the handle wafer via a thermal oxidation process. A device wafer is then bonded to the oxide layer. Thereafter, the device wafer is etched backed to form the device layer over the oxide layer. However, because the device wafer is bonded to the oxide layer, there is a bond interface between the device layer and the oxide layer. The bond interface is a source of leakage paths that negatively affect the performance of semiconductor devices (e.g., transistors) that are subsequently formed on the device layer.
  • semiconductor devices e.g., transistors
  • Another bond and etch process includes forming the oxide layer on the device wafer via the thermal oxidation process.
  • the handle wafer is then bonded to the oxide layer.
  • the device wafer is etched backed to form the device layer over the oxide layer.
  • the thermal oxidation process prevents the use of an epitaxial etch stop layer due to the relatively high temperature (e.g., at least 1000° C.) of the thermal oxidation process relaxing the epitaxial etch stop layer. Because the thermal oxidation process prevents the use of an epitaxial etch stop layer, the total thickness variation (TTV) of the device layer is negatively affected.
  • TTV total thickness variation
  • Various embodiments of the present application are directed toward a method for forming an SOI wafer.
  • the method comprises forming an etch stop layer over a donor wafer.
  • a device layer is formed over the etch stop layer.
  • a first oxide layer is formed over the device layer via a chemical vapor deposition (CVD) process.
  • a second oxide layer is formed over the handle wafer via a thermal oxidation process. The first oxide layer is then bonded to the second oxidation layer. Thereafter, the donor wafer and etch stop layer are removed to form the SOI wafer.
  • CVD chemical vapor deposition
  • the first oxide layer may be formed on the device wafer without negatively affecting the use of the etch stop layer (e.g., due to the relatively low temperature (e.g., less than or equal to 900° C.) needed for the CVD process).
  • the TTV of the device layer may be improved (e.g., reduced TTV).
  • a bond interface between the first oxide layer and the second oxide layer is disposed relatively far away from a bottom surface of the device layer. Because the bond interface is disposed relatively far away from the bottom surface of the device layer, the performance of integrated chips (e.g., dies) formed from the SOI wafer 100 may be improved due to a reduction in potential leakage paths.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of a semiconductor-on-insulator (SOI) wafer 100 having a composite insulator layer 104 .
  • SOI semiconductor-on-insulator
  • the SOI wafer 100 comprises a handle wafer 102 , a composite insulator layer 104 , and a device layer 106 .
  • the SOI wafer 100 may be used with, for example, complementary metal-oxide-semiconductor (CMOS) applications, embedded flash applications, CMOS image sensor applications, near infrared (NIR) applications, microelectronics applications, optoelectronics applications, micro-electro-mechanicals systems (MEMS) applications, or the like.
  • CMOS complementary metal-oxide-semiconductor
  • NIR near infrared
  • MEMS micro-electro-mechanicals systems
  • the SOI wafer 100 has a circular top layout and/or has a diameter of approximately 200, 300, or 450 millimeters (mm). In other embodiments, the SOI wafer 100 may have some other shape and/or some other dimensions.
  • the handle wafer 102 may be or comprise, for example, monocrystalline silicon, some other silicon material (e.g., polycrystalline silicon), some other semiconductor material (e.g., germanium (Ge)), or any combination of the foregoing.
  • the device layer 106 overlies the handle wafer 102 .
  • the device layer 106 is configured to be processed so that semiconductor devices (e.g., transistors) may be formed on the device layer 106 .
  • the device layer 106 may be or comprise, for example, monocrystalline silicon, some other silicon material, some other semiconductor material, or any combination of the foregoing.
  • the device layer 106 may have a thickness (e.g., a distance between an upper surface and a lower surface) between 100 angstrom ( ⁇ ) and 3000 ⁇ .
  • the thickness of the device layer 106 may be 1300 ⁇ .
  • the device layer 106 may be an epitaxial layer (e.g., formed by an epitaxy process).
  • the composite insulator layer 104 is disposed between the handle wafer 102 and the device layer 106 .
  • the composite insulator layer 104 comprises a first insulator layer 108 and a second insulator layer 110 .
  • the first insulator layer 108 contacts the second insulator layer 110 at a bond interface 112 , such that material of the first insulator layer 108 is bonded to the material of the second insulator layer 110 .
  • the bond interface 112 comprises dielectric-to-dielectric bonds between the material of the first insulator layer 108 and the material of the second insulator layer 110 .
  • the first insulator layer 108 contacts the handle wafer 102 .
  • the second insulator layer 110 contacts the device layer 106 .
  • the composite insulator layer 104 may have a thickness between 200 ⁇ and 2 micrometers (um).
  • the first insulator layer 108 may have a thickness between 100 ⁇ and 1 um.
  • the second insulator layer 110 may have a thickness between 100 ⁇ and 1 um.
  • a ratio of the thickness of the second insulator layer 110 to the thickness of the first insulator layer 108 is between 0.1 and 10.
  • the first insulator layer 108 may be or comprise, for example, an oxide (e.g., SiO 2 ), a high-k dielectric (e.g., a dielectric material with a dielectric constant greater than 3.9), or the like.
  • the first insulator layer 108 is an oxide (e.g., SiO2)
  • the first insulator layer 108 may be referred to as a first oxide layer.
  • the first insulator layer 108 may be a thermal oxidation oxide.
  • the thermal oxidation oxide may be silicon dioxide formed by a thermal oxidation process.
  • the second insulator layer 110 may be or comprise, for example, an oxide (e.g., SiO 2 ), a high-k dielectric (e.g., a dielectric material with a dielectric constant greater than 3.9), or the like.
  • the second insulator layer 110 may be referred to as a second oxide layer.
  • the second insulator layer 110 may be a chemical vapor deposition (CVD) oxide.
  • the CVD oxide may be silicon dioxide formed by a CVD process, such as, plasma-enhanced CVD (PECVD), low pressure CVD (LPCVD), high-density plasma CVD (HDPCVD), or the like.
  • PECVD plasma-enhanced CVD
  • LPCVD low pressure CVD
  • HDPCVD high-density plasma CVD
  • the bond interface 112 is disposed a relatively large distance from a bottom surface of the device layer 106 . Because the bond interface 112 is disposed relatively far away from the bottom surface of the device layer 106 , the performance of integrated chips (e.g., dies) formed from the SOI wafer 100 may be improved due to a reduction in potential leakage paths.
  • integrated chips e.g., dies
  • FIG. 2 illustrates a cross-sectional view of some other embodiments of the SOI wafer 100 of FIG. 1 .
  • the first insulator layer 108 surrounds the handle wafer 102 .
  • the first insulator layer 108 may extend continuously along an upper surface of the handle wafer 102 , along a first sidewall of the handle wafer 102 , along a bottom surface of the handle wafer 102 , and along a second sidewall of the handle wafer 102 opposite the first sidewall.
  • the composite insulator layer 104 comprises the second insulator layer 110 and a portion of the first insulator layer 108 disposed between the handle wafer 102 and the device layer 106 .
  • the second insulator layer 110 has a higher concentration of a predefined chemical element than the first insulator layer 108 .
  • the predefined chemical element may be, for example, hydrogen (H), carbon (C), chlorine (Cl), or the like.
  • a thermal stability of the second insulator layer 110 at a predefined temperature may be the same as a thermal stability of the first insulator layer 108 at the predefined temperature.
  • the second insulator layer 110 and the first insulator layer 108 may be stable at the predefined temperature.
  • the thermal stability of the second insulator layer 110 may be different than the thermal stability of the first insulator layer 108 .
  • the first insulator layer 108 may be stable at the predefined temperature and the second insulator layer 110 may densify (e.g., become denser) at the predefined temperature, or the first insulator layer 108 may be stable at the predefined temperature and the second insulator layer 110 may eject (e.g., outgas) some of the predefined chemical at the predefined temperature.
  • a density of the second insulator layer 110 is between 2.1 gram per cubic centimeter (g/cm 3 ) and 2.3 g/cm 3 .
  • the density of the second insulator layer 110 and a density of the first insulator layer 108 may be the same.
  • both the density of the first insulator layer 108 and the density of the second insulator layer 110 may be 2.2 g/cm 3 .
  • the density of the second insulator layer 110 may be different than the density of the first insulator layer 108 .
  • the density of the second insulator layer 110 may be greater than the density of the first insulator layer 108 (e.g., 2.3 g/cm 3 and 2.2 g/cm 3 , respectively), or the density of the second insulator layer 110 may be less than the density of the first insulator layer 108 (e.g., 2.1 g/cm 3 and 2.2 g/cm 3 , respectively).
  • an intrinsic stress of the second insulator layer 110 is between 3 megapascal (MPa) compressive and 3 MPa tensile.
  • the intrinsic stress of the second insulator layer 110 may be 3 MPa tensile, 1 MPa compressive, or 3 MPa compressive.
  • the intrinsic stress of the second insulator layer 110 may be the same as an intrinsic stress of the first insulator layer 108 .
  • both the intrinsic stress of the first insulator layer 108 and the intrinsic stress of the second insulator layer 110 may be 3 MPa compressive.
  • the intrinsic stress of the second insulator layer 110 may be different than the intrinsic stress of the first insulator layer 108 .
  • the intrinsic stress of the first insulator layer 108 may be 3 MPa compressive and the intrinsic stress of the second insulator layer may be 2 MPa compressive, 1 MPa compressive, 1 MPa tensile, 2 MPa tensile, 3 MPa tensile, or some other intrinsic stress value that is different than the intrinsic stress of the first insulator layer 108 .
  • a dielectric strength of the second insulator layer 110 is less than 11 megavolt per centimeter (MV/cm). In further embodiments, a dielectric strength of the first insulator layer 108 is greater than or equal to 11 MV/cm. In further embodiments, the dielectric strength of the second insulator layer 110 is between 5 MV/cm and 10 MV/cm. In yet further embodiments, the dielectric strength of the second insulator layer 110 may be 5 MV/cm, 8 MV/cm, or 10 MV/cm. The dielectric strength of the second insulator layer 110 may be different than a dielectric strength of the first insulator layer 108 . For example, the dielectric strength of the first insulator layer 108 may be 11 MV/cm and the dielectric strength of the second insulator layer 110 may be 5 MV/cm, 8 MV/cm, or 10 MV/cm.
  • the first insulator layer 108 has a first etch rate for a predefined etching process
  • the second insulator layer 110 has a second etch rate for the predefined etching process that is different than the first etch rate.
  • the first etch rate is less than the second etch rate.
  • the first etch rate may be less than or equal to 25 angstroms per minute (A/min).
  • the second etch rate may be between 400 ⁇ /min and 30 ⁇ /min.
  • the second etch rate is 400 ⁇ /min, 60 ⁇ /min, or 30 ⁇ /min.
  • the predefined etching process is a hydrofluoric (HF) etching process (e.g., HF acid etching process).
  • HF etching process utilizes a HF acid solution having a ratio of water (H 2 O) to HF acid of 100:1.
  • the first insulator layer 108 is a conformal layer that conforms to the contours of the handle wafer 102 .
  • the second insulator layer 110 is a conformal layer that conforms to the contours of the bottom surface of the device layer 106 .
  • the second insulator layer 110 is a non-conformal layer.
  • the first insulator layer 108 is a conformal layer and the second insulator layer 110 is a conformal layer.
  • the second insulator layer 110 is a non-conformal layer and the first insulator layer 108 is a conformal layer.
  • FIG. 3 illustrates a cross-sectional view of some other embodiments of the SOI wafer 100 of FIG. 1 .
  • outermost sidewalls of the second insulator layer 110 are disposed between outermost sidewalls of the first insulator layer 108 , such that an edge region of the SOI wafer 100 has a step-like profile.
  • the outermost sidewalls of the second insulator layer 110 may be disposed between outermost sidewalls of the handle wafer 102 .
  • outermost sidewalls of the device layer 106 are disposed between the outermost sidewalls of the first insulator layer 108 .
  • the outermost sidewalls of the device layer 106 may be disposed between the outermost sidewalls of the handle wafer 102 .
  • the outermost sidewalls of the device layer 106 are substantially aligned with the outermost sidewalls of the second insulator layer 110 .
  • the outermost sidewall of the device layer 106 and/or the outermost sidewalls of the second insulator layer 110 may extend vertically at an angle that is substantially perpendicular to an upper surface of the first insulator layer 108 .
  • the outermost sidewall of the device layer 106 and/or the outermost sidewalls of the second insulator layer 110 may be angled (e.g., angled inward or outward).
  • the outermost sidewalls of the first insulator layer 108 may be disposed between the outermost sidewalls of the device layer 106 and/or the outermost sidewalls of the handle wafer 102 .
  • the outermost sidewalls of the second insulator layer 110 may be disposed between the outermost sidewalls of the device layer 106 and/or the outermost sidewalls of the handle wafer 102 .
  • the outermost sidewalls of the second insulator layer 110 may be disposed between the outermost sidewalls of the first insulator layer 108 .
  • FIG. 4 illustrates a cross-sectional view of some embodiments of an integrated chip (IC) 400 comprising a semiconductor-on-insulator (SOI) substrate 401 having a composite insulator structure 404 .
  • IC integrated chip
  • SOI semiconductor-on-insulator
  • the IC 400 comprises an SOI substrate 401 .
  • the SOI substrate 401 is a portion of the SOI wafer 100 .
  • the SOI substrate 401 comprises a handle substrate 402 , a composite insulator structure 404 , and a device substrate 406 .
  • the handle substrate 402 is a portion of the handle wafer 102 .
  • the device substrate 406 is a portion of the device layer 106 .
  • the composite insulator structure 404 is a portion of the composite insulator layer 104 .
  • the composite insulator structure 404 comprises a first insulator structure 408 and a second insulator structure 410 .
  • the first insulator structure 408 is a portion of the first insulator layer 108 .
  • the second insulator structure 410 is a first portion of the second insulator layer 110 .
  • the first insulator structure 408 contacts the second insulator structure 410 at the bond interface 112 , such that material of the first insulator structure 408 is bonded to the material of the second insulator structure 410 .
  • One or more semiconductor devices 412 are disposed on/over the device substrate 406 .
  • the one or more semiconductor devices 412 may be or comprise, for example, metal-oxide-semiconductor (MOS) field-effect transistors (FETs), some other MOS devices, or some other semiconductor devices.
  • MOS metal-oxide-semiconductor
  • FETs field-effect transistors
  • each of the one or more semiconductor devices 412 comprises a pair of source/drain regions 414 , a gate dielectric 416 , and a gate electrode 418 .
  • one or more isolation structures 420 e.g., shallow trench isolation (STI) structures
  • the one or more isolation structures 420 may laterally surround the one or more semiconductor devices 412 .
  • the one or more isolation structures 420 may extend through the device substrate 406 to contact the second insulator structure 410 . In other embodiments, the one or more isolation structures 420 may be vertically spaced from the second insulator structure 410 .
  • An interlayer dielectric (ILD) layer 422 is disposed over the device substrate 406 and the one or more semiconductor devices 412 .
  • the ILD layer 422 may comprise, for example, an oxide (e.g., SiO 2 ), a low-k dielectric (e.g., a dielectric material with a dielectric constant less than about 3.9), or the like.
  • a plurality of conductive contacts 424 (e.g., tungsten contacts) are disposed in the ILD layer 422 . In some embodiments, the plurality of conductive contacts 424 extend through the ILD layer 422 to the source/drain regions 414 and/or the gate electrode 418 of each of the one or more semiconductor devices 412 .
  • additional dielectric layers and conductive features may be disposed over the ILD layer 422 and the conductive contacts 424 .
  • one or more additional ILD layers, conductive wires (e.g., copper wires), conductive vias (e.g., copper vias), and/or passivation layers may be disposed over the ILD layer 422 .
  • the ILD layers may be collectively referred to as an ILD structure, and the conductive features may collectively be referred to as an interconnect structure (e.g., copper interconnect structure).
  • outermost sidewalls of the ILD layer 422 are substantially aligned with outermost sidewalls of the device substrate 406 .
  • the outermost sidewalls of the device substrate 406 may be substantially aligned with outermost sidewalls of the second insulator structure 410 .
  • the outermost sidewalls of the second insulator structure 410 are substantially aligned with outermost sidewalls of the first insulator structure 408 .
  • the outermost sidewalls of the first insulator structure 408 may be substantially aligned with outermost sidewalls of the handle substrate 402 .
  • the outermost sidewalls of the first insulator structure 408 may be disposed between the outermost sidewalls of the device substrate 406 and/or the outermost sidewalls of the handle substrate 402 .
  • the outermost sidewalls of the second insulator structure 410 may be disposed between the outermost sidewalls of the device substrate 406 and/or the outermost sidewalls of the handle substrate 402 .
  • the outermost sidewalls of the second insulator structure 410 may be disposed between the outermost sidewalls of the first insulator structure 408 .
  • FIG. 5 illustrates a cross-sectional view of some other embodiments of the IC 400 of FIG. 4 .
  • the IC 400 may comprise a third insulator structure 502 .
  • the third insulator structure 502 is a second portion of the first insulator layer 108 .
  • the third insulator structure 502 may have a same chemical composition as the first insulator structure 408 .
  • the third insulator structure 502 has a third etch rate for the predefined etching process that is the same as the first etch rate.
  • the third insulator structure 502 and the first insulator structure 408 may have a same thermal stability, density, intrinsic stress, and/or dielectric strength.
  • the third insulator structure 502 conforms to the contours of a bottom surface of the handle substrate 402 .
  • outermost sidewalls of the third insulator structure 502 may be substantially aligned with the outermost sidewalls of the handle substrate 402 .
  • FIGS. 6-16 illustrate a series of cross-sectional views of some embodiments for forming a semiconductor-on-insulator (SOI) wafer 100 having a composite insulator layer 104 and singulating individual integrated chips (ICs) from the SOI wafer 100 .
  • SOI semiconductor-on-insulator
  • a first insulator layer 108 is formed on a handle wafer 102 .
  • the first insulator layer 108 is formed on an upper surface of the handle wafer 102 .
  • the first insulator layer 108 is formed as a continuous layer on the upper surface of the handle wafer 102 , a first sidewall of the handle wafer 102 , a bottom surface of the handle wafer 102 , and a second sidewall of the handle wafer 102 opposite the first sidewall.
  • the first insulator layer 108 is formed as a conformal layer.
  • a process for forming the first insulator layer 108 comprises growing the first insulator layer 108 via a thermal oxidation process.
  • the thermal oxidation process comprises oxidizing the handle wafer 102 in a processing chamber.
  • the thermal oxidation process comprises loading the handle wafer into the processing chamber, heating the handle wafer to a first processing temperature, and flowing a processing fluid into the processing chamber.
  • the first processing temperature may be greater than or equal to 800° C.
  • the first processing temperature may be greater than or equal to 1000° C.
  • the processing fluid may comprise, for example, oxygen (O), hydrogen (H), a combination of the foregoing, or some other processing fluid suitable for oxidizing the handle wafer 102 .
  • a planarization process (e.g., chemical-mechanical polishing (CMP)) may be performed on the handle wafer 102 and/or the first insulator layer 108 to reduce a thickness of the handle wafer 102 .
  • the thickness of the handle wafer 102 may be reduced to less than or equal to 2 um. In further embodiments, the thickness of the handle wafer is reduced to 1.9 um.
  • a processing layer 704 is formed over a donor wafer 702 .
  • the processing layer 704 is formed on the donor wafer 702 .
  • the donor wafer 702 may comprise any type of semiconductor body (e.g., monocrystalline silicon/CMOS bulk, silicon-germanium (SiGe), silicon on insulator (SOI), etc.).
  • the donor wafer 702 is doped with first doping type dopants (e.g., p-type dopants).
  • the donor wafer 702 has a first doping concentration of the first doping type dopants.
  • the processing layer 704 is a semiconductor (e.g., silicon, germanium, etc.). In such embodiments, the processing layer 704 may be referred to as a semiconductor layer. In further embodiments, the processing layer 704 is silicon (e.g., monocrystalline silicon, polycrystalline silicon, etc.). The processing layer 704 may be doped with the first doping type dopants. The processing layer 704 may have a second doping concentration of the first doping type dopants that is less than the first doping concentration.
  • the processing layer 704 is an epitaxial layer (e.g., formed by an epitaxy process). In further embodiments, the processing layer 704 may have a thickness less than or equal to 2 um. In other embodiments, the processing layer 704 may have a thickness greater than 2 um. In further embodiments, the thickness of the processing layer 704 may be 1.8 um. In yet further embodiments, a process for forming the processing layer 704 comprises depositing or growing the processing layer 704 by, for example, a CVD process, an epitaxy process, or the like.
  • an etch stop layer 706 is formed over the processing layer 704 .
  • the etch stop layer 706 is formed on the processing layer 704 .
  • the etch stop layer 706 may comprise, for example, silicon (Si), germanium (Ge), oxygen (O), boron (B), arsenic (As), or the like.
  • the etch stop layer 706 is an epitaxial etch stop layer (e.g., formed by an epitaxy process).
  • the etch stop layer 706 may have a thickness less than or equal to 20 nanometers (nm). In other embodiments, the thickness of the etch stop layer 706 may be greater than 20 nm. In further embodiments, the thickness of the etch stop layer 706 may be 15 nm. In further embodiments, a process for forming the etch stop layer 706 comprises depositing or growing the processing layer 704 by, for example, a CVD process, an epitaxy process, or the like.
  • a device layer 106 is formed over the etch stop layer 706 .
  • the device layer 106 is formed on the etch stop layer 706 .
  • the device layer 106 may be an epitaxial layer (e.g., formed by an epitaxy process).
  • the device layer 106 , the etch stop layer 706 , and the processing layer 704 are each an epitaxial layer.
  • a process for forming the device layer 106 comprises depositing or growing the device layer 106 by, for example, a CVD process, an epitaxy process, or the like.
  • the etch stop layer 706 may be an implant doped etch stop layer.
  • the etch stop layer 706 may comprise the first doping type dopants or second doping type dopants (e.g., n-type dopants).
  • the etch stop layer 706 may be disposed in the donor wafer 702 , the processing layer 704 , and/or the device layer 106 .
  • the donor wafer 702 , the processing layer 704 , the etch stop layer 706 , and the device layer 106 may be collectively referred to as a workpiece 708 .
  • a second insulator layer 110 is formed over the device layer 106 .
  • the second insulator layer 110 is formed on the device layer 106 .
  • the second insulator layer 110 is formed as a conformal layer.
  • the second insulator layer 110 is formed as a non-conformal layer.
  • a process for forming the second insulator layer 110 comprises depositing the second insulator layer 110 via a CVD process.
  • the second insulator layer 110 may be deposited by PECVD, LPCVD, HDPCVD, or the like.
  • the second insulator layer 110 may be formed by a first PECVD.
  • the first PECVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber.
  • the first PECVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a second processing temperature, and flowing one or more processing fluids into the processing chamber.
  • the second processing temperature may be less than 800° C.
  • the second processing temperature is less than or equal to 200° C.
  • the one or more processing fluids may be or comprise, for example, silane (SiH 4 ), oxygen (O), or the like.
  • the first PECVD process may form the second insulator layer 110 as a non-conformal layer.
  • the second insulator layer 110 may be formed by a first LPCVD.
  • the first LPCVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber.
  • the first LPCVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a third processing temperature, and flowing one or more processing fluids into the processing chamber.
  • the third processing temperature may be less than 800° C.
  • the third processing temperature is less than or equal to 450° C.
  • the one or more processing fluids may be or comprise, for example, SiH 4 , oxygen (O), or the like.
  • the first LPCVD process may form the second insulator layer 110 as a non-conformal layer.
  • the second insulator layer 110 may be formed by a second LPCVD.
  • the second LPCVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber.
  • the second LPCVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a fourth processing temperature, and flowing one or more processing fluids into the processing chamber.
  • the fourth processing temperature may be less than 800° C.
  • the fourth processing temperature may be less than or equal to 700° C.
  • the one or more processing fluids may be or comprise, for example, carbon (C), oxygen (O), hydrogen (H), tetraethyl orthosilicate (TEOS), or the like.
  • the second LPCVD process may form the second insulator layer 110 as a conformal layer.
  • the second insulator layer 110 may be formed by a third LPCVD.
  • the third LPCVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber.
  • the third LPCVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a fifth processing temperature, and flowing one or more processing fluids into the processing chamber.
  • the fifth processing temperature may be less than 1000° C. In further embodiments, the fifth processing temperature may be less than or equal to 900° C.
  • the one or more processing fluids may be or comprise, for example, oxygen (O), nitrogen (N), hydrogen (H), chlorine (Cl), dichlorosilane (SiCl 2 H 2 ), or the like.
  • the third LPCVD process may form the second insulator layer 110 as a conformal layer. While several examples of CVD processes for forming the second insulator layer 110 are provided above, it will be appreciated that, in some embodiments, other CVD process having their own specific operating conditions (e.g., processing temperatures, processing fluids, etc.) may be utilized to form the second insulator layer 110 .
  • the second insulator layer 110 , the device layer 106 , the etch stop layer 706 , the processing layer 704 , and the donor wafer 702 are patterned to remove edge regions of the second insulator layer 110 , the device layer 106 , the etch stop layer 706 , the processing layer 704 , and the donor wafer 702 , respectively.
  • the patterning comprises forming a patterned masking layer (not shown) (e.g., negative/positive photoresist) on the device layer 106 .
  • the second insulator layer 110 , the device layer 106 , the etch stop layer 706 , the processing layer 704 , and the donor wafer 702 are exposed to an etchant (e.g., wet/dry etchant) to remove unmasked portions of the second insulator layer 110 , the device layer 106 , the etch stop layer 706 , the processing layer 704 , and the donor wafer 702 .
  • an etchant e.g., wet/dry etchant
  • multiple patterned masking layers and/or multiple etchants may be utilized to remove the edge regions of the second insulator layer 110 , the device layer 106 , the etch stop layer 706 , the processing layer 704 , and the donor wafer 702 .
  • the second insulator layer 110 is bonded to the first insulator layer 108 , thereby forming a composite insulator layer 104 comprising the first insulator layer 108 and the second insulator layer 110 .
  • the second insulator layer 110 is bonded to the first insulator layer 108 by, for example, direct bonding, vacuum bonding, or the like.
  • a bond interface 112 is formed between the second insulator layer 110 and the first insulator layer 108 .
  • the bond interface 112 comprises dielectric-to-dielectric bonds between a material of the first insulator layer 108 and a material of the second insulator layer 110 .
  • a process for removing the donor wafer 702 from the processing layer 704 comprises a first etching process that comprises exposing the donor wafer 702 to a first etchant (e.g., wet/dry etchant).
  • a first etchant e.g., wet/dry etchant
  • the process for removing the donor wafer 702 from the processing layer 704 comprises performing a grinding process on the donor wafer.
  • a planarization process e.g., CMP
  • the first etching process may be performed on the donor wafer 702 .
  • the first etchant may comprise, for example, hydrogen (H), fluorine (F), oxygen (O), carbon (C), nitrogen (N), or the like.
  • a process for removing the processing layer 704 from the etch stop layer 706 comprises a second etching process that comprises exposing the processing layer 704 to a second etchant (e.g., wet/dry etchant).
  • the process for removing the processing layer 704 from the etch stop layer 706 comprises performing a planarization process (e.g., CMP) on the processing layer 704 . Thereafter, the second etching process may be performed on the processing layer 704 .
  • a planarization process e.g., CMP
  • the etch stop layer 706 is less selective to the second etchant than the processing layer 704 , thereby terminating the second etching process at the etch stop layer 706 .
  • the second etchant may comprise, for example, hydrogen (H), oxygen (O), carbon (C), nitrogen (N), or the like.
  • the second etchant may be different than the first etchant.
  • a process for removing the etch stop layer 706 from the device layer 106 comprises a third etching process that comprises exposing the etch stop layer to a third etchant (e.g., wet/dry etchant).
  • the third etchant may comprise, for example, hydrogen (H), fluorine (F), oxygen (O), carbon (C), nitrogen (N), or the like.
  • the third etchant is different than the second etchant.
  • formation of the SOI wafer 100 is complete.
  • the processing temperature to form the second insulator layer 110 may be relatively low (e.g., less than or equal to 900° C., 800° C., 700° C., 450° C., or 200° C.). Because the processing temperature to form the second insulator layer 110 is relatively low, the second insulator layer 110 may be formed on the device layer 106 without negatively affecting the etch stop layer 706 . Thus, the total thickness variation (TTV) of the device layer 106 may be improved (e.g., a reduction in TTV).
  • TTV total thickness variation
  • the relatively low temperature may not undesirably relax the etch stop layer 706 . Because the relatively low temperature may not undesirably relax the etch stop layer 706 , after the second etching process, a TTV of the etch stop layer 706 may be improved.
  • the relatively low temperature may widen the doping profile of the etch stop layer 706 . Because the relatively low temperature may widen the doping profile of the etch stop layer 706 , after the second etching process, a TTV of the etch stop layer 706 may be improved.
  • the TTV of the etch stop layer 706 may be improved after the second etching process
  • the TTV of the device layer 106 may be improved (e.g., due to the improved TTV of the etch stop layer translating into an improved TTV of the device layer after the third etching process).
  • the device layer 106 may be thinned down.
  • the device layer may be thinned down to a thickness between 100 ⁇ and 3000 ⁇ .
  • the device layer 106 may be thinned down by a thinning process, for example, an anneal process, a baking process, a planarization process (e.g., CMP), some other thinning process, or a combination of the foregoing.
  • a thinning process for example, an anneal process, a baking process, a planarization process (e.g., CMP), some other thinning process, or a combination of the foregoing.
  • one or more isolation structures 420 are formed in the device layer 106 .
  • a process for forming the one or more isolation structures 420 comprises forming a patterned masking layer (not shown) on the device layer 106 .
  • the device layer 106 is then exposed to an etchant to remove unmasked portions of the device layer 106 , thereby forming one or more trenches in the device layer 106 .
  • the patterned masking layer is stripped away.
  • a dielectric layer (not shown) is deposited or grown on the device layer 106 and in the one or more trenches.
  • a planarization process (e.g., CMP) is then performed on the dielectric layer, thereby forming the one or more isolation structures 420 .
  • the one or more isolation structures 420 may be formed extending through the device layer 106 to the second insulator layer 110 .
  • the one or more isolation structures 420 may be formed in the device layer 106 so that the one or more isolation structures 420 are vertically spaced from the second insulator layer 110 .
  • one or more semiconductor devices 412 are formed on/over the device layer 106 .
  • a process for forming the one or more semiconductor devices 412 comprises depositing or growing a gate dielectric layer (not shown) (e.g., SiO 2 ) on the device layer 106 .
  • a gate electrode layer (not shown) (e.g., polysilicon) is then deposited on the gate dielectric layer.
  • a patterned masking layer (not shown) is then formed on the gate electrode layer.
  • the gate electrode layer and the gate dielectric layer are then exposed to an etchant to remove unmasked portions of gate electrode layer and the gate dielectric layer, thereby forming a gate electrode 418 and a gate dielectric 416 for each of the one or more semiconductor devices 412 .
  • the patterned masking layer is stripped away.
  • source/drain regions 414 are formed in the device layer 106 .
  • the source/drain regions 414 may be formed by an ion implantation process (e.g., a self-aligned ion implantation process).
  • the above layers and/or structures may be deposited or grown by, for example, CVD, physical vapor deposition (PVD), atomic layer deposition (ALD), thermal oxidation, sputtering, some other deposition or growth process, or a combination of the foregoing.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • thermal oxidation thermal oxidation
  • sputtering some other deposition or growth process, or a combination of the foregoing.
  • an interlayer dielectric (ILD) layer 422 is formed over the one or more semiconductor devices 412 , the device layer 106 , and the first insulator layer 108 .
  • the ILD layer 422 is formed contacting the device layer 106 , the one or more isolation structures 420 , the second insulator layer 110 , and the first insulator layer 108 .
  • a process for forming the ILD layer 422 comprises depositing the ILD layer 422 by, for example, CVD, PVD, sputtering, or the like.
  • a planarization process e.g., CMP
  • CMP planarization process
  • a plurality of conductive contacts 424 are formed extending through the ILD layer 422 to the source/drain regions 414 and/or the gate electrode 418 of each of the one or more semiconductor devices 412 .
  • a process for forming the plurality of conductive contacts 424 comprises forming a patterned masking layer on the ILD layer 422 . Thereafter, the ILD layer 422 is exposed to an etchant to remove unmasked portions of ILD layer 422 , thereby forming a plurality of conductive contact openings in the ILD layer 422 . Subsequently, in some embodiments, the patterned masking layer is stripped away.
  • a conductive material (e.g., tungsten) is then deposited on the ILD layer 422 and in the plurality of conductive contact openings.
  • the conductive material may be deposited by, for example, CVD, PVD, ALD, sputtering, electrochemical plating, electroless plating, or the like.
  • a planarization process e.g., CMP
  • CMP planarization process
  • additional dielectric layers and conductive features may be subsequently formed over the ILD layer 422 .
  • one or more additional ILD layers, conductive wires (e.g., copper wires), conductive vias (e.g., copper vias), and/or passivation layers may be formed over the ILD layer 422 .
  • a wafer dicing process is performed to singulate individual ICs from the SOI wafer 100 .
  • the wafer dicing process comprises performing a series of cuts into the SOI wafer 100 and the ILD layer 422 to form a plurality of scribe lines 1602 .
  • a mechanical force is applied to the SOI wafer 100 to singulate the individual ICs from the SOI wafer 100 .
  • the cuts may be performed by, for example, mechanical sawing, laser cutting, or the like.
  • the IC 400 (see, e.g., FIG. 4 or 5 ) may be one of the individual ICs sigulated from the SOI wafer 100 .
  • FIG. 17 illustrates a flowchart of some embodiments of a method for forming a semiconductor-on-insulator (SOI) wafer having a composite insulator layer and singulating individual integrated chips (ICs) from the SOI wafer. While the flowchart 1700 of FIG. 17 is illustrated and described herein as a series of acts or events, it will be appreciated that the illustrated ordering of such acts or events is not to be interpreted in a limiting sense. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein. Further, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein, and one or more of the acts depicted herein may be carried out in one or more separate acts and/or phases.
  • SOI semiconductor-on-insulator
  • a first insulator layer is formed over a handle wafer via a thermal oxidation process.
  • FIG. 6 illustrates a cross-sectional view of some embodiments corresponding to act 1702 .
  • FIG. 7 illustrates a cross-sectional view of some embodiments corresponding to act 1704 .
  • FIG. 7 illustrates a cross-sectional view of some embodiments corresponding to act 1706 .
  • a second insulator layer is formed over the device layer via a chemical vapor deposition (CVD) process.
  • FIG. 8 illustrates a cross-sectional view of some embodiments corresponding to act 1708 .
  • the second insulator layer is bonded to the first insulator layer to form a composite insulator layer between the donor wafer and the handle wafer.
  • FIGS. 9-10 illustrate a series of cross-sectional views of some embodiments corresponding to act 1710 .
  • FIG. 11 illustrates a cross-sectional view of some embodiments corresponding to act 1712 .
  • a method 1715 for forming the SOI wafer comprises acts 1702 , 1704 , 1706 , 1708 , 1710 , 1712 , and 1714 .
  • FIG. 14 illustrates a cross-sectional view of some embodiments corresponding to act 1716 .
  • an interlayer dielectric (ILD) layer and a plurality of conductive contacts are formed over the one or more semiconductor devices.
  • FIG. 15 illustrates a cross-sectional view of some embodiments corresponding to act 1718 .
  • FIG. 16 illustrates a cross-sectional view of some embodiments corresponding to act 1720 .
  • a method 1721 for forming an integrated chip (IC) comprising a semiconductor-on-insulator (SOI) substrate having a composite insulator structure comprises acts 1716 , 1718 , and 1720 .
  • the present application provides a semiconductor wafer.
  • the semiconductor wafer comprises a handle wafer.
  • a first oxide layer is disposed over the handle wafer.
  • a device layer is disposed over the first oxide layer.
  • a second oxide layer is disposed between the first oxide layer and the device layer, wherein the first oxide layer has a first etch rate for an etch process and the second oxide layer has a second etch rate for the etch process, and wherein the second etch rate is greater than the first etch rate.
  • the present application provides an integrated chip (IC).
  • the IC comprises a semiconductor wafer.
  • the semiconductor wafer comprises: a handle substrate; a device substrate disposed over the handle substrate; and a composite oxide structure disposed between the handle substrate and the device substrate, wherein the composite oxide structure comprises a first oxide structure and a second oxide structure, wherein the first oxide structure has a first etch rate for a hydrofluoric etch and the second oxide structure has a second etch rate for the hydrofluoric etch, and wherein the second etch rate is greater than the first etch rate.
  • a semiconductor device is disposed on the device substrate.
  • An interlayer dielectric layer (ILD) is disposed over the semiconductor device.
  • the present application provides a method for forming a semiconductor wafer.
  • the method comprises forming a first oxide layer on a handle wafer via a thermal oxidation process.
  • An etch stop layer is formed over a donor wafer.
  • a device layer is formed over the etch stop layer.
  • a second oxide layer is formed on the device layer via a chemical vapor deposition (CVD) process.
  • the first oxide layer is bonded to the second oxide layer, wherein both the first oxide layer and the second oxide layer are disposed between the device layer and the handle wafer.
  • the donor wafer is removed via a first etching process.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Non-Volatile Memory (AREA)
  • Element Separation (AREA)

Abstract

Various embodiments of the present disclosure are directed towards a semiconductor wafer. The semiconductor wafer comprises a handle wafer. A first oxide layer is disposed over the handle wafer. A device layer is disposed over the first oxide layer. A second oxide layer is disposed between the first oxide layer and the device layer, wherein the first oxide layer has a first etch rate for an etch process and the second oxide layer has a second etch rate for the etch process, and wherein the second etch rate is greater than the first etch rate.

Description

    BACKGROUND
  • Integrated circuits (ICs) have traditionally been formed on bulk semiconductor wafers. In recent years, semiconductor-on-insulator (SOI) wafers have emerged as an alternative to bulk semiconductor wafers. An SOI wafer comprises a handle wafer, a buried oxide layer overlying the handle wafer, and a device layer overlying the buried oxide layer. Among other things, an SOI wafer leads to reduced parasitic capacitance, reduced leakage current, reduced latch up, and improved semiconductor device performance (e.g., lower power consumption and higher switching speed).
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of a semiconductor-on-insulator (SOI) wafer having a composite insulator layer.
  • FIG. 2 illustrates a cross-sectional view of some other embodiments of the SOI wafer of FIG. 1.
  • FIG. 3 illustrates a cross-sectional view of some other embodiments of the SOI wafer of FIG. 1.
  • FIG. 4 illustrates a cross-sectional view of some embodiments of an integrated chip (IC) comprising a semiconductor-on-insulator (SOI) substrate having a composite insulator structure.
  • FIG. 5 illustrates a cross-sectional view of some other embodiments of the IC of FIG. 4.
  • FIGS. 6-16 illustrate a series of cross-sectional views of some embodiments for forming a semiconductor-on-insulator (SOI) wafer having a composite insulator layer and singulating individual integrated chips (ICs) from the SOI wafer.
  • FIG. 17 illustrates a flowchart of some embodiments of a method for forming a semiconductor-on-insulator (SOI) wafer having a composite insulator layer and singulating individual integrated chips (ICs) from the SOI wafer.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Some semiconductor-on-insulator (SOI) wafers comprise a handle wafer (e.g., a silicon wafer), a buried oxide layer (e.g., silicon dioxide (SiO2)) overlying the handle wafer, and a device layer (e.g., a silicon layer) overlying the insulator layer. One approach for forming such an SOI wafer is a bond and etch process. One of two bond and etch process are typically utilized to form the SOI wafer.
  • One bond and etch process includes forming the oxide layer on the handle wafer via a thermal oxidation process. A device wafer is then bonded to the oxide layer. Thereafter, the device wafer is etched backed to form the device layer over the oxide layer. However, because the device wafer is bonded to the oxide layer, there is a bond interface between the device layer and the oxide layer. The bond interface is a source of leakage paths that negatively affect the performance of semiconductor devices (e.g., transistors) that are subsequently formed on the device layer.
  • Another bond and etch process includes forming the oxide layer on the device wafer via the thermal oxidation process. The handle wafer is then bonded to the oxide layer. Thereafter, the device wafer is etched backed to form the device layer over the oxide layer. However, because the oxide layer is formed on the device wafer via the thermal oxidation process, the use of an etch stop layer for the etching back of the device layer is limited. For example, the thermal oxidation process prevents the use of an epitaxial etch stop layer due to the relatively high temperature (e.g., at least 1000° C.) of the thermal oxidation process relaxing the epitaxial etch stop layer. Because the thermal oxidation process prevents the use of an epitaxial etch stop layer, the total thickness variation (TTV) of the device layer is negatively affected.
  • Various embodiments of the present application are directed toward a method for forming an SOI wafer. The method comprises forming an etch stop layer over a donor wafer. A device layer is formed over the etch stop layer. A first oxide layer is formed over the device layer via a chemical vapor deposition (CVD) process. A second oxide layer is formed over the handle wafer via a thermal oxidation process. The first oxide layer is then bonded to the second oxidation layer. Thereafter, the donor wafer and etch stop layer are removed to form the SOI wafer. Because the first oxide layer is formed by the CVD process, the first oxide layer may be formed on the device wafer without negatively affecting the use of the etch stop layer (e.g., due to the relatively low temperature (e.g., less than or equal to 900° C.) needed for the CVD process). Thus, the TTV of the device layer may be improved (e.g., reduced TTV). In addition, because the first oxide layer is formed on the device layer, a bond interface between the first oxide layer and the second oxide layer is disposed relatively far away from a bottom surface of the device layer. Because the bond interface is disposed relatively far away from the bottom surface of the device layer, the performance of integrated chips (e.g., dies) formed from the SOI wafer 100 may be improved due to a reduction in potential leakage paths.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of a semiconductor-on-insulator (SOI) wafer 100 having a composite insulator layer 104.
  • As shown in FIG. 1, the SOI wafer 100 comprises a handle wafer 102, a composite insulator layer 104, and a device layer 106. The SOI wafer 100 may be used with, for example, complementary metal-oxide-semiconductor (CMOS) applications, embedded flash applications, CMOS image sensor applications, near infrared (NIR) applications, microelectronics applications, optoelectronics applications, micro-electro-mechanicals systems (MEMS) applications, or the like. In some embodiments, the SOI wafer 100 has a circular top layout and/or has a diameter of approximately 200, 300, or 450 millimeters (mm). In other embodiments, the SOI wafer 100 may have some other shape and/or some other dimensions.
  • The handle wafer 102 may be or comprise, for example, monocrystalline silicon, some other silicon material (e.g., polycrystalline silicon), some other semiconductor material (e.g., germanium (Ge)), or any combination of the foregoing. The device layer 106 overlies the handle wafer 102. The device layer 106 is configured to be processed so that semiconductor devices (e.g., transistors) may be formed on the device layer 106. The device layer 106 may be or comprise, for example, monocrystalline silicon, some other silicon material, some other semiconductor material, or any combination of the foregoing. In some embodiments, the device layer 106 may have a thickness (e.g., a distance between an upper surface and a lower surface) between 100 angstrom (Å) and 3000 Å. In further embodiments, the thickness of the device layer 106 may be 1300 Å. In yet further embodiments, the device layer 106 may be an epitaxial layer (e.g., formed by an epitaxy process).
  • The composite insulator layer 104 is disposed between the handle wafer 102 and the device layer 106. The composite insulator layer 104 comprises a first insulator layer 108 and a second insulator layer 110. The first insulator layer 108 contacts the second insulator layer 110 at a bond interface 112, such that material of the first insulator layer 108 is bonded to the material of the second insulator layer 110. In some embodiments, the bond interface 112 comprises dielectric-to-dielectric bonds between the material of the first insulator layer 108 and the material of the second insulator layer 110. In further embodiments, the first insulator layer 108 contacts the handle wafer 102. In yet further embodiments, the second insulator layer 110 contacts the device layer 106.
  • In some embodiments, the composite insulator layer 104 may have a thickness between 200 Å and 2 micrometers (um). The first insulator layer 108 may have a thickness between 100 Å and 1 um. The second insulator layer 110 may have a thickness between 100 Å and 1 um. In further embodiments, a ratio of the thickness of the second insulator layer 110 to the thickness of the first insulator layer 108 is between 0.1 and 10.
  • The first insulator layer 108 may be or comprise, for example, an oxide (e.g., SiO2), a high-k dielectric (e.g., a dielectric material with a dielectric constant greater than 3.9), or the like. In embodiments in which the first insulator layer 108 is an oxide (e.g., SiO2), the first insulator layer 108 may be referred to as a first oxide layer. In further embodiments, the first insulator layer 108 may be a thermal oxidation oxide. For example, the thermal oxidation oxide may be silicon dioxide formed by a thermal oxidation process.
  • The second insulator layer 110 may be or comprise, for example, an oxide (e.g., SiO2), a high-k dielectric (e.g., a dielectric material with a dielectric constant greater than 3.9), or the like. In embodiments in which the second insulator layer 110 is an oxide (e.g., SiO2), the second insulator layer 110 may be referred to as a second oxide layer. In further embodiments, the second insulator layer 110 may be a chemical vapor deposition (CVD) oxide. For example, the CVD oxide may be silicon dioxide formed by a CVD process, such as, plasma-enhanced CVD (PECVD), low pressure CVD (LPCVD), high-density plasma CVD (HDPCVD), or the like. Because the SOI wafer 100 comprises the composite insulator layer 104, the bond interface 112 is disposed a relatively large distance from a bottom surface of the device layer 106. Because the bond interface 112 is disposed relatively far away from the bottom surface of the device layer 106, the performance of integrated chips (e.g., dies) formed from the SOI wafer 100 may be improved due to a reduction in potential leakage paths.
  • FIG. 2 illustrates a cross-sectional view of some other embodiments of the SOI wafer 100 of FIG. 1.
  • As shown in FIG. 2, the first insulator layer 108 surrounds the handle wafer 102. In such embodiments, the first insulator layer 108 may extend continuously along an upper surface of the handle wafer 102, along a first sidewall of the handle wafer 102, along a bottom surface of the handle wafer 102, and along a second sidewall of the handle wafer 102 opposite the first sidewall. In further such embodiments, the composite insulator layer 104 comprises the second insulator layer 110 and a portion of the first insulator layer 108 disposed between the handle wafer 102 and the device layer 106.
  • In some embodiments, the second insulator layer 110 has a higher concentration of a predefined chemical element than the first insulator layer 108. The predefined chemical element may be, for example, hydrogen (H), carbon (C), chlorine (Cl), or the like. In further embodiments, a thermal stability of the second insulator layer 110 at a predefined temperature (e.g., between 600° C. and 1200° C.) may be the same as a thermal stability of the first insulator layer 108 at the predefined temperature. For example, the second insulator layer 110 and the first insulator layer 108 may be stable at the predefined temperature. In other embodiments, the thermal stability of the second insulator layer 110 may be different than the thermal stability of the first insulator layer 108. For example, the first insulator layer 108 may be stable at the predefined temperature and the second insulator layer 110 may densify (e.g., become denser) at the predefined temperature, or the first insulator layer 108 may be stable at the predefined temperature and the second insulator layer 110 may eject (e.g., outgas) some of the predefined chemical at the predefined temperature.
  • In some embodiments, a density of the second insulator layer 110 is between 2.1 gram per cubic centimeter (g/cm3) and 2.3 g/cm3. In further embodiments, the density of the second insulator layer 110 and a density of the first insulator layer 108 may be the same. For example, both the density of the first insulator layer 108 and the density of the second insulator layer 110 may be 2.2 g/cm3. In other embodiments, the density of the second insulator layer 110 may be different than the density of the first insulator layer 108. For example, the density of the second insulator layer 110 may be greater than the density of the first insulator layer 108 (e.g., 2.3 g/cm3 and 2.2 g/cm3, respectively), or the density of the second insulator layer 110 may be less than the density of the first insulator layer 108 (e.g., 2.1 g/cm3 and 2.2 g/cm3, respectively).
  • In some embodiments, an intrinsic stress of the second insulator layer 110 is between 3 megapascal (MPa) compressive and 3 MPa tensile. In further embodiments, the intrinsic stress of the second insulator layer 110 may be 3 MPa tensile, 1 MPa compressive, or 3 MPa compressive. In yet further embodiments, the intrinsic stress of the second insulator layer 110 may be the same as an intrinsic stress of the first insulator layer 108. For example, both the intrinsic stress of the first insulator layer 108 and the intrinsic stress of the second insulator layer 110 may be 3 MPa compressive. In other embodiments, the intrinsic stress of the second insulator layer 110 may be different than the intrinsic stress of the first insulator layer 108. For example, the intrinsic stress of the first insulator layer 108 may be 3 MPa compressive and the intrinsic stress of the second insulator layer may be 2 MPa compressive, 1 MPa compressive, 1 MPa tensile, 2 MPa tensile, 3 MPa tensile, or some other intrinsic stress value that is different than the intrinsic stress of the first insulator layer 108.
  • In some embodiments, a dielectric strength of the second insulator layer 110 is less than 11 megavolt per centimeter (MV/cm). In further embodiments, a dielectric strength of the first insulator layer 108 is greater than or equal to 11 MV/cm. In further embodiments, the dielectric strength of the second insulator layer 110 is between 5 MV/cm and 10 MV/cm. In yet further embodiments, the dielectric strength of the second insulator layer 110 may be 5 MV/cm, 8 MV/cm, or 10 MV/cm. The dielectric strength of the second insulator layer 110 may be different than a dielectric strength of the first insulator layer 108. For example, the dielectric strength of the first insulator layer 108 may be 11 MV/cm and the dielectric strength of the second insulator layer 110 may be 5 MV/cm, 8 MV/cm, or 10 MV/cm.
  • In some embodiments, the first insulator layer 108 has a first etch rate for a predefined etching process, and the second insulator layer 110 has a second etch rate for the predefined etching process that is different than the first etch rate. In further embodiments, the first etch rate is less than the second etch rate. The first etch rate may be less than or equal to 25 angstroms per minute (A/min). The second etch rate may be between 400 Å/min and 30 Å/min. In yet further embodiments, the second etch rate is 400 Å/min, 60 Å/min, or 30 Å/min. In further embodiments, the predefined etching process is a hydrofluoric (HF) etching process (e.g., HF acid etching process). In yet further embodiments, the HF etching process utilizes a HF acid solution having a ratio of water (H2O) to HF acid of 100:1.
  • In some embodiments, the first insulator layer 108 is a conformal layer that conforms to the contours of the handle wafer 102. In further embodiments, the second insulator layer 110 is a conformal layer that conforms to the contours of the bottom surface of the device layer 106. In other embodiments, the second insulator layer 110 is a non-conformal layer. In yet further embodiments, the first insulator layer 108 is a conformal layer and the second insulator layer 110 is a conformal layer. In other embodiments, the second insulator layer 110 is a non-conformal layer and the first insulator layer 108 is a conformal layer.
  • FIG. 3 illustrates a cross-sectional view of some other embodiments of the SOI wafer 100 of FIG. 1.
  • In some embodiments, outermost sidewalls of the second insulator layer 110 are disposed between outermost sidewalls of the first insulator layer 108, such that an edge region of the SOI wafer 100 has a step-like profile. The outermost sidewalls of the second insulator layer 110 may be disposed between outermost sidewalls of the handle wafer 102. In further embodiments, outermost sidewalls of the device layer 106 are disposed between the outermost sidewalls of the first insulator layer 108. The outermost sidewalls of the device layer 106 may be disposed between the outermost sidewalls of the handle wafer 102. In further embodiments, the outermost sidewalls of the device layer 106 are substantially aligned with the outermost sidewalls of the second insulator layer 110. In yet further embodiments, the outermost sidewall of the device layer 106 and/or the outermost sidewalls of the second insulator layer 110 may extend vertically at an angle that is substantially perpendicular to an upper surface of the first insulator layer 108. In other embodiments, the outermost sidewall of the device layer 106 and/or the outermost sidewalls of the second insulator layer 110 may be angled (e.g., angled inward or outward).
  • In some embodiments, the outermost sidewalls of the first insulator layer 108 may be disposed between the outermost sidewalls of the device layer 106 and/or the outermost sidewalls of the handle wafer 102. In further embodiments, the outermost sidewalls of the second insulator layer 110 may be disposed between the outermost sidewalls of the device layer 106 and/or the outermost sidewalls of the handle wafer 102. In yet further embodiments, the outermost sidewalls of the second insulator layer 110 may be disposed between the outermost sidewalls of the first insulator layer 108.
  • FIG. 4 illustrates a cross-sectional view of some embodiments of an integrated chip (IC) 400 comprising a semiconductor-on-insulator (SOI) substrate 401 having a composite insulator structure 404.
  • As shown in FIG. 4, the IC 400 comprises an SOI substrate 401. The SOI substrate 401 is a portion of the SOI wafer 100. The SOI substrate 401 comprises a handle substrate 402, a composite insulator structure 404, and a device substrate 406. The handle substrate 402 is a portion of the handle wafer 102. The device substrate 406 is a portion of the device layer 106. The composite insulator structure 404 is a portion of the composite insulator layer 104.
  • The composite insulator structure 404 comprises a first insulator structure 408 and a second insulator structure 410. The first insulator structure 408 is a portion of the first insulator layer 108. The second insulator structure 410 is a first portion of the second insulator layer 110. The first insulator structure 408 contacts the second insulator structure 410 at the bond interface 112, such that material of the first insulator structure 408 is bonded to the material of the second insulator structure 410.
  • One or more semiconductor devices 412 are disposed on/over the device substrate 406. The one or more semiconductor devices 412 may be or comprise, for example, metal-oxide-semiconductor (MOS) field-effect transistors (FETs), some other MOS devices, or some other semiconductor devices. In some embodiments, each of the one or more semiconductor devices 412 comprises a pair of source/drain regions 414, a gate dielectric 416, and a gate electrode 418. In further embodiments, one or more isolation structures 420 (e.g., shallow trench isolation (STI) structures) are disposed in the device substrate 406. The one or more isolation structures 420 may laterally surround the one or more semiconductor devices 412. In yet further embodiments, the one or more isolation structures 420 may extend through the device substrate 406 to contact the second insulator structure 410. In other embodiments, the one or more isolation structures 420 may be vertically spaced from the second insulator structure 410.
  • An interlayer dielectric (ILD) layer 422 is disposed over the device substrate 406 and the one or more semiconductor devices 412. The ILD layer 422 may comprise, for example, an oxide (e.g., SiO2), a low-k dielectric (e.g., a dielectric material with a dielectric constant less than about 3.9), or the like. A plurality of conductive contacts 424 (e.g., tungsten contacts) are disposed in the ILD layer 422. In some embodiments, the plurality of conductive contacts 424 extend through the ILD layer 422 to the source/drain regions 414 and/or the gate electrode 418 of each of the one or more semiconductor devices 412.
  • Although not shown, additional dielectric layers and conductive features may be disposed over the ILD layer 422 and the conductive contacts 424. For example, one or more additional ILD layers, conductive wires (e.g., copper wires), conductive vias (e.g., copper vias), and/or passivation layers may be disposed over the ILD layer 422. In such embodiments, the ILD layers may be collectively referred to as an ILD structure, and the conductive features may collectively be referred to as an interconnect structure (e.g., copper interconnect structure).
  • In some embodiments, outermost sidewalls of the ILD layer 422 are substantially aligned with outermost sidewalls of the device substrate 406. The outermost sidewalls of the device substrate 406 may be substantially aligned with outermost sidewalls of the second insulator structure 410. In further embodiments, the outermost sidewalls of the second insulator structure 410 are substantially aligned with outermost sidewalls of the first insulator structure 408. The outermost sidewalls of the first insulator structure 408 may be substantially aligned with outermost sidewalls of the handle substrate 402.
  • In some embodiments, the outermost sidewalls of the first insulator structure 408 may be disposed between the outermost sidewalls of the device substrate 406 and/or the outermost sidewalls of the handle substrate 402. In further embodiments, the outermost sidewalls of the second insulator structure 410 may be disposed between the outermost sidewalls of the device substrate 406 and/or the outermost sidewalls of the handle substrate 402. In yet further embodiments, the outermost sidewalls of the second insulator structure 410 may be disposed between the outermost sidewalls of the first insulator structure 408.
  • FIG. 5 illustrates a cross-sectional view of some other embodiments of the IC 400 of FIG. 4.
  • As shown in FIG. 5, the IC 400 may comprise a third insulator structure 502. In some embodiments, the third insulator structure 502 is a second portion of the first insulator layer 108. The third insulator structure 502 may have a same chemical composition as the first insulator structure 408. In further embodiments, the third insulator structure 502 has a third etch rate for the predefined etching process that is the same as the first etch rate. The third insulator structure 502 and the first insulator structure 408 may have a same thermal stability, density, intrinsic stress, and/or dielectric strength. In further embodiments, the third insulator structure 502 conforms to the contours of a bottom surface of the handle substrate 402. In yet further embodiments, outermost sidewalls of the third insulator structure 502 may be substantially aligned with the outermost sidewalls of the handle substrate 402.
  • FIGS. 6-16 illustrate a series of cross-sectional views of some embodiments for forming a semiconductor-on-insulator (SOI) wafer 100 having a composite insulator layer 104 and singulating individual integrated chips (ICs) from the SOI wafer 100.
  • As shown in FIG. 6, a first insulator layer 108 is formed on a handle wafer 102. In some embodiments, the first insulator layer 108 is formed on an upper surface of the handle wafer 102. In further embodiments, the first insulator layer 108 is formed as a continuous layer on the upper surface of the handle wafer 102, a first sidewall of the handle wafer 102, a bottom surface of the handle wafer 102, and a second sidewall of the handle wafer 102 opposite the first sidewall. In yet further embodiments, the first insulator layer 108 is formed as a conformal layer.
  • In some embodiments, a process for forming the first insulator layer 108 comprises growing the first insulator layer 108 via a thermal oxidation process. In further embodiments, the thermal oxidation process comprises oxidizing the handle wafer 102 in a processing chamber. In yet further embodiments, the thermal oxidation process comprises loading the handle wafer into the processing chamber, heating the handle wafer to a first processing temperature, and flowing a processing fluid into the processing chamber. The first processing temperature may be greater than or equal to 800° C. In further embodiments, the first processing temperature may be greater than or equal to 1000° C. The processing fluid may comprise, for example, oxygen (O), hydrogen (H), a combination of the foregoing, or some other processing fluid suitable for oxidizing the handle wafer 102.
  • In some embodiments, a planarization process (e.g., chemical-mechanical polishing (CMP)) may be performed on the handle wafer 102 and/or the first insulator layer 108 to reduce a thickness of the handle wafer 102. The thickness of the handle wafer 102 may be reduced to less than or equal to 2 um. In further embodiments, the thickness of the handle wafer is reduced to 1.9 um.
  • As shown in FIG. 7, a processing layer 704 is formed over a donor wafer 702. In some embodiments, the processing layer 704 is formed on the donor wafer 702. The donor wafer 702 may comprise any type of semiconductor body (e.g., monocrystalline silicon/CMOS bulk, silicon-germanium (SiGe), silicon on insulator (SOI), etc.). In some embodiments, the donor wafer 702 is doped with first doping type dopants (e.g., p-type dopants). In further embodiments, the donor wafer 702 has a first doping concentration of the first doping type dopants.
  • In some embodiments, the processing layer 704 is a semiconductor (e.g., silicon, germanium, etc.). In such embodiments, the processing layer 704 may be referred to as a semiconductor layer. In further embodiments, the processing layer 704 is silicon (e.g., monocrystalline silicon, polycrystalline silicon, etc.). The processing layer 704 may be doped with the first doping type dopants. The processing layer 704 may have a second doping concentration of the first doping type dopants that is less than the first doping concentration.
  • In some embodiments, the processing layer 704 is an epitaxial layer (e.g., formed by an epitaxy process). In further embodiments, the processing layer 704 may have a thickness less than or equal to 2 um. In other embodiments, the processing layer 704 may have a thickness greater than 2 um. In further embodiments, the thickness of the processing layer 704 may be 1.8 um. In yet further embodiments, a process for forming the processing layer 704 comprises depositing or growing the processing layer 704 by, for example, a CVD process, an epitaxy process, or the like.
  • Also shown in FIG. 7, an etch stop layer 706 is formed over the processing layer 704. In some embodiments, the etch stop layer 706 is formed on the processing layer 704. The etch stop layer 706 may comprise, for example, silicon (Si), germanium (Ge), oxygen (O), boron (B), arsenic (As), or the like. In some embodiments, the etch stop layer 706 is an epitaxial etch stop layer (e.g., formed by an epitaxy process).
  • In some embodiments, the etch stop layer 706 may have a thickness less than or equal to 20 nanometers (nm). In other embodiments, the thickness of the etch stop layer 706 may be greater than 20 nm. In further embodiments, the thickness of the etch stop layer 706 may be 15 nm. In further embodiments, a process for forming the etch stop layer 706 comprises depositing or growing the processing layer 704 by, for example, a CVD process, an epitaxy process, or the like.
  • Also shown in FIG. 7, a device layer 106 is formed over the etch stop layer 706. In some embodiments, the device layer 106 is formed on the etch stop layer 706. The device layer 106 may be an epitaxial layer (e.g., formed by an epitaxy process). In further embodiments, the device layer 106, the etch stop layer 706, and the processing layer 704 are each an epitaxial layer. In yet further embodiments, a process for forming the device layer 106 comprises depositing or growing the device layer 106 by, for example, a CVD process, an epitaxy process, or the like.
  • In some embodiments, the etch stop layer 706 may be an implant doped etch stop layer. In such embodiments, the etch stop layer 706 may comprise the first doping type dopants or second doping type dopants (e.g., n-type dopants). In further such embodiments, the etch stop layer 706 may be disposed in the donor wafer 702, the processing layer 704, and/or the device layer 106. In yet further embodiments, the donor wafer 702, the processing layer 704, the etch stop layer 706, and the device layer 106 may be collectively referred to as a workpiece 708.
  • As shown in FIG. 8, a second insulator layer 110 is formed over the device layer 106. In some embodiments, the second insulator layer 110 is formed on the device layer 106. In further embodiments, the second insulator layer 110 is formed as a conformal layer. In other embodiments, the second insulator layer 110 is formed as a non-conformal layer. In yet further embodiments, a process for forming the second insulator layer 110 comprises depositing the second insulator layer 110 via a CVD process. For example, the second insulator layer 110 may be deposited by PECVD, LPCVD, HDPCVD, or the like.
  • In some embodiments, the second insulator layer 110 may be formed by a first PECVD. In further embodiments, the first PECVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber. In further embodiments, the first PECVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a second processing temperature, and flowing one or more processing fluids into the processing chamber. The second processing temperature may be less than 800° C. In further embodiments, the second processing temperature is less than or equal to 200° C. The one or more processing fluids may be or comprise, for example, silane (SiH4), oxygen (O), or the like. In yet further embodiments, the first PECVD process may form the second insulator layer 110 as a non-conformal layer.
  • In some embodiments, the second insulator layer 110 may be formed by a first LPCVD. In further embodiments, the first LPCVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber. In further embodiments, the first LPCVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a third processing temperature, and flowing one or more processing fluids into the processing chamber. The third processing temperature may be less than 800° C. In further embodiments, the third processing temperature is less than or equal to 450° C. The one or more processing fluids may be or comprise, for example, SiH4, oxygen (O), or the like. In yet further embodiments, the first LPCVD process may form the second insulator layer 110 as a non-conformal layer.
  • In some embodiments, the second insulator layer 110 may be formed by a second LPCVD. In further embodiments, the second LPCVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber. In further embodiments, the second LPCVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a fourth processing temperature, and flowing one or more processing fluids into the processing chamber. The fourth processing temperature may be less than 800° C. In further embodiments, the fourth processing temperature may be less than or equal to 700° C. The one or more processing fluids may be or comprise, for example, carbon (C), oxygen (O), hydrogen (H), tetraethyl orthosilicate (TEOS), or the like. In yet further embodiments, the second LPCVD process may form the second insulator layer 110 as a conformal layer.
  • In some embodiments, the second insulator layer 110 may be formed by a third LPCVD. In further embodiments, the third LPCVD process comprises forming the second insulator layer 110 over the device layer 106 in a processing chamber. In further embodiments, the third LPCVD process comprises loading the workpiece 708 into the processing chamber, heating the workpiece 708 to a fifth processing temperature, and flowing one or more processing fluids into the processing chamber. The fifth processing temperature may be less than 1000° C. In further embodiments, the fifth processing temperature may be less than or equal to 900° C. The one or more processing fluids may be or comprise, for example, oxygen (O), nitrogen (N), hydrogen (H), chlorine (Cl), dichlorosilane (SiCl2H2), or the like. In yet further embodiments, the third LPCVD process may form the second insulator layer 110 as a conformal layer. While several examples of CVD processes for forming the second insulator layer 110 are provided above, it will be appreciated that, in some embodiments, other CVD process having their own specific operating conditions (e.g., processing temperatures, processing fluids, etc.) may be utilized to form the second insulator layer 110.
  • As shown in FIG. 9, in some embodiments, the second insulator layer 110, the device layer 106, the etch stop layer 706, the processing layer 704, and the donor wafer 702 are patterned to remove edge regions of the second insulator layer 110, the device layer 106, the etch stop layer 706, the processing layer 704, and the donor wafer 702, respectively. In some embodiments, the patterning comprises forming a patterned masking layer (not shown) (e.g., negative/positive photoresist) on the device layer 106. Thereafter, the second insulator layer 110, the device layer 106, the etch stop layer 706, the processing layer 704, and the donor wafer 702 are exposed to an etchant (e.g., wet/dry etchant) to remove unmasked portions of the second insulator layer 110, the device layer 106, the etch stop layer 706, the processing layer 704, and the donor wafer 702. Subsequently, in some embodiments, the patterned masking layer is stripped away. It will be appreciated that, in some embodiments, multiple patterned masking layers and/or multiple etchants may be utilized to remove the edge regions of the second insulator layer 110, the device layer 106, the etch stop layer 706, the processing layer 704, and the donor wafer 702.
  • As shown in FIG. 10, the second insulator layer 110 is bonded to the first insulator layer 108, thereby forming a composite insulator layer 104 comprising the first insulator layer 108 and the second insulator layer 110. In some embodiments, the second insulator layer 110 is bonded to the first insulator layer 108 by, for example, direct bonding, vacuum bonding, or the like. By bonding the second insulator layer 110 to the first insulator layer 108, a bond interface 112 is formed between the second insulator layer 110 and the first insulator layer 108. In further embodiments, the bond interface 112 comprises dielectric-to-dielectric bonds between a material of the first insulator layer 108 and a material of the second insulator layer 110.
  • As shown in FIG. 11, the donor wafer 702 (see, e.g., FIG. 7) is removed from the processing layer 704. In some embodiments, a process for removing the donor wafer 702 from the processing layer 704 comprises a first etching process that comprises exposing the donor wafer 702 to a first etchant (e.g., wet/dry etchant). In further embodiments, the process for removing the donor wafer 702 from the processing layer 704 comprises performing a grinding process on the donor wafer. A planarization process (e.g., CMP) may then be performed on the donor wafer 702. Thereafter, the first etching process may be performed on the donor wafer 702. In yet further embodiments, the first etchant may comprise, for example, hydrogen (H), fluorine (F), oxygen (O), carbon (C), nitrogen (N), or the like.
  • As shown in FIG. 12, the processing layer 704 (see, e.g., FIG. 7) is removed from the etch stop layer 706. In some embodiments, a process for removing the processing layer 704 from the etch stop layer 706 comprises a second etching process that comprises exposing the processing layer 704 to a second etchant (e.g., wet/dry etchant). In further embodiments, the process for removing the processing layer 704 from the etch stop layer 706 comprises performing a planarization process (e.g., CMP) on the processing layer 704. Thereafter, the second etching process may be performed on the processing layer 704. The etch stop layer 706 is less selective to the second etchant than the processing layer 704, thereby terminating the second etching process at the etch stop layer 706. In further embodiments, the second etchant may comprise, for example, hydrogen (H), oxygen (O), carbon (C), nitrogen (N), or the like. In yet further embodiments, the second etchant may be different than the first etchant.
  • As shown in FIG. 13, the etch stop layer 706 (see, e.g., FIG. 7) is removed from the device layer 106. In some embodiments, a process for removing the etch stop layer 706 from the device layer 106 comprises a third etching process that comprises exposing the etch stop layer to a third etchant (e.g., wet/dry etchant). In further embodiments, the third etchant may comprise, for example, hydrogen (H), fluorine (F), oxygen (O), carbon (C), nitrogen (N), or the like. The third etchant is different than the second etchant. In yet further embodiments, after the etch stop layer is removed, formation of the SOI wafer 100 (see, e.g., FIG. 1) is complete.
  • Because the second insulator layer 110 is formed by a CVD process, the processing temperature to form the second insulator layer 110 may be relatively low (e.g., less than or equal to 900° C., 800° C., 700° C., 450° C., or 200° C.). Because the processing temperature to form the second insulator layer 110 is relatively low, the second insulator layer 110 may be formed on the device layer 106 without negatively affecting the etch stop layer 706. Thus, the total thickness variation (TTV) of the device layer 106 may be improved (e.g., a reduction in TTV).
  • For example, if the etch stop layer 706 is an epitaxial layer, the relatively low temperature may not undesirably relax the etch stop layer 706. Because the relatively low temperature may not undesirably relax the etch stop layer 706, after the second etching process, a TTV of the etch stop layer 706 may be improved. In addition, if the etch stop layer 706 is an implant doped etch stop layer, the relatively low temperature may widen the doping profile of the etch stop layer 706. Because the relatively low temperature may widen the doping profile of the etch stop layer 706, after the second etching process, a TTV of the etch stop layer 706 may be improved. Because the TTV of the etch stop layer 706 may be improved after the second etching process, the TTV of the device layer 106 may be improved (e.g., due to the improved TTV of the etch stop layer translating into an improved TTV of the device layer after the third etching process).
  • In some embodiments, after the etch stop layer 706 is removed from the device layer 106, the device layer 106 may be thinned down. In some embodiments, the device layer may be thinned down to a thickness between 100 Å and 3000 Å. In further embodiments, the device layer 106 may be thinned down by a thinning process, for example, an anneal process, a baking process, a planarization process (e.g., CMP), some other thinning process, or a combination of the foregoing.
  • As shown in FIG. 14, one or more isolation structures 420 (e.g., shallow trench isolation (STI) structures) are formed in the device layer 106. In some embodiments, a process for forming the one or more isolation structures 420 comprises forming a patterned masking layer (not shown) on the device layer 106. The device layer 106 is then exposed to an etchant to remove unmasked portions of the device layer 106, thereby forming one or more trenches in the device layer 106. Subsequently, in some embodiments, the patterned masking layer is stripped away. Thereafter, a dielectric layer (not shown) is deposited or grown on the device layer 106 and in the one or more trenches. A planarization process (e.g., CMP) is then performed on the dielectric layer, thereby forming the one or more isolation structures 420. In further embodiments, the one or more isolation structures 420 may be formed extending through the device layer 106 to the second insulator layer 110. In other embodiments, the one or more isolation structures 420 may be formed in the device layer 106 so that the one or more isolation structures 420 are vertically spaced from the second insulator layer 110.
  • Also shown in FIG. 14, one or more semiconductor devices 412 are formed on/over the device layer 106. In some embodiments, a process for forming the one or more semiconductor devices 412 comprises depositing or growing a gate dielectric layer (not shown) (e.g., SiO2) on the device layer 106. A gate electrode layer (not shown) (e.g., polysilicon) is then deposited on the gate dielectric layer. A patterned masking layer (not shown) is then formed on the gate electrode layer. The gate electrode layer and the gate dielectric layer are then exposed to an etchant to remove unmasked portions of gate electrode layer and the gate dielectric layer, thereby forming a gate electrode 418 and a gate dielectric 416 for each of the one or more semiconductor devices 412. Subsequently, in some embodiments, the patterned masking layer is stripped away. Thereafter, source/drain regions 414 are formed in the device layer 106. In some embodiments, the source/drain regions 414 may be formed by an ion implantation process (e.g., a self-aligned ion implantation process). In further embodiments, the above layers and/or structures may be deposited or grown by, for example, CVD, physical vapor deposition (PVD), atomic layer deposition (ALD), thermal oxidation, sputtering, some other deposition or growth process, or a combination of the foregoing.
  • As shown in FIG. 15, an interlayer dielectric (ILD) layer 422 is formed over the one or more semiconductor devices 412, the device layer 106, and the first insulator layer 108. In some embodiments, the ILD layer 422 is formed contacting the device layer 106, the one or more isolation structures 420, the second insulator layer 110, and the first insulator layer 108. In further embodiments, a process for forming the ILD layer 422 comprises depositing the ILD layer 422 by, for example, CVD, PVD, sputtering, or the like. In yet further embodiments, a planarization process (e.g., CMP) may be performed on the ILD layer 422 to planarize an upper surface of the ILD layer 422.
  • Also shown in FIG. 15, a plurality of conductive contacts 424 are formed extending through the ILD layer 422 to the source/drain regions 414 and/or the gate electrode 418 of each of the one or more semiconductor devices 412. In some embodiments, a process for forming the plurality of conductive contacts 424 comprises forming a patterned masking layer on the ILD layer 422. Thereafter, the ILD layer 422 is exposed to an etchant to remove unmasked portions of ILD layer 422, thereby forming a plurality of conductive contact openings in the ILD layer 422. Subsequently, in some embodiments, the patterned masking layer is stripped away. A conductive material (e.g., tungsten) is then deposited on the ILD layer 422 and in the plurality of conductive contact openings. The conductive material may be deposited by, for example, CVD, PVD, ALD, sputtering, electrochemical plating, electroless plating, or the like. Subsequently, a planarization process (e.g., CMP) is performed on the conductive material, thereby forming the plurality of conductive contacts 424. Although not shown, additional dielectric layers and conductive features may be subsequently formed over the ILD layer 422. For example, one or more additional ILD layers, conductive wires (e.g., copper wires), conductive vias (e.g., copper vias), and/or passivation layers may be formed over the ILD layer 422.
  • As shown in FIG. 16, a wafer dicing process is performed to singulate individual ICs from the SOI wafer 100. In some embodiments, the wafer dicing process comprises performing a series of cuts into the SOI wafer 100 and the ILD layer 422 to form a plurality of scribe lines 1602. Subsequently, a mechanical force is applied to the SOI wafer 100 to singulate the individual ICs from the SOI wafer 100. In further embodiments, the cuts may be performed by, for example, mechanical sawing, laser cutting, or the like. It will be appreciated that, in some embodiments, the IC 400 (see, e.g., FIG. 4 or 5) may be one of the individual ICs sigulated from the SOI wafer 100.
  • FIG. 17 illustrates a flowchart of some embodiments of a method for forming a semiconductor-on-insulator (SOI) wafer having a composite insulator layer and singulating individual integrated chips (ICs) from the SOI wafer. While the flowchart 1700 of FIG. 17 is illustrated and described herein as a series of acts or events, it will be appreciated that the illustrated ordering of such acts or events is not to be interpreted in a limiting sense. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein. Further, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein, and one or more of the acts depicted herein may be carried out in one or more separate acts and/or phases.
  • At act 1702, a first insulator layer is formed over a handle wafer via a thermal oxidation process. FIG. 6 illustrates a cross-sectional view of some embodiments corresponding to act 1702.
  • At act 1704, an etch stop layer is formed over a donor wafer. FIG. 7 illustrates a cross-sectional view of some embodiments corresponding to act 1704.
  • At act 1706, a device layer is formed over the etch stop layer. FIG. 7 illustrates a cross-sectional view of some embodiments corresponding to act 1706.
  • At act 1708, a second insulator layer is formed over the device layer via a chemical vapor deposition (CVD) process. FIG. 8 illustrates a cross-sectional view of some embodiments corresponding to act 1708.
  • At act 1710, the second insulator layer is bonded to the first insulator layer to form a composite insulator layer between the donor wafer and the handle wafer. FIGS. 9-10 illustrate a series of cross-sectional views of some embodiments corresponding to act 1710.
  • At act 1712, the donor wafer is removed. FIG. 11 illustrates a cross-sectional view of some embodiments corresponding to act 1712.
  • At act 1714, the etch stop layer is removed to form a semiconductor-on-insulator (SOI) wafer having the composite insulator layer. FIGS. 12-13 illustrate a series of cross-sectional views of some embodiments corresponding to act 1714. In some embodiments, a method 1715 for forming the SOI wafer comprises acts 1702, 1704, 1706, 1708, 1710, 1712, and 1714.
  • At act 1716, one or more semiconductor devices are formed on/over the device layer. FIG. 14 illustrates a cross-sectional view of some embodiments corresponding to act 1716.
  • At act 1718, an interlayer dielectric (ILD) layer and a plurality of conductive contacts are formed over the one or more semiconductor devices. FIG. 15 illustrates a cross-sectional view of some embodiments corresponding to act 1718.
  • At act 1720, one or more individual integrated chips (ICs) are singulated from the SOI wafer. FIG. 16 illustrates a cross-sectional view of some embodiments corresponding to act 1720. In some embodiments, a method 1721 for forming an integrated chip (IC) comprising a semiconductor-on-insulator (SOI) substrate having a composite insulator structure comprises acts 1716, 1718, and 1720.
  • In some embodiments, the present application provides a semiconductor wafer. The semiconductor wafer comprises a handle wafer. A first oxide layer is disposed over the handle wafer. A device layer is disposed over the first oxide layer. A second oxide layer is disposed between the first oxide layer and the device layer, wherein the first oxide layer has a first etch rate for an etch process and the second oxide layer has a second etch rate for the etch process, and wherein the second etch rate is greater than the first etch rate.
  • In some embodiments, the present application provides an integrated chip (IC). The IC comprises a semiconductor wafer. The semiconductor wafer comprises: a handle substrate; a device substrate disposed over the handle substrate; and a composite oxide structure disposed between the handle substrate and the device substrate, wherein the composite oxide structure comprises a first oxide structure and a second oxide structure, wherein the first oxide structure has a first etch rate for a hydrofluoric etch and the second oxide structure has a second etch rate for the hydrofluoric etch, and wherein the second etch rate is greater than the first etch rate. A semiconductor device is disposed on the device substrate. An interlayer dielectric layer (ILD) is disposed over the semiconductor device.
  • In some embodiments, the present application provides a method for forming a semiconductor wafer. The method comprises forming a first oxide layer on a handle wafer via a thermal oxidation process. An etch stop layer is formed over a donor wafer. A device layer is formed over the etch stop layer. A second oxide layer is formed on the device layer via a chemical vapor deposition (CVD) process. The first oxide layer is bonded to the second oxide layer, wherein both the first oxide layer and the second oxide layer are disposed between the device layer and the handle wafer. After the first oxide layer is bonded to the second oxide layer, the donor wafer is removed via a first etching process.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1-7. (canceled)
8. A method for forming a semiconductor wafer, the method comprising:
forming a first oxide layer on a handle wafer via a thermal oxidation process;
forming an etch stop layer over a donor wafer;
forming a device layer over the etch stop layer;
forming a second oxide layer on the device layer via a chemical vapor deposition (CVD) process;
bonding the first oxide layer to the second oxide layer, wherein both the first oxide layer and the second oxide layer are disposed between the device layer and the handle wafer; and
after the first oxide layer is bonded to the second oxide layer, removing the donor wafer via a first etching process.
9. The method of claim 8, further comprising:
after the first oxide layer is bonded to the second oxide layer, removing the etch stop layer via a second etching process.
10. The method of claim 9, wherein:
the etch stop layer is formed via an epitaxy process.
11. The method of claim 8, further comprising:
before the etch stop layer is formed over the donor wafer, forming a processing layer over the donor wafer, wherein the etch stop layer is formed over the processing layer.
12. The method of claim 11, wherein:
the processing layer is formed by a first epitaxy process;
the etch stop layer is formed by a second epitaxy process; and
the device layer is formed by a third epitaxy process.
13. The method of claim 8, further comprising:
before the first oxide layer is bonded to the second oxide layer, removing edge regions of the second oxide layer, edge regions of the device layer, and edge regions of the etch stop layer, wherein when the first oxide layer is bonded to the second oxide layer, outermost sidewalls of the second oxide layer, outermost sidewalls of the device layer, and outermost sidewalls of the etch stop layer are disposed between outermost sidewalls of the first oxide layer.
14. The method of claim 8, wherein:
the first oxide layer has a first dielectric strength; and
the second oxide layer has a second dielectric strength that is less than the first dielectric strength.
15. The method of claim 8, wherein:
the first oxide layer has a first density; and
the second oxide layer has a second density different than the first density.
16. The method of claim 15, wherein the second density is less than the first density.
17. The method of claim 15, wherein the second density is greater than the first density.
18. The method of claim 8, wherein:
the first oxide layer has a first thickness;
the second oxide layer has a second thickness; and
a sum of the first thickness and the second thickness is less than or equal to about 2 micrometers (um).
19. The method of claim 18, wherein a ratio of the second thickness to the first thickness is between about 0.1 and about 10.
20. The method of claim 18, wherein the second thickness is between about 100 angstroms (Å) and about 1 um.
21. A method for forming a semiconductor-on-insulator (SOI) wafer, the method comprising:
growing a first insulator layer on a first semiconductor wafer via an oxidation process;
forming an etch stop layer over a second semiconductor wafer;
forming a semiconductor layer over the etch stop layer and the second semiconductor wafer;
depositing a second insulator layer over the semiconductor layer and the etch stop layer via a deposition process;
bonding the first insulator layer to the second insulator layer, such that the first insulator layer, the second insulator layer, and the semiconductor layer are disposed between the first semiconductor wafer and the second semiconductor wafer; and
after the first insulator layer is bonded to the second insulator layer, removing the second semiconductor wafer and the etch stop layer to expose the semiconductor layer.
22. The method of claim 21, wherein the first insulator layer is grown on an upper surface of the first semiconductor wafer, a bottom surface of the first semiconductor wafer, and opposite sidewalls of the first semiconductor wafer.
23. The method of claim 21, wherein the oxidation process comprises heating the first semiconductor wafer to about 1000° C.
24. The method of claim 23, wherein the deposition process comprises heating the second semiconductor wafer to a processing temperature that is less than about 800° C.
25. A method for forming a semiconductor-on-insulator (SOI) wafer, the method comprising:
growing a first insulator layer on a handle wafer via an oxidation process;
growing a processing layer on a donor wafer via a first epitaxial process;
growing an etch stop layer on the processing layer via a second epitaxial process;
growing a device layer on the etch stop layer via a third epitaxial process;
depositing a second insulator layer over the device layer, the processing layer, and the etch stop layer via a chemical vapor deposition (CVD) process;
removing edge regions of the second insulator layer, the etch stop layer, the processing layer, and the device layer;
after the edge regions of the second insulator layer, the etch stop layer, the processing layer, and the device layer are removed, bonding the second insulator layer to the first insulator layer, such that outermost sidewalls of the second insulator layer, outermost sidewalls of the etch stop layer, outermost sidewalls of the processing layer, and outermost sidewalls of the device layer are disposed between outermost sidewalls of the first insulator layer; and
after the second insulator layer is bonded to the first insulator layer, removing the donor wafer, the processing layer, and the etch stop layer to expose the device layer.
26. The method of claim 25, wherein:
the oxidation process comprises heating the handle wafer to about 1000° C.; and
the CVD process comprises heating the donor wafer to a processing temperature that is less than about 200° C.
27. The method of claim 25, wherein:
the first insulator layer comprises oxygen; and
the second insulator layer comprises oxygen.
US16/580,259 2019-09-24 2019-09-24 Semiconductor-on-insulator wafer having a composite insulator layer Active US10950631B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US16/580,259 US10950631B1 (en) 2019-09-24 2019-09-24 Semiconductor-on-insulator wafer having a composite insulator layer
TW109108749A TWI747200B (en) 2019-09-24 2020-03-17 Semiconductor wafer, method for forming thereof, and integrated chip
CN202010216626.1A CN112635491A (en) 2019-09-24 2020-03-25 Semiconductor wafer, forming method thereof and integrated chip
US17/192,333 US11676969B2 (en) 2019-09-24 2021-03-04 Semiconductor-on-insulator wafer having a composite insulator layer
US18/309,905 US20230275097A1 (en) 2019-09-24 2023-05-01 Semiconductor-on-insulator wafer having a composite insulator layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/580,259 US10950631B1 (en) 2019-09-24 2019-09-24 Semiconductor-on-insulator wafer having a composite insulator layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/192,333 Division US11676969B2 (en) 2019-09-24 2021-03-04 Semiconductor-on-insulator wafer having a composite insulator layer

Publications (2)

Publication Number Publication Date
US10950631B1 US10950631B1 (en) 2021-03-16
US20210091118A1 true US20210091118A1 (en) 2021-03-25

Family

ID=74870420

Family Applications (3)

Application Number Title Priority Date Filing Date
US16/580,259 Active US10950631B1 (en) 2019-09-24 2019-09-24 Semiconductor-on-insulator wafer having a composite insulator layer
US17/192,333 Active 2039-12-11 US11676969B2 (en) 2019-09-24 2021-03-04 Semiconductor-on-insulator wafer having a composite insulator layer
US18/309,905 Pending US20230275097A1 (en) 2019-09-24 2023-05-01 Semiconductor-on-insulator wafer having a composite insulator layer

Family Applications After (2)

Application Number Title Priority Date Filing Date
US17/192,333 Active 2039-12-11 US11676969B2 (en) 2019-09-24 2021-03-04 Semiconductor-on-insulator wafer having a composite insulator layer
US18/309,905 Pending US20230275097A1 (en) 2019-09-24 2023-05-01 Semiconductor-on-insulator wafer having a composite insulator layer

Country Status (3)

Country Link
US (3) US10950631B1 (en)
CN (1) CN112635491A (en)
TW (1) TWI747200B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115863348A (en) * 2021-09-24 2023-03-28 联华电子股份有限公司 SOI substrate and method for manufacturing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453394A (en) * 1992-01-31 1995-09-26 Canon Kabushiki Kaisha Process for preparing semiconductor substrate by bringing first and second substrates in contact
US5876497A (en) * 1995-12-12 1999-03-02 Canon Kabushiki Kaisha Fabrication process and fabrication apparatus of SOI substrate
US6103009A (en) * 1995-12-12 2000-08-15 Canon Kabushiki Kaisha Fabrication process for a SOI substrate
US20040137698A1 (en) * 2002-08-29 2004-07-15 Gianni Taraschi Fabrication system and method for monocrystaline semiconductor on a substrate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2003046993A1 (en) * 2001-11-29 2005-04-14 信越半導体株式会社 Manufacturing method of SOI wafer
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
EP1978554A3 (en) 2007-04-06 2011-10-12 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate comprising implantation and separation steps
JP5459899B2 (en) 2007-06-01 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US8349739B2 (en) * 2008-08-29 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal etch material and process
SG161151A1 (en) 2008-10-22 2010-05-27 Semiconductor Energy Lab Soi substrate and method for manufacturing the same
US8513090B2 (en) 2009-07-16 2013-08-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate, and semiconductor device
JP2011044667A (en) * 2009-08-24 2011-03-03 Shin Etsu Handotai Co Ltd Method for manufacturing semiconductor device
US9598772B2 (en) * 2010-04-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating bump structure without UBM undercut
JP2013115199A (en) * 2011-11-28 2013-06-10 Toyota Motor Corp Soi wafer and soi wafer manufacturing method
US9425150B2 (en) * 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
EP2924722A1 (en) * 2014-03-28 2015-09-30 IMEC vzw Method for manufacturing a semiconductor-on-insulator device
US9806192B2 (en) 2015-06-24 2017-10-31 Qorvo Us, Inc. Suppression of back-gate transistors in RF CMOS switches built on an SOI substrate
CN107104037B (en) * 2017-05-25 2019-06-21 中国工程物理研究院激光聚变研究中心 A kind of preparation method of ultra thin single crystalline silicon wafer
US10510595B2 (en) * 2018-04-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453394A (en) * 1992-01-31 1995-09-26 Canon Kabushiki Kaisha Process for preparing semiconductor substrate by bringing first and second substrates in contact
US5876497A (en) * 1995-12-12 1999-03-02 Canon Kabushiki Kaisha Fabrication process and fabrication apparatus of SOI substrate
US6103009A (en) * 1995-12-12 2000-08-15 Canon Kabushiki Kaisha Fabrication process for a SOI substrate
US20040137698A1 (en) * 2002-08-29 2004-07-15 Gianni Taraschi Fabrication system and method for monocrystaline semiconductor on a substrate

Also Published As

Publication number Publication date
US20210193684A1 (en) 2021-06-24
CN112635491A (en) 2021-04-09
US10950631B1 (en) 2021-03-16
US20230275097A1 (en) 2023-08-31
TW202113961A (en) 2021-04-01
TWI747200B (en) 2021-11-21
US11676969B2 (en) 2023-06-13

Similar Documents

Publication Publication Date Title
US6972478B1 (en) Integrated circuit and method for its manufacture
US9190313B2 (en) Shallow trench isolation structures
US20080169508A1 (en) Stressed soi fet having doped glass box layer
US9953857B2 (en) Semiconductor device with buried local interconnects
US8841177B2 (en) Co-integration of elemental semiconductor devices and compound semiconductor devices
US8901713B2 (en) Extremely thin semiconductor-on-insulator with back gate contact
US9685434B2 (en) Inter-level dielectric layer in replacement metal gates and resistor fabrication
US8895381B1 (en) Method of co-integration of strained-Si and relaxed Si or strained SiGe FETs on insulator with planar and non-planar architectures
WO2018226718A1 (en) Single mask level forming both top-side-contact and isolation trenches
US20230275097A1 (en) Semiconductor-on-insulator wafer having a composite insulator layer
US11011410B2 (en) Substrate having two semiconductor materials on insulator
US10096689B2 (en) Low end parasitic capacitance FinFET
US20230018629A1 (en) Semiconductor on insulator having a semiconductor layer with different thicknesses
US11923237B2 (en) Manufacturing method of semiconductor device
US11316026B2 (en) Recessed channel structure in FDSOI
WO2023089470A1 (en) Bottom dielectric isolation integration with buried power rail
CN115732395A (en) Deep trench isolation with field oxide
JP2007227605A (en) Method of munufacturing semiconductor substrate and semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, KUAN-LIANG;TU, YEUR-LUEN;SIGNING DATES FROM 20191111 TO 20191223;REEL/FRAME:051352/0467

STCF Information on status: patent grant

Free format text: PATENTED CASE