US20210083067A1 - Gate spacer with air gap for semiconductor device structure and method for forming the same - Google Patents

Gate spacer with air gap for semiconductor device structure and method for forming the same Download PDF

Info

Publication number
US20210083067A1
US20210083067A1 US16/571,715 US201916571715A US2021083067A1 US 20210083067 A1 US20210083067 A1 US 20210083067A1 US 201916571715 A US201916571715 A US 201916571715A US 2021083067 A1 US2021083067 A1 US 2021083067A1
Authority
US
United States
Prior art keywords
gate spacer
gate
layer
spacer layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/571,715
Other versions
US10937884B1 (en
Inventor
Sheng-Tsung Wang
Lin-Yu HUANG
Chia-Lin Chuang
Chia-Hao Chang
Cheng-Chi Chuang
Yu-Ming Lin
Chih-Hao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/571,715 priority Critical patent/US10937884B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHIA-HAO, CHUANG, CHENG-CHI, CHUANG, CHIA-LIN, HUANG, LIN-YU, LIN, YU-MING, WANG, CHIH-HAO, WANG, SHENG-TSUNG
Application granted granted Critical
Publication of US10937884B1 publication Critical patent/US10937884B1/en
Publication of US20210083067A1 publication Critical patent/US20210083067A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Definitions

  • FIGS. 1A to 1F illustrate perspective views of various stages of manufacturing a semiconductor device structure in accordance with some embodiments.
  • FIGS. 2A to 2P illustrate cross-sectional representations of various stages of manufacturing the semiconductor device structure in accordance with some embodiments.
  • FIG. 3 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 4 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 5 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 6 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 7 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. It should be understood that additional operations can be provided before, during, and after the method, and some of the operations described can be replaced or eliminated for other embodiments of the method.
  • the semiconductor device structures may include an active gate stack and an adjacent conductive contact structure (which is also referred to as a source/drain contact structure) over a fin structure of the semiconductor substrate.
  • a first gate spacer structure and a second gate spacer structure over the first gate spacer structure are successively formed between the active gate stack and the conductive contact structure.
  • a first gate spacer layer is formed adjacent to the sidewall of the active gate stack
  • a second gate spacer layer is formed adjacent to the sidewall of the conductive contact structure
  • a third gate spacer layer is formed to separate an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap that separates a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer.
  • the existence of the air gap reduces the dielectric constant (k) of the second gate spacer structure, thereby reducing the parasitic capacitance between the source/drain contact structure and the gate electrode layer of the active gate stack.
  • the second gate spacer structure can be formed with a material that is different form a material of the first gate spacer structure, so that the total dielectric constant (k) of the first and second gate spacer structures can be lowered further. As a result, the device performance can be effectively increased.
  • FIGS. 1A to 1F illustrate perspective views of various stages of manufacturing a semiconductor device structure 10 and FIGS. 2A to 2P illustrate cross-sectional representations of various stages of manufacturing a semiconductor device structure 10 in accordance with some embodiments.
  • FIGS. 2A to 2F illustrate the cross-sectional representations of the semiconductor device structure 10 shown along line 2 - 2 ′ in FIGS. 1A to 1F in accordance with some embodiments.
  • the semiconductor device structure 10 is implemented as a fin field effect transistor (FinFET) structure.
  • FinFET fin field effect transistor
  • the substrate 100 is a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g. with a P-type or an N-type dopant) or undoped.
  • SOI substrate is fabricated using a wafer bonding process, a silicon film transfer process, a separation by implantation of oxygen (SIMOX) process, another applicable method, or a combination thereof.
  • the substrate 100 is a silicon wafer.
  • the substrate 100 may include silicon or another elementary semiconductor material such as germanium.
  • the substrate 100 includes a compound semiconductor.
  • the compound semiconductor may include gallium arsenide, silicon carbide, indium arsenide, indium phosphide, another suitable compound semiconductor, or a combination thereof.
  • multiple recesses are formed in the substrate 100 to successively form fin structures over the substrate 100 , in accordance with some embodiments.
  • multiple fin structures 100 a are formed between the recesses.
  • FIGS. 1A and 2A one of the fin structures 100 a is shown.
  • one or more photolithography and etching processes are used to form the fin structures 100 a between the recesses.
  • Isolation features 104 are then formed in the recesses to surround lower portions of the fin structures 100 a , as shown in FIGS. 1A and 2A in accordance with some embodiments.
  • the isolation features 104 are used to define and electrically isolate various device elements formed in and/or over the substrate 100 .
  • the isolation features 104 include shallow trench isolation (STI) features, local oxidation of silicon (LOCOS) features, other suitable isolation features, or a combination thereof.
  • STI shallow trench isolation
  • LOC local oxidation of silicon
  • the insulating layer for the formation of the isolation features 104 may be made of silicon oxide, silicon nitride, silicon oxynitride, fluorosilicate glass (FSG), low-k dielectric materials, and/or another suitable dielectric material and may be deposited by a flowable chemical vapor deposition (FCVD) process, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, or another applicable process.
  • FCVD flowable chemical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • dummy gate stacks 110 a are formed across the fin structure 100 a over the substrate 100 to cover the isolation features 104 by deposition, photolithography, and etching processes, as shown in FIGS. 1A and 2A in accordance with some embodiments.
  • a capping feature 101 is used as an etch mask.
  • Each of the dummy gate stacks 110 a includes an optional dummy gate dielectric layer (not shown) and a dummy gate electrode layer 106 formed over the dummy gate dielectric layer and capped by the capping feature 101 .
  • the dummy gate dielectric layer and the dummy gate electrode layer 106 may be made of silicon oxide and polysilicon, respectively.
  • multilayer gate spacers are formed on the opposite sidewalls of the dummy gate stacks 110 a , respectively, as shown in FIGS. 1A and 2A in accordance with some embodiments.
  • Each of the multilayer gate spacers includes a gate spacer layer 103 covering the sidewall of the corresponding dummy gate electrode layer 106 , a gate spacer layer 105 covering the sidewall of the gate spacer layer 103 , and a gate spacer layer 107 covering the sidewall of the gate spacer layer 105 , as shown in FIGS. 1A and 2A in accordance with some embodiments.
  • the gate spacer layers 103 , 105 , and 107 have a top surface that is substantially level with the top surface of the capping feature 101 .
  • the gate spacer layers 103 , 105 , and 107 are made of different materials so that their etch selectivity is different.
  • the gate spacer layers 103 , 105 , or 107 may be made of SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material.
  • the gate spacer layers 103 , 105 , and 107 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process.
  • the gate spacer layers 103 , 105 , and 107 have a width (which is also referred to as critical dimension (CD)) in a range from about 0.5 nm to about 10 nm.
  • each multilayer gate spacer separates the corresponding dummy gate electrode layer 106 from the corresponding source/drain feature 112 .
  • each of the source/drain features 112 (which are also referred to as source/drain regions) is formed by recessing the portions of the fin structure 100 a laterally adjacent to the dummy gate stacks 110 a and growing semiconductor materials in the formed recesses in the fin structure 100 a by epitaxial (epi) growth processes.
  • the semiconductor device structure 10 may be an NMOS device
  • the source/drain structure 120 may include Si, SiP, SiC, SiPC, or an III-V group semiconductor material (such as InP, GaAs, AlAs, InAs, InAlAs, or InGaAs), or the like.
  • the semiconductor device structure 10 may be a PMOS device, and the source/drain feature 120 may include Si, SiGe, SiGeB, or an III-V group semiconductor material (such as InSb, GaSb, or InGaSb), or the like.
  • the source/drain features 112 protrude above the isolation feature 104 , as shown in FIG. 1A .
  • the source/drain features 112 have a height (which is also referred to as thickness) in a range from about 1 nm to about 30 nm.
  • capping features 114 are formed to cover the source/drain features 112 , respectively.
  • the capping feature 114 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material.
  • the capping features 114 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process.
  • the formed capping features 114 may have a height (i.e., thickness) less than or equal to about 10 nm.
  • the gate spacer layers 107 are recessed, as shown in FIGS. 1B and 2B in accordance with some embodiments.
  • the gate spacer layers 107 are recessed by an etching process (e.g., a dry etching process) using the capping features 114 as an etch stop layer. After the etching process, the remaining gate spacer layers 107 have a top surface that is substantially level with the uppermost surface of the capping features 107 .
  • the gate spacer layers 105 and the gate spacer layer 103 are successively or simultaneously recessed, as shown in FIGS. 1C and 2C in accordance with some embodiments.
  • the gate spacer layers 105 and the gate spacer layer 103 are recessed by one or more etching processes using the capping features 114 as an etch stop layer.
  • the sidewalls of each capping feature 101 and each dummy gate electrode layer 106 are exposed.
  • the remaining gate spacer layer 105 and the remaining gate spacer layer 103 have a top surface that is substantially level with the top surface of the remaining gate spacer layer 107 .
  • the remaining gate spacer layers 103 , 105 , and 107 form a first gate spacer structure that has a top surface below the top surface of the dummy gate electrode layer 106 .
  • spacer layers 113 are formed to cover the exposed sidewalls of each capping feature 101 and each dummy gate electrode layer 106 , respectively, as shown in FIGS. 1D and 2D in accordance with some embodiments.
  • the gate spacer layer 113 is formed directly over and in direct contact with the corresponding remaining gate spacer layer 103 and has a top surface that is substantially level with the top surface of the capping feature 101 .
  • the gate spacer layers 113 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material. Moreover, the gate spacer layers 113 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • ALD atomic layer deposition
  • the gate spacer layers 113 have a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) in a range from about 1 nm to about 30 nm.
  • spacer layers 115 are formed to cover the sidewalls of the gate spacer layer 113 , respectively, as shown in FIGS. 1E and 2E in accordance with some embodiments.
  • the gate spacer layer 115 is formed directly over and in direct contact with the corresponding remaining gate spacer layer 105 and has a top surface that is substantially level with the top surface of the gate spacer layer 113 .
  • the gate spacer layers 115 are made of a material that is different from that of the gate spacer layer 113 so that they have a different etch selectivity.
  • the gate spacer layers 115 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material.
  • the gate spacer layers 115 may be formed by a deposition process that is the same or similar to the deposition process for forming the gate spacer layer 113 .
  • the gate spacer layers 115 have a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) in a range from about 1 nm to about 30 nm.
  • an insulating liner layer 117 and an insulating layer 120 are successively formed over the substrate 100 to cover the isolation feature 104 and the capping features 114 , as shown in FIGS. 1F and 2F in accordance with some embodiments.
  • the insulating liner layer 117 conformally covers the top surface of each capping feature 101 , the sidewall of each gate spacer layer 115 and the top surface of each capping feature 114 .
  • the insulating layer 120 covers the insulating liner layer 117 .
  • a polishing process such as a chemical mechanical polishing (CMP) process, is performed to remove the excess insulating layer 120 and the excess insulating liner layer 117 above the capping feature 101 a , as shown in FIGS. 1F and 2F in accordance with some embodiments.
  • the remaining insulating liner layer 117 is formed for serving as a gate spacer layer 117 a (indicated in FIG. 2J ) after subsequent etching process.
  • the remaining insulating liner layer 117 , and the gate spacers 113 and 115 form a multilayer gate spacer.
  • the insulating liner layer 117 is made of a different material than the gate spacer layer 115 so that it may have a different etch selectivity.
  • the insulating liner layer 117 may be made of the same material as the gate spacer layer 113 .
  • the insulating liner layer 117 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material.
  • the insulating liner layer 117 may be formed by a deposition process that is the same or similar to the deposition process used for forming the gate spacer layer 113 or 115 .
  • the remaining insulating liner layer 117 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a top surface that is substantially level with the top surface of the gate spacer layer 115 .
  • the remaining insulating layer 120 may serve as an interlayer dielectric (ILD) layer.
  • the insulating layer 120 is a single layer made of silicon oxide, tetraethyl orthosilicate (TEOS), phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate Glass (BPSG), fluorosilicate glass (FSG), undoped silicate glass (USG), or the like.
  • the insulating layer 120 is deposited using any suitable method, such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, flowable CVD (FCVD) process, the like, or a combination thereof.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • FCVD flowable CVD
  • each of the dummy gate stacks 110 a is replaced by an active gate stack, as shown in FIGS. 2G to 21 in accordance with some embodiments.
  • the capping features 101 and the underlying dummy gate stacks 110 a are successively removed by etching, so as to form trench openings 122 , as shown in FIG. 2G .
  • the an active gate stack fills each of the trench openings 122 , as shown in FIGS. 2H and 21 in accordance with some embodiments.
  • Each of the active gate stacks includes a gate dielectric layer 124 , a gate electrode layer 126 , and a capping feature 130 .
  • the gate dielectric layer 124 may be made of a high-K dielectric material.
  • high-K dielectric materials include hafnium oxide, zirconium oxide, aluminum oxide, silicon oxynitride, hafnium dioxide-alumina alloy, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, another suitable high-K material, or a combination thereof.
  • the gate electrode layer 126 is made of a conductive material, such as copper, tungsten, aluminum, titanium, tantalum, or another applicable material.
  • Each of the active gate stacks may further include a work functional metal layer (not shown) between the gate dielectric layer 124 and the gate electrode layer 126 .
  • the work function metal layer may be made of TiN, TaN, Ru, Mo, Al, WN, ZrSi 2 , MoSi 2 , TaSi 2 , NiSi 2 , WN, or a combination thereof.
  • the work function metal layer may be made of Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, or a combination thereof.
  • the gate dielectric layer 124 and the gate electrode layer 126 are recessed to form recessing openings (not shown), and each of the recessing openings is filled with the capping feature 130 , as shown in FIG. 21 in accordance with some embodiments.
  • an insulating or semiconductor layer (not shown) is formed over the insulating layer 120 and fills the recessing openings.
  • a polishing process such as a chemical mechanical polishing (CMP) process, is performed to remove the excess insulating or semiconductor layer above the insulating layer 120 .
  • CMP chemical mechanical polishing
  • the capping feature 130 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable material.
  • the capping feature 130 may be formed by performing a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, low-pressure CVD (LPCVD) process, an atomic layer deposition (ALD) process, or another applicable process.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • LPCVD low-pressure CVD
  • ALD atomic layer deposition
  • the top surfaces of the capping features 130 are substantially level with the top surface of the insulating layer 120 , as shown in FIG. 21 .
  • the capping features 130 may serve as etch masks and protect the gate dielectric layer 124 and the gate electrode layer 126 from damage in the subsequent manufacturing processes (e.g., etching processes).
  • the capping features 130 have a thickness (i.e., height) in a range from about 1 nm to about 30 nm and a top surface that is substantially level with the top surface of the gate spacer layers 113 and 115 , and the insulating liner layer 117 .
  • portions of the insulating layer 120 , the insulating liner layer 117 , and the capping feature 114 above each of the source/drain features 112 are removed, as shown in FIG. 2J in accordance with some embodiments.
  • the portions of the insulating layer 120 , the insulating liner layer 117 , and the capping feature 114 above each of the source/drain features 112 are successively removed by one or more etching processes, so as to form contact openings 132 .
  • Each of the contact openings 132 exposes a portion of the corresponding source/drain features 112 .
  • the remaining insulating liner layer 117 forms a gate spacer layer 117 a adjacent to the corresponding gate spacer layer 115 , so that the gate spacer layers 113 , 115 , and 117 a form a multilayer gate spacer. Moreover, the remaining capping feature 114 may form a portion of the first gate spacer structure.
  • a conductive contact structure (which is also referred to as a source/drain contact structure) is formed therein in the subsequent manufacturing processes, so that the first gate spacer structure formed over the substrate 100 continuously extends from the sidewall of the corresponding active gate stack (e.g., the sidewall of the gate dielectric layer 124 ) to a sidewall of the corresponding conductive contact structure.
  • a deposition process is performed on the structure in FIG. 2J to form a conformal metal layer (not shown) over a sidewall and the bottom of each of the contact openings 132 in accordance with some embodiments.
  • the conformal metal layer may be formed of Ti, Al, Zr, Hf, Ta, Co, Ni, Y, and may be formed by, for example, a CVD, ALD or electrochemical plating (ECP) process.
  • an annealing process may be performed at a temperature of about 350° C. to about 500° C.
  • the conformal metal layer reacts with the exposed source/drain features 112 , so as to form a metal silicide or germanide layer 136 on each of the exposed the source/drain features 112 .
  • the metal silicide or germanide layer 136 is employed to reduce the contact resistance between the source/drain features 112 and the subsequently formed conductive contact structure.
  • each of the remaining contact openings 132 is filled with a conductive contact structure 140 laterally adjacent to the corresponding active gate stack to serve as a source/drain contact structure, as shown in FIG. 2K in accordance with some embodiments.
  • a metal layer (not shown) is formed over the gate spacer layers 113 , 115 , and 117 a and the capping features 130 and fills the remaining contact openings 132 .
  • a polishing process such as a chemical mechanical polishing (CMP) process, is performed to remove the excess metal layer above the gate spacer layers 113 , 115 , and 117 a and the capping features 130 .
  • CMP chemical mechanical polishing
  • the remaining metal layers form the conductive contact structures 140 respectively in the remaining contact openings 132 , so as to electrically connect the corresponding source/drain features 112 , respectively, via the corresponding metal silicide or germanide layer 136 .
  • the conductive contact structure 140 may be made of W, Co, Ru, Ti, Ni, Cu, Au, Ag, Pt, Pd, Ir, Os, Rh, Al, Mo, or another applicable metal material.
  • the conductive contact structure 140 may be formed by performing a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, low-pressure CVD (LPCVD) process, an atomic layer deposition (ALD) process, or another applicable process.
  • a metal barrier layer (not shown) is conformally formed on the bottom and sidewalls of each remaining contact openings 132 prior to the formation of the conductive contact structure 140 .
  • the metal barrier layer may be made of Ti, Ta, TiN, TaN, W, Co, Ru, or a combination thereof, or another applicable metal material. In some embodiments, the metal barrier layer has a thickness that is less than or substantially equal to 10 nm.
  • the metal barrier layer (if presented) and conductive contact structure 140 are recessed to form recessing openings (not shown), and each of the recessing openings is filled with an optional capping feature 142 , as shown in FIG. 2L in accordance with some embodiments.
  • the method and the material used in the optional capping feature 142 is the same as or similar to those of the capping feature 130 .
  • the optional capping feature 142 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable material.
  • the top surfaces of the optional capping features 142 are substantially level with the top surfaces of the capping features 130 and the gate spacer layers 113 , 115 , and 170 a .
  • the optional capping feature 142 may serve as etch masks and protect the metal barrier layer (if presented) and conductive contact structure 140 from damage in the subsequent manufacturing processes (e.g., etching processes).
  • the capping features 130 has a thickness (i.e., height) less than or substantially equal to 30 nm.
  • an opening 154 is formed in each of the multilayer gate spacer (which includes the gate spacer layers 113 , 115 , and 117 a to expose a portion of the first gate spacer structure (which includes the remaining gate spacer layers 103 , 105 , and 107 and the remaining capping feature 114 ), as shown in FIGS. 2M to 2O in accordance with some embodiments.
  • the gate spacer layers 115 are recessed, so that openings 150 are formed above the recessed gate spacer layers 115 , respectively, as shown in FIG. 2M .
  • the openings 150 are formed by removing the upper portion of the gate spacer layers 115 using an etching process (e.g., a dry etching process).
  • the etching process is performed using an fluorine (F)-based gas, a chlorine (Cl)-based gas, or a combination thereof as a process gas.
  • the process temperature for etching is less than or substantially equal to about 200° C.
  • the process pressure for etching is in a range from about 0.1 Torr to about 10 Torr.
  • openings 152 are formed above the recessed gate spacer layers 115 , respectively, as shown in FIG. 2N in accordance with some embodiments.
  • the exposed sidewall of gate spacer layers 113 and 117 a above the recessed gate spacer layers 115 and the top surface of the recessed gate spacer layers 115 are partially etched, so that openings 150 are widened to form openings 152 above the recessed gate spacer layers 115 .
  • the openings 152 are formed using an etching process (e.g., a dry etching process). The etching process is performed using an F-based gas, a Cl-based gas, or a combination thereof as a process gas.
  • the process temperature for etching is less than or substantially equal to about 200° C.
  • the process pressure for etching is in a range from about 0.1 Torr to about 10 Torr.
  • the remaining gate spacer elements 115 respectively under the openings 152 are entirely removed and the sidewalls of the openings 154 are partially removed to form the openings 154 , as shown in FIG. 2O in accordance with some embodiments.
  • the opening 154 that exposes a portion of the first gate spacer structure are formed using an etching process (e.g., a dry etching process).
  • the etching process is performed using an F-based gas, a Cl-based gas, or a combination thereof as a process gas.
  • the process temperature for etching is less than or substantially equal to about 200° C.
  • the process pressure for etching is in a range from about 0.1 Torr to about 10 Torr.
  • the top width of the upper portion of the opening 154 is greater than the top width of the lower portion of the opening 154 , so that the opening 154 has a T-shaped cross-sectional contour, as shown in FIG. 2O .
  • the upper portion of the opening 154 and the lower portion of the opening 154 may have tapered sidewalls.
  • the upper portion of each of the openings 154 is capped with an insulating sealing layer 156 to form air gap 160 , as shown in FIG. 2P in accordance with some embodiments.
  • the insulating sealing layer 156 is formed to separate the upper portion of the corresponding gate spacer layer 113 from the upper portion of the corresponding gate spacer layer 117 a , so as to define the air gap 160 separating the lower portion of the corresponding gate spacer layer 113 from the lower portion of the corresponding gate spacer layer 117 a .
  • the air gap 160 is formed between the corresponding gate spacer layer 113 and the corresponding gate spacer layer 117 a and between the insulating sealing layer 156 and the first gate spacer structure.
  • the insulating sealing layer 156 , the corresponding gate spacer layer 113 and 117 a , and the corresponding air gap 160 form a second gate spacer structure. Therefore, the insulating sealing layer 156 may be referred to as a gate spacer layer and the air gap 160 may be referred to as an air spacer.
  • the insulating sealing layer 156 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material.
  • the insulating sealing layer 156 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process.
  • the insulating sealing layer 156 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) not less than about 1 nm and less than about 30 nm.
  • the air gap 160 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a height in a range from about 1 nm to about 30 nm.
  • the first gate spacer structure and the second gate spacer structure are made of different materials and have different dielectric constant (k).
  • the first gate spacer structure has a dielectric constant (k) higher than that of the second gate spacer structure due to the existence of the air gap 160 in the second gate spacer structure.
  • the dielectric constant of the first and second gate spacer structures can be adjusted by the selection of the materials thereof, thereby lowering the dielectric constant (k) of the first and second gate spacer structures.
  • the insulating sealing layer (or the gate spacer layer) 156 has a wider top width W 1 than the top width W 2 of the air gap 160 , so that the air gap 160 is easier to form. In some embodiments, the insulating sealing layer 156 has a seam 156 a formed therein, thereby lowering further the dielectric constant (k) of the second gate spacer structure.
  • FIG. 3 shows a cross-sectional representation of a semiconductor device structure 20 , in accordance with some embodiments.
  • the semiconductor device structure 20 shown in FIG. 3 is similar to the semiconductor device structure 10 shown in FIG. 2P .
  • the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 3 , and are therefore not repeated.
  • the semiconductor device structure 20 includes multiple insulating layers to serves as a multilayer insulating sealing structure, in accordance with some embodiments.
  • the second gate spacer structure further includes another gate spacer layer (i.e., insulating sealing layer 170 ) formed in the insulating sealing layer 156 .
  • the bottom and the sidewalls of the insulating sealing layer 170 are surrounded by the insulating sealing layer 156 .
  • the insulating sealing layer 170 and the insulating sealing layer 156 are made of different materials.
  • the insulating sealing layer 170 is made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO 2 , AlO, AlON, or a high-k material or another applicable dielectric material.
  • the insulating sealing layer 170 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • ALD atomic layer deposition
  • the insulating sealing layer 170 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) less than that of the insulating sealing layer.
  • FIG. 4 shows a cross-sectional representation of a semiconductor device structure 30 , in accordance with some embodiments.
  • the semiconductor device structure 30 shown in FIG. 4 is similar to the semiconductor device structure 20 shown in FIG. 3 and the semiconductor device structure 10 shown in FIG. 2P .
  • the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 4 , and are therefore not repeated.
  • the insulating sealing layer 170 in the semiconductor device structure 30 shown in FIG. 4 has a seam 170 a formed therein, in accordance with some embodiments.
  • the dielectric constant (k) of the second gate spacer structure can be lowered further via the seam 170 a.
  • FIG. 5 shows a cross-sectional representation of a semiconductor device structure 40 , in accordance with some embodiments.
  • the semiconductor device structure 40 shown in FIG. 5 is similar to the semiconductor device structure 10 shown in FIG. 2P .
  • the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 5 , and are therefore not repeated.
  • the insulating sealing layer 156 in the semiconductor device structure 40 shown in FIG. 5 further extends in the corresponding air gap 160 to conformally cover the bottom and sidewalls of the air gap 160 , in accordance with some embodiments.
  • the air gap 160 is entirely sealed by the insulating sealing layer 156 with a conformal liner portion.
  • the conformal liner portion may have a thickness that is in a range from about 0.5 nm to about 5 nm.
  • FIG. 6 shows a cross-sectional representation of a semiconductor device structure 50 , in accordance with some embodiments.
  • the semiconductor device structure 50 shown in FIG. 5 is similar to the semiconductor device structure 20 shown in FIG. 3 , the semiconductor device structure 40 shown in FIG. 5 , and the semiconductor device structure 10 shown in FIG. 2P .
  • the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 6 , and are therefore not repeated.
  • the top of the air gap 160 in the semiconductor device structure 50 shown in FIG. 6 is capped by and in direct contact with the insulating sealing layer 170 , in accordance with some embodiments.
  • the insulating sealing layer 156 in the semiconductor device structure 50 shown in FIG. 6 also extends in the corresponding air gap 160 to conformally cover the bottom and sidewalls of the air gap 160 , in accordance with some embodiments. In those cases, the air gap 160 is entirely sealed by the insulating sealing layer 156 with a conformal liner portion.
  • FIG. 7 shows a cross-sectional representation of a semiconductor device structure 60 , in accordance with some embodiments.
  • the semiconductor device structure 60 shown in FIG. 7 is similar to the semiconductor device structure 50 shown in FIG. 6 and the semiconductor device structure 10 shown in FIG. 2P .
  • the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 7 , and are therefore not repeated.
  • the insulating sealing layer 170 in the semiconductor device structure 60 shown in FIG. 7 has a seam 170 a formed therein, in accordance with some embodiments.
  • the dielectric constant (k) of the second gate spacer structure can be lowered further via the seam 170 a.
  • the semiconductor device structure may include a gate stack and a source/drain contact structure over a fin structure of the semiconductor substrate.
  • the formation of the semiconductor device structure includes forming a first gate spacer structure and a second gate spacer structure over the first gate spacer structure are successively formed between the gate stack and the source/drain contact structure.
  • a first gate spacer layer is formed adjacent to the sidewall of the gate stack
  • a second gate spacer layer is formed adjacent to the sidewall of the conductive contact structure
  • a third gate spacer layer is formed to separate an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap that separates a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer.
  • the air gap reduces the dielectric constant (k) of the second gate spacer structure, thereby reducing the parasitic capacitance between the source/drain contact structure and the gate electrode layer of the gate stack.
  • the second gate spacer structure can be formed with a material that is different form a material of the first gate spacer structure, the total dielectric constant (k) of the first and second gate spacer structures can be lowered further. Therefore, the device performance can be effectively increased.
  • a semiconductor device structure in some embodiments, includes a gate stack formed over a semiconductor substrate and a source/drain contact structure formed over the semiconductor substrate and laterally adjacent to the gate stack.
  • the semiconductor device structure also includes a first gate spacer structure formed over the semiconductor substrate and continuously extending from a sidewall of the gate stack to a sidewall of the source/drain contact structure.
  • the semiconductor device structure includes a second gate spacer structure formed over the first gate spacer structure and interposed between the sidewall of gate stack and the sidewall of the source/drain contact structure.
  • the second gate spacer structure includes a first gate spacer layer adjacent to the sidewall of the gate stack, a second gate spacer layer adjacent to the sidewall of the source/drain contact structure, and a third gate spacer layer separating the first gate spacer layer from the second gate spacer layer.
  • An air gap is formed between the first gate spacer layer and the second gate spacer layer and between the third gate spacer layer and the first gate spacer structure.
  • a semiconductor device structure in some embodiments, includes a fin structure over a semiconductor substrate and a gate stack across the fin structure.
  • the gate stack includes a gate electrode layer, a gate dielectric layer surrounding a bottom and sidewalls of the gate electrode layer, and a first capping feature covering the gate electrode layer and the gate dielectric layer.
  • the semiconductor device structure also includes a source/drain feature adjacent to the fin structure and a conductive contact structure formed over and electrically connected to the source/drain feature.
  • the semiconductor device structure includes a first gate spacer structure separating the gate dielectric layer from the source/drain feature, and a second gate spacer structure formed over the first gate spacer structure and interposed between a sidewall of gate stack and a sidewall of the conductive contact structure.
  • the second gate spacer structure includes a first gate spacer layer adjacent to the sidewall of the gate stack, a second gate spacer layer adjacent to the sidewall of the conductive contact structure, and a third gate spacer layer separating an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap separating a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer.
  • a method for forming a semiconductor device structure includes forming a dummy gate electrode layer and a first multilayer gate spacer over a fin structure of a semiconductor substrate.
  • the first multilayer gate spacer separates the dummy gate electrode layer from a source/drain feature over the semiconductor substrate.
  • the method also includes recessing the first multilayer gate spacer, so as to form a first gate spacer structure that has a top surface below a top surface of the dummy gate electrode layer.
  • the method also includes replacing the dummy gate electrode layer with an active gate electrode layer, and forming a second multilayer gate spacer over the first gate spacer structure and adjacent to the active gate electrode layer.
  • the method includes forming a conductive contact structure over and electrically connected to the source/drain feature, and forming an opening in the second multilayer gate spacer to expose a portion of the first gate spacer structure.
  • the method also includes capping an upper portion of the opening with an insulating sealing layer to form a second gate spacer structure over the first gate spacer structure.
  • a lower portion of the opening defines an air gap in the second gate spacer structure.
  • the second gate spacer structure includes the insulating sealing layer and the air gap.
  • the first gate spacer structure has a dielectric constant different from a dielectric constant of the second gate spacer structure.
  • the fins described above may be patterned by any suitable method.
  • the fins may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes.
  • double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process.
  • a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the fins.

Abstract

A semiconductor device structure includes a gate stack and an adjacent source/drain contact structure formed over a semiconductor substrate. The semiconductor device structure includes a first gate spacer structure extending from a sidewall of the gate stack to a sidewall of the source/drain contact structure, and a second gate spacer structure formed over the first gate spacer structure and between the gate stack and the source/drain contact structure. The second gate spacer structure includes first and second gate spacer layers adjacent to the sidewall of the gate stack and the sidewall of the source/drain contact structure, respectively, and a third gate spacer layer separating the first gate spacer layer from the second gate spacer layer, so that an air gap is sealed by the first, second, and the third gate spacer layers and the first gate spacer structure.

Description

    BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC materials and design have produced generations of ICs. Each generation has smaller and more complex circuits than the previous generation. However, as the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs, such as the fin field effect transistor (FinFET).
  • Although existing FinFETs and the manufacturing processes thereof have generally been adequate for their intended purposes, they have not been entirely satisfactory in all respects, especially as device scaling-down continues. For example, it is a challenge to form gate spacer structures at smaller and smaller sizes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIGS. 1A to 1F illustrate perspective views of various stages of manufacturing a semiconductor device structure in accordance with some embodiments.
  • FIGS. 2A to 2P illustrate cross-sectional representations of various stages of manufacturing the semiconductor device structure in accordance with some embodiments.
  • FIG. 3 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 4 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 5 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 6 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • FIG. 7 illustrates a cross-sectional representation of a semiconductor device structure in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the subject matter provided. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Furthermore, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. It should be understood that additional operations can be provided before, during, and after the method, and some of the operations described can be replaced or eliminated for other embodiments of the method.
  • Embodiments for semiconductor device structures and the fabrication thereof are provided. The semiconductor device structures may include an active gate stack and an adjacent conductive contact structure (which is also referred to as a source/drain contact structure) over a fin structure of the semiconductor substrate. A first gate spacer structure and a second gate spacer structure over the first gate spacer structure are successively formed between the active gate stack and the conductive contact structure. During the formation of the second gate spacer structure, a first gate spacer layer is formed adjacent to the sidewall of the active gate stack, a second gate spacer layer is formed adjacent to the sidewall of the conductive contact structure, and a third gate spacer layer is formed to separate an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap that separates a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer. The existence of the air gap reduces the dielectric constant (k) of the second gate spacer structure, thereby reducing the parasitic capacitance between the source/drain contact structure and the gate electrode layer of the active gate stack. Moreover, the second gate spacer structure can be formed with a material that is different form a material of the first gate spacer structure, so that the total dielectric constant (k) of the first and second gate spacer structures can be lowered further. As a result, the device performance can be effectively increased.
  • FIGS. 1A to 1F illustrate perspective views of various stages of manufacturing a semiconductor device structure 10 and FIGS. 2A to 2P illustrate cross-sectional representations of various stages of manufacturing a semiconductor device structure 10 in accordance with some embodiments. In addition, FIGS. 2A to 2F illustrate the cross-sectional representations of the semiconductor device structure 10 shown along line 2-2′ in FIGS. 1A to 1F in accordance with some embodiments. In some embodiments, the semiconductor device structure 10 is implemented as a fin field effect transistor (FinFET) structure.
  • As shown in FIGS. 1A and 2A, a substrate 100 is provided. In some embodiments, the substrate 100 is a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g. with a P-type or an N-type dopant) or undoped. Generally, the SOI substrate is fabricated using a wafer bonding process, a silicon film transfer process, a separation by implantation of oxygen (SIMOX) process, another applicable method, or a combination thereof. In some embodiments, the substrate 100 is a silicon wafer. The substrate 100 may include silicon or another elementary semiconductor material such as germanium. In some other embodiments, the substrate 100 includes a compound semiconductor. The compound semiconductor may include gallium arsenide, silicon carbide, indium arsenide, indium phosphide, another suitable compound semiconductor, or a combination thereof.
  • Afterwards, multiple recesses (or trenches) are formed in the substrate 100 to successively form fin structures over the substrate 100, in accordance with some embodiments. As a result, multiple fin structures 100 a are formed between the recesses. As shown in FIGS. 1A and 2A, one of the fin structures 100 a is shown. In some embodiments, one or more photolithography and etching processes are used to form the fin structures 100 a between the recesses.
  • Isolation features 104 are then formed in the recesses to surround lower portions of the fin structures 100 a, as shown in FIGS. 1A and 2A in accordance with some embodiments. The isolation features 104 are used to define and electrically isolate various device elements formed in and/or over the substrate 100. In some embodiments, the isolation features 104 include shallow trench isolation (STI) features, local oxidation of silicon (LOCOS) features, other suitable isolation features, or a combination thereof. The insulating layer for the formation of the isolation features 104 may be made of silicon oxide, silicon nitride, silicon oxynitride, fluorosilicate glass (FSG), low-k dielectric materials, and/or another suitable dielectric material and may be deposited by a flowable chemical vapor deposition (FCVD) process, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, or another applicable process.
  • Afterwards, dummy gate stacks 110 a are formed across the fin structure 100 a over the substrate 100 to cover the isolation features 104 by deposition, photolithography, and etching processes, as shown in FIGS. 1A and 2A in accordance with some embodiments. In such an etching process, a capping feature 101 is used as an etch mask. Each of the dummy gate stacks 110 a includes an optional dummy gate dielectric layer (not shown) and a dummy gate electrode layer 106 formed over the dummy gate dielectric layer and capped by the capping feature 101. The dummy gate dielectric layer and the dummy gate electrode layer 106 may be made of silicon oxide and polysilicon, respectively.
  • Afterwards, multilayer gate spacers are formed on the opposite sidewalls of the dummy gate stacks 110 a, respectively, as shown in FIGS. 1A and 2A in accordance with some embodiments. Each of the multilayer gate spacers includes a gate spacer layer 103 covering the sidewall of the corresponding dummy gate electrode layer 106, a gate spacer layer 105 covering the sidewall of the gate spacer layer 103, and a gate spacer layer 107 covering the sidewall of the gate spacer layer 105, as shown in FIGS. 1A and 2A in accordance with some embodiments. In some embodiments, the gate spacer layers 103, 105, and 107 have a top surface that is substantially level with the top surface of the capping feature 101.
  • In some embodiments, the gate spacer layers 103, 105, and 107 are made of different materials so that their etch selectivity is different. For example, the gate spacer layers 103, 105, or 107 may be made of SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Moreover, the gate spacer layers 103, 105, and 107 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process. In some embodiments, the gate spacer layers 103, 105, and 107 have a width (which is also referred to as critical dimension (CD)) in a range from about 0.5 nm to about 10 nm.
  • After formation of the multilayer gate spacers, source/drain features 112 are formed in and laterally adjacent to the fin structure 100 a, and exposed from the dummy gate stacks 110 a, as shown in FIGS. 1A and 2A in accordance with some embodiments. In some embodiments, each multilayer gate spacer separates the corresponding dummy gate electrode layer 106 from the corresponding source/drain feature 112. In some embodiments, each of the source/drain features 112 (which are also referred to as source/drain regions) is formed by recessing the portions of the fin structure 100 a laterally adjacent to the dummy gate stacks 110 a and growing semiconductor materials in the formed recesses in the fin structure 100 a by epitaxial (epi) growth processes. For example, the semiconductor device structure 10 may be an NMOS device, and the source/drain structure 120 may include Si, SiP, SiC, SiPC, or an III-V group semiconductor material (such as InP, GaAs, AlAs, InAs, InAlAs, or InGaAs), or the like. Alternatively, the semiconductor device structure 10 may be a PMOS device, and the source/drain feature 120 may include Si, SiGe, SiGeB, or an III-V group semiconductor material (such as InSb, GaSb, or InGaSb), or the like. The source/drain features 112 protrude above the isolation feature 104, as shown in FIG. 1A. For example, the source/drain features 112 have a height (which is also referred to as thickness) in a range from about 1 nm to about 30 nm.
  • In some embodiments, after the source/drain features 112 are formed, optional capping features 114 are formed to cover the source/drain features 112, respectively. The capping feature 114 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Moreover, the capping features 114 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process. The formed capping features 114 may have a height (i.e., thickness) less than or equal to about 10 nm.
  • Afterward, the gate spacer layers 107 are recessed, as shown in FIGS. 1B and 2B in accordance with some embodiments. In some embodiments, the gate spacer layers 107 are recessed by an etching process (e.g., a dry etching process) using the capping features 114 as an etch stop layer. After the etching process, the remaining gate spacer layers 107 have a top surface that is substantially level with the uppermost surface of the capping features 107.
  • Afterward, the gate spacer layers 105 and the gate spacer layer 103 are successively or simultaneously recessed, as shown in FIGS. 1C and 2C in accordance with some embodiments. In some embodiments, the gate spacer layers 105 and the gate spacer layer 103 are recessed by one or more etching processes using the capping features 114 as an etch stop layer. After the gate spacer layers 105 and the gate spacer layer 103 are recessed, the sidewalls of each capping feature 101 and each dummy gate electrode layer 106 are exposed. The remaining gate spacer layer 105 and the remaining gate spacer layer 103 have a top surface that is substantially level with the top surface of the remaining gate spacer layer 107. Moreover, the remaining gate spacer layers 103, 105, and 107 form a first gate spacer structure that has a top surface below the top surface of the dummy gate electrode layer 106.
  • After the gate spacer layers 103, 105, and 107 are recessed, spacer layers 113 are formed to cover the exposed sidewalls of each capping feature 101 and each dummy gate electrode layer 106, respectively, as shown in FIGS. 1D and 2D in accordance with some embodiments. In some embodiments, the gate spacer layer 113 is formed directly over and in direct contact with the corresponding remaining gate spacer layer 103 and has a top surface that is substantially level with the top surface of the capping feature 101. The gate spacer layers 113 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Moreover, the gate spacer layers 113 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process. In some embodiments, the gate spacer layers 113 have a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) in a range from about 1 nm to about 30 nm.
  • Afterward, spacer layers 115 are formed to cover the sidewalls of the gate spacer layer 113, respectively, as shown in FIGS. 1E and 2E in accordance with some embodiments. In some embodiments, the gate spacer layer 115 is formed directly over and in direct contact with the corresponding remaining gate spacer layer 105 and has a top surface that is substantially level with the top surface of the gate spacer layer 113.
  • In some embodiments, the gate spacer layers 115 are made of a material that is different from that of the gate spacer layer 113 so that they have a different etch selectivity. The gate spacer layers 115 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Similarly, the gate spacer layers 115 may be formed by a deposition process that is the same or similar to the deposition process for forming the gate spacer layer 113. In some embodiments, the gate spacer layers 115 have a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) in a range from about 1 nm to about 30 nm.
  • After the gate spacer layer 115 is formed, an insulating liner layer 117 and an insulating layer 120 are successively formed over the substrate 100 to cover the isolation feature 104 and the capping features 114, as shown in FIGS. 1F and 2F in accordance with some embodiments. In some embodiments, the insulating liner layer 117 conformally covers the top surface of each capping feature 101, the sidewall of each gate spacer layer 115 and the top surface of each capping feature 114. Moreover, the insulating layer 120 covers the insulating liner layer 117. Afterwards, a polishing process, such as a chemical mechanical polishing (CMP) process, is performed to remove the excess insulating layer 120 and the excess insulating liner layer 117 above the capping feature 101 a, as shown in FIGS. 1F and 2F in accordance with some embodiments. The remaining insulating liner layer 117 is formed for serving as a gate spacer layer 117 a (indicated in FIG. 2J) after subsequent etching process. In other words, the remaining insulating liner layer 117, and the gate spacers 113 and 115 form a multilayer gate spacer. In some embodiments, the insulating liner layer 117 is made of a different material than the gate spacer layer 115 so that it may have a different etch selectivity. Alternatively, the insulating liner layer 117 may be made of the same material as the gate spacer layer 113. For example, the insulating liner layer 117 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Similarly, the insulating liner layer 117 may be formed by a deposition process that is the same or similar to the deposition process used for forming the gate spacer layer 113 or 115. In some embodiments, the remaining insulating liner layer 117 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a top surface that is substantially level with the top surface of the gate spacer layer 115.
  • The remaining insulating layer 120 may serve as an interlayer dielectric (ILD) layer. In some embodiments, the insulating layer 120 is a single layer made of silicon oxide, tetraethyl orthosilicate (TEOS), phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate Glass (BPSG), fluorosilicate glass (FSG), undoped silicate glass (USG), or the like. The insulating layer 120 is deposited using any suitable method, such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, flowable CVD (FCVD) process, the like, or a combination thereof.
  • After the polishing process is performed, each of the dummy gate stacks 110 a is replaced by an active gate stack, as shown in FIGS. 2G to 21 in accordance with some embodiments. In some embodiments, the capping features 101 and the underlying dummy gate stacks 110 a are successively removed by etching, so as to form trench openings 122, as shown in FIG. 2G. Afterwards, the an active gate stack fills each of the trench openings 122, as shown in FIGS. 2H and 21 in accordance with some embodiments. Each of the active gate stacks includes a gate dielectric layer 124, a gate electrode layer 126, and a capping feature 130.
  • The gate dielectric layer 124 may be made of a high-K dielectric material. Examples of high-K dielectric materials include hafnium oxide, zirconium oxide, aluminum oxide, silicon oxynitride, hafnium dioxide-alumina alloy, hafnium silicon oxide, hafnium silicon oxynitride, hafnium tantalum oxide, hafnium titanium oxide, hafnium zirconium oxide, another suitable high-K material, or a combination thereof. In some embodiments, the gate electrode layer 126 is made of a conductive material, such as copper, tungsten, aluminum, titanium, tantalum, or another applicable material.
  • Each of the active gate stacks may further include a work functional metal layer (not shown) between the gate dielectric layer 124 and the gate electrode layer 126. The work function metal layer may be made of TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, or a combination thereof. Alternatively, the work function metal layer may be made of Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, or a combination thereof.
  • Afterwards, the gate dielectric layer 124 and the gate electrode layer 126 are recessed to form recessing openings (not shown), and each of the recessing openings is filled with the capping feature 130, as shown in FIG. 21 in accordance with some embodiments. For example, an insulating or semiconductor layer (not shown) is formed over the insulating layer 120 and fills the recessing openings. Afterwards, a polishing process, such as a chemical mechanical polishing (CMP) process, is performed to remove the excess insulating or semiconductor layer above the insulating layer 120. As a result, the remaining insulating or semiconductor layer forms the capping features 130 respectively in the recessing openings.
  • The capping feature 130 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable material. The capping feature 130 may be formed by performing a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, low-pressure CVD (LPCVD) process, an atomic layer deposition (ALD) process, or another applicable process.
  • The top surfaces of the capping features 130 are substantially level with the top surface of the insulating layer 120, as shown in FIG. 21. The capping features 130 may serve as etch masks and protect the gate dielectric layer 124 and the gate electrode layer 126 from damage in the subsequent manufacturing processes (e.g., etching processes). In some embodiments, the capping features 130 have a thickness (i.e., height) in a range from about 1 nm to about 30 nm and a top surface that is substantially level with the top surface of the gate spacer layers 113 and 115, and the insulating liner layer 117.
  • After each of the recessed gate electrode layers 126 is capped with the capping feature 130, portions of the insulating layer 120, the insulating liner layer 117, and the capping feature 114 above each of the source/drain features 112 are removed, as shown in FIG. 2J in accordance with some embodiments. In some embodiments, the portions of the insulating layer 120, the insulating liner layer 117, and the capping feature 114 above each of the source/drain features 112 are successively removed by one or more etching processes, so as to form contact openings 132. Each of the contact openings 132 exposes a portion of the corresponding source/drain features 112. The remaining insulating liner layer 117 forms a gate spacer layer 117 a adjacent to the corresponding gate spacer layer 115, so that the gate spacer layers 113, 115, and 117 a form a multilayer gate spacer. Moreover, the remaining capping feature 114 may form a portion of the first gate spacer structure. In the contact opening 132, a conductive contact structure (which is also referred to as a source/drain contact structure) is formed therein in the subsequent manufacturing processes, so that the first gate spacer structure formed over the substrate 100 continuously extends from the sidewall of the corresponding active gate stack (e.g., the sidewall of the gate dielectric layer 124) to a sidewall of the corresponding conductive contact structure.
  • Afterwards, a deposition process is performed on the structure in FIG. 2J to form a conformal metal layer (not shown) over a sidewall and the bottom of each of the contact openings 132 in accordance with some embodiments. The conformal metal layer may be formed of Ti, Al, Zr, Hf, Ta, Co, Ni, Y, and may be formed by, for example, a CVD, ALD or electrochemical plating (ECP) process. Afterwards, an annealing process may be performed at a temperature of about 350° C. to about 500° C. While the annealing process is being performed, the conformal metal layer reacts with the exposed source/drain features 112, so as to form a metal silicide or germanide layer 136 on each of the exposed the source/drain features 112. The metal silicide or germanide layer 136 is employed to reduce the contact resistance between the source/drain features 112 and the subsequently formed conductive contact structure.
  • Afterwards, each of the remaining contact openings 132 is filled with a conductive contact structure 140 laterally adjacent to the corresponding active gate stack to serve as a source/drain contact structure, as shown in FIG. 2K in accordance with some embodiments. For example, a metal layer (not shown) is formed over the gate spacer layers 113, 115, and 117 a and the capping features 130 and fills the remaining contact openings 132. Afterwards, a polishing process, such as a chemical mechanical polishing (CMP) process, is performed to remove the excess metal layer above the gate spacer layers 113, 115, and 117 a and the capping features 130. As a result, the remaining metal layers form the conductive contact structures 140 respectively in the remaining contact openings 132, so as to electrically connect the corresponding source/drain features 112, respectively, via the corresponding metal silicide or germanide layer 136.
  • The conductive contact structure 140 may be made of W, Co, Ru, Ti, Ni, Cu, Au, Ag, Pt, Pd, Ir, Os, Rh, Al, Mo, or another applicable metal material. The conductive contact structure 140 may be formed by performing a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, low-pressure CVD (LPCVD) process, an atomic layer deposition (ALD) process, or another applicable process. In some other embodiments, a metal barrier layer (not shown) is conformally formed on the bottom and sidewalls of each remaining contact openings 132 prior to the formation of the conductive contact structure 140. The metal barrier layer may be made of Ti, Ta, TiN, TaN, W, Co, Ru, or a combination thereof, or another applicable metal material. In some embodiments, the metal barrier layer has a thickness that is less than or substantially equal to 10 nm.
  • Afterwards, the metal barrier layer (if presented) and conductive contact structure 140 are recessed to form recessing openings (not shown), and each of the recessing openings is filled with an optional capping feature 142, as shown in FIG. 2L in accordance with some embodiments. In some embodiments, the method and the material used in the optional capping feature 142 is the same as or similar to those of the capping feature 130. For example, the optional capping feature 142 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable material. The top surfaces of the optional capping features 142 are substantially level with the top surfaces of the capping features 130 and the gate spacer layers 113, 115, and 170 a. The optional capping feature 142 may serve as etch masks and protect the metal barrier layer (if presented) and conductive contact structure 140 from damage in the subsequent manufacturing processes (e.g., etching processes). In some embodiments, the capping features 130 has a thickness (i.e., height) less than or substantially equal to 30 nm.
  • After each of the recessed conductive contact structures 140 is capped with the capping feature 142, an opening 154 is formed in each of the multilayer gate spacer (which includes the gate spacer layers 113, 115, and 117 a to expose a portion of the first gate spacer structure (which includes the remaining gate spacer layers 103, 105, and 107 and the remaining capping feature 114), as shown in FIGS. 2M to 2O in accordance with some embodiments. In some embodiments, the gate spacer layers 115 are recessed, so that openings 150 are formed above the recessed gate spacer layers 115, respectively, as shown in FIG. 2M. In some embodiments, the openings 150 are formed by removing the upper portion of the gate spacer layers 115 using an etching process (e.g., a dry etching process). The etching process is performed using an fluorine (F)-based gas, a chlorine (Cl)-based gas, or a combination thereof as a process gas. Moreover, the process temperature for etching is less than or substantially equal to about 200° C. In addition, the process pressure for etching is in a range from about 0.1 Torr to about 10 Torr.
  • Afterwards, openings 152 are formed above the recessed gate spacer layers 115, respectively, as shown in FIG. 2N in accordance with some embodiments. In some embodiments, the exposed sidewall of gate spacer layers 113 and 117 a above the recessed gate spacer layers 115 and the top surface of the recessed gate spacer layers 115 are partially etched, so that openings 150 are widened to form openings 152 above the recessed gate spacer layers 115. In some embodiments, the openings 152 are formed using an etching process (e.g., a dry etching process). The etching process is performed using an F-based gas, a Cl-based gas, or a combination thereof as a process gas. Moreover, the process temperature for etching is less than or substantially equal to about 200° C. In addition, the process pressure for etching is in a range from about 0.1 Torr to about 10 Torr.
  • Afterwards, the remaining gate spacer elements 115 respectively under the openings 152 are entirely removed and the sidewalls of the openings 154 are partially removed to form the openings 154, as shown in FIG. 2O in accordance with some embodiments. In some embodiments, the opening 154 that exposes a portion of the first gate spacer structure are formed using an etching process (e.g., a dry etching process). The etching process is performed using an F-based gas, a Cl-based gas, or a combination thereof as a process gas. Moreover, the process temperature for etching is less than or substantially equal to about 200° C. In addition, the process pressure for etching is in a range from about 0.1 Torr to about 10 Torr. In some embodiments, the top width of the upper portion of the opening 154 is greater than the top width of the lower portion of the opening 154, so that the opening 154 has a T-shaped cross-sectional contour, as shown in FIG. 2O. Moreover, the upper portion of the opening 154 and the lower portion of the opening 154 may have tapered sidewalls.
  • After the openings 154 are formed, the upper portion of each of the openings 154 is capped with an insulating sealing layer 156 to form air gap 160, as shown in FIG. 2P in accordance with some embodiments. In some embodiments, the insulating sealing layer 156 is formed to separate the upper portion of the corresponding gate spacer layer 113 from the upper portion of the corresponding gate spacer layer 117 a, so as to define the air gap 160 separating the lower portion of the corresponding gate spacer layer 113 from the lower portion of the corresponding gate spacer layer 117 a. Namely, the air gap 160 is formed between the corresponding gate spacer layer 113 and the corresponding gate spacer layer 117 a and between the insulating sealing layer 156 and the first gate spacer structure. The insulating sealing layer 156, the corresponding gate spacer layer 113 and 117 a, and the corresponding air gap 160 form a second gate spacer structure. Therefore, the insulating sealing layer 156 may be referred to as a gate spacer layer and the air gap 160 may be referred to as an air spacer. The insulating sealing layer 156 may be made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Moreover, the insulating sealing layer 156 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process. In some embodiments, the insulating sealing layer 156 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) not less than about 1 nm and less than about 30 nm.
  • In some embodiments, the air gap 160 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a height in a range from about 1 nm to about 30 nm. In some embodiments, the first gate spacer structure and the second gate spacer structure are made of different materials and have different dielectric constant (k). In some embodiments, the first gate spacer structure has a dielectric constant (k) higher than that of the second gate spacer structure due to the existence of the air gap 160 in the second gate spacer structure.
  • Compared to a single type gate spacer structure between the active gate stack and the dielectric constant (k) structure 140, the dielectric constant of the first and second gate spacer structures can be adjusted by the selection of the materials thereof, thereby lowering the dielectric constant (k) of the first and second gate spacer structures. As a result, the parasitic capacitance between the gate electrode layer of the active gate stack and the corresponding conductive contact structure(s).
  • In some embodiments, the insulating sealing layer (or the gate spacer layer) 156 has a wider top width W1 than the top width W2 of the air gap 160, so that the air gap 160 is easier to form. In some embodiments, the insulating sealing layer 156 has a seam 156 a formed therein, thereby lowering further the dielectric constant (k) of the second gate spacer structure.
  • Many variations and/or modifications can be made to embodiments of the disclosure. FIG. 3 shows a cross-sectional representation of a semiconductor device structure 20, in accordance with some embodiments. The semiconductor device structure 20 shown in FIG. 3 is similar to the semiconductor device structure 10 shown in FIG. 2P. In some embodiments, the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 3, and are therefore not repeated.
  • Unlike the single insulating sealing layer 156 in the semiconductor device structure 10 shown in FIG. 2P, the semiconductor device structure 20 includes multiple insulating layers to serves as a multilayer insulating sealing structure, in accordance with some embodiments. In some embodiments, the second gate spacer structure further includes another gate spacer layer (i.e., insulating sealing layer 170) formed in the insulating sealing layer 156. In some embodiments, the bottom and the sidewalls of the insulating sealing layer 170 are surrounded by the insulating sealing layer 156. In some embodiments, the insulating sealing layer 170 and the insulating sealing layer 156 are made of different materials. For example, the insulating sealing layer 170 is made of Si, SiO, SiN, SiC, SiCN, SiOC, SiON, SiCN, SiOCN, ZrO, HfO2, AlO, AlON, or a high-k material or another applicable dielectric material. Moreover, the insulating sealing layer 170 may be formed by a deposition process, such as such as a chemical vapor deposition (CVD) process, a plasma enhanced CVD (PECVD) process, an atomic layer deposition (ALD) process, or another applicable deposition process. In some embodiments, the insulating sealing layer 170 has a width (i.e., CD) in a range from about 0.5 nm to about 10 nm and a thickness (i.e., height) less than that of the insulating sealing layer.
  • Many variations and/or modifications can be made to embodiments of the disclosure. FIG. 4 shows a cross-sectional representation of a semiconductor device structure 30, in accordance with some embodiments. The semiconductor device structure 30 shown in FIG. 4 is similar to the semiconductor device structure 20 shown in FIG. 3 and the semiconductor device structure 10 shown in FIG. 2P. In some embodiments, the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 4, and are therefore not repeated.
  • Unlike the insulating sealing layer 170 in the semiconductor device structure 20 shown in FIG. 3 and similar to insulating sealing layer 156 in the semiconductor device structure 10 shown in FIG. 2P, the insulating sealing layer 170 in the semiconductor device structure 30 shown in FIG. 4 has a seam 170 a formed therein, in accordance with some embodiments. The dielectric constant (k) of the second gate spacer structure can be lowered further via the seam 170 a.
  • Many variations and/or modifications can be made to embodiments of the disclosure. FIG. 5 shows a cross-sectional representation of a semiconductor device structure 40, in accordance with some embodiments. The semiconductor device structure 40 shown in FIG. 5 is similar to the semiconductor device structure 10 shown in FIG. 2P. In some embodiments, the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 5, and are therefore not repeated.
  • Unlike the semiconductor device structure 10 shown in FIG. 2P, the insulating sealing layer 156 in the semiconductor device structure 40 shown in FIG. 5 further extends in the corresponding air gap 160 to conformally cover the bottom and sidewalls of the air gap 160, in accordance with some embodiments. In those cases, the air gap 160 is entirely sealed by the insulating sealing layer 156 with a conformal liner portion. The conformal liner portion may have a thickness that is in a range from about 0.5 nm to about 5 nm.
  • Many variations and/or modifications can be made to embodiments of the disclosure. FIG. 6 shows a cross-sectional representation of a semiconductor device structure 50, in accordance with some embodiments. The semiconductor device structure 50 shown in FIG. 5 is similar to the semiconductor device structure 20 shown in FIG. 3, the semiconductor device structure 40 shown in FIG. 5, and the semiconductor device structure 10 shown in FIG. 2P. In some embodiments, the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 6, and are therefore not repeated.
  • Unlike the semiconductor device structure 20 shown in FIG. 3, the top of the air gap 160 in the semiconductor device structure 50 shown in FIG. 6 is capped by and in direct contact with the insulating sealing layer 170, in accordance with some embodiments. In addition, similar to insulating sealing layer 156 in the semiconductor device structure 40 shown in FIG. 5, the insulating sealing layer 156 in the semiconductor device structure 50 shown in FIG. 6 also extends in the corresponding air gap 160 to conformally cover the bottom and sidewalls of the air gap 160, in accordance with some embodiments. In those cases, the air gap 160 is entirely sealed by the insulating sealing layer 156 with a conformal liner portion.
  • Many variations and/or modifications can be made to embodiments of the disclosure. FIG. 7 shows a cross-sectional representation of a semiconductor device structure 60, in accordance with some embodiments. The semiconductor device structure 60 shown in FIG. 7 is similar to the semiconductor device structure 50 shown in FIG. 6 and the semiconductor device structure 10 shown in FIG. 2P. In some embodiments, the materials, formation methods, and/or benefits of the semiconductor device structure 10 shown in FIGS. 2A to 2P may also be applied in the embodiments illustrated in FIG. 7, and are therefore not repeated.
  • Unlike the insulating sealing layer 170 in the semiconductor device structure 50 shown in FIG. 6, the insulating sealing layer 170 in the semiconductor device structure 60 shown in FIG. 7 has a seam 170 a formed therein, in accordance with some embodiments. The dielectric constant (k) of the second gate spacer structure can be lowered further via the seam 170 a.
  • Embodiments of methods for forming a semiconductor device structure are provided. The semiconductor device structure may include a gate stack and a source/drain contact structure over a fin structure of the semiconductor substrate. The formation of the semiconductor device structure includes forming a first gate spacer structure and a second gate spacer structure over the first gate spacer structure are successively formed between the gate stack and the source/drain contact structure. During the formation of the second gate spacer structure, a first gate spacer layer is formed adjacent to the sidewall of the gate stack, a second gate spacer layer is formed adjacent to the sidewall of the conductive contact structure, and a third gate spacer layer is formed to separate an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap that separates a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer. As a result, the air gap reduces the dielectric constant (k) of the second gate spacer structure, thereby reducing the parasitic capacitance between the source/drain contact structure and the gate electrode layer of the gate stack. In addition, since the second gate spacer structure can be formed with a material that is different form a material of the first gate spacer structure, the total dielectric constant (k) of the first and second gate spacer structures can be lowered further. Therefore, the device performance can be effectively increased.
  • In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a gate stack formed over a semiconductor substrate and a source/drain contact structure formed over the semiconductor substrate and laterally adjacent to the gate stack. The semiconductor device structure also includes a first gate spacer structure formed over the semiconductor substrate and continuously extending from a sidewall of the gate stack to a sidewall of the source/drain contact structure. In addition, the semiconductor device structure includes a second gate spacer structure formed over the first gate spacer structure and interposed between the sidewall of gate stack and the sidewall of the source/drain contact structure. The second gate spacer structure includes a first gate spacer layer adjacent to the sidewall of the gate stack, a second gate spacer layer adjacent to the sidewall of the source/drain contact structure, and a third gate spacer layer separating the first gate spacer layer from the second gate spacer layer. An air gap is formed between the first gate spacer layer and the second gate spacer layer and between the third gate spacer layer and the first gate spacer structure.
  • In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a fin structure over a semiconductor substrate and a gate stack across the fin structure. The gate stack includes a gate electrode layer, a gate dielectric layer surrounding a bottom and sidewalls of the gate electrode layer, and a first capping feature covering the gate electrode layer and the gate dielectric layer. The semiconductor device structure also includes a source/drain feature adjacent to the fin structure and a conductive contact structure formed over and electrically connected to the source/drain feature. In addition, the semiconductor device structure includes a first gate spacer structure separating the gate dielectric layer from the source/drain feature, and a second gate spacer structure formed over the first gate spacer structure and interposed between a sidewall of gate stack and a sidewall of the conductive contact structure. The second gate spacer structure includes a first gate spacer layer adjacent to the sidewall of the gate stack, a second gate spacer layer adjacent to the sidewall of the conductive contact structure, and a third gate spacer layer separating an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap separating a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer.
  • In some embodiments, a method for forming a semiconductor device structure is provided. The method includes forming a dummy gate electrode layer and a first multilayer gate spacer over a fin structure of a semiconductor substrate. The first multilayer gate spacer separates the dummy gate electrode layer from a source/drain feature over the semiconductor substrate. The method also includes recessing the first multilayer gate spacer, so as to form a first gate spacer structure that has a top surface below a top surface of the dummy gate electrode layer. The method also includes replacing the dummy gate electrode layer with an active gate electrode layer, and forming a second multilayer gate spacer over the first gate spacer structure and adjacent to the active gate electrode layer. In addition, the method includes forming a conductive contact structure over and electrically connected to the source/drain feature, and forming an opening in the second multilayer gate spacer to expose a portion of the first gate spacer structure. The method also includes capping an upper portion of the opening with an insulating sealing layer to form a second gate spacer structure over the first gate spacer structure. A lower portion of the opening defines an air gap in the second gate spacer structure. The second gate spacer structure includes the insulating sealing layer and the air gap. The first gate spacer structure has a dielectric constant different from a dielectric constant of the second gate spacer structure.
  • The fins described above may be patterned by any suitable method. For example, the fins may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the fins.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (21)

1. A semiconductor device structure, comprising:
a gate stack formed over a semiconductor substrate;
a source/drain contact structure formed over the semiconductor substrate and laterally adjacent to the gate stack;
a first gate spacer structure formed over the semiconductor substrate and continuously extending from a sidewall of the gate stack to a sidewall of the source/drain contact structure; and
a second gate spacer structure formed over the first gate spacer structure and interposed between the sidewall of gate stack and the sidewall of the source/drain contact structure;
wherein the second gate spacer structure comprises:
a first gate spacer layer adjacent to the sidewall of the gate stack;
a second gate spacer layer adjacent to the sidewall of the source/drain contact structure; and
a third gate spacer layer separating the first gate spacer layer from the second gate spacer layer, wherein an air gap is formed between the first gate spacer layer and the second gate spacer layer and has a top below a top surface of the gate electrode layer in the gate stack.
2. The semiconductor device structure as claimed in claim 1, wherein the third gate spacer layer has a top width that is greater than a top width of the air gap.
3. The semiconductor device structure as claimed in claim 1, wherein the second gate spacer structure further comprises a fourth gate spacer layer formed in the third gate spacer layer.
4. The semiconductor device structure as claimed in claim 3, wherein the third gate spacer layer extends in the air gap to conformally cover a bottom and sidewalls of the air gap.
5. The semiconductor device structure as claimed in claim 3, wherein the fourth gate spacer layer has a seam formed therein.
6. The semiconductor device structure as claimed in claim 3, wherein a bottom and sidewalls of the fourth gate spacer layer are surrounded by the third gate spacer layer.
7. The semiconductor device structure as claimed in claim 1, wherein the third gate spacer layer extends in the air gap to conformally cover a bottom and sidewalls of the air gap.
8. The semiconductor device structure as claimed in claim 1, wherein the third gate spacer layer has a seam formed therein.
9. The semiconductor device structure as claimed in claim 1, wherein the first gate spacer structure has a dielectric constant that is different from a dielectric constant of the second gate spacer structure.
10. A semiconductor device structure, comprising:
a fin structure over a semiconductor substrate;
a gate stack across the fin structure, comprising:
a gate electrode layer;
a gate dielectric layer surrounding a bottom and sidewalls of the gate electrode layer; and
a first capping feature covering the gate electrode layer and the gate dielectric layer;
a source/drain feature adjacent to the fin structure;
a conductive contact structure formed over and electrically connected to the source/drain feature;
a first gate spacer structure separating the gate dielectric layer from the source/drain feature; and
a second gate spacer structure formed over the first gate spacer structure and interposed between a sidewall of gate stack and a sidewall of the conductive contact structure;
wherein the second gate spacer structure comprises:
a first gate spacer layer adjacent to the sidewall of the gate stack;
a second gate spacer layer adjacent to the sidewall of the conductive contact structure; and
a third gate spacer layer separating an upper portion of the first gate spacer layer from an upper portion of the second gate spacer layer, so as to define an air gap separating a lower portion of the first gate spacer layer from a lower portion of the second gate spacer layer,
wherein the first gate spacer structure has a dielectric constant that is higher than a dielectric constant of the second gate spacer structure.
11. The semiconductor device structure as claimed in claim 10, wherein the third gate spacer layer has a top width that is greater than a top width of the air gap.
12. The semiconductor device structure as claimed in claim 10, wherein the second gate spacer structure further comprises a fourth gate spacer layer formed in the third gate spacer layer.
13. The semiconductor device structure as claimed in claim 12, wherein the third gate spacer layer extends in the air gap to conformally cover a bottom and sidewalls of the air gap.
14. The semiconductor device structure as claimed in claim 10, wherein the third gate spacer layer extends in the air gap to conformally cover a bottom and sidewalls of the air gap.
15-20. (canceled)
21. A semiconductor device structure, comprising:
a gate electrode layer formed over a fin structure over semiconductor substrate;
a gate dielectric layer comprising a first portion formed between the fin structure and the gate electrode layer and a second portion extending along a sidewall of the gate electrode layer and;
a first gate spacer structure formed over the fin structure and adjoining to the first portion of the gate dielectric layer; and
a second gate spacer structure extending from an upper surface of the first gate spacer structure, comprising:
a first gate spacer layer adjoining to and extending along the second portion of the gate dielectric layer;
a second gate spacer layer opposite and spaced apart from the first gate spacer layer; and
a third gate spacer layer adjoining between an upper portion of the first gate spacer layer and an upper portion of the second gate spacer layer, wherein top surfaces of the first, second, and third gate spacer layers are substantially level with each other.
22. The semiconductor device structure as claimed in claim 21,
wherein the third gate spacer layer conformally extends along a sidewall of the first gate spacer layer and a sidewall of the second gate spacer layer to the upper surface of the first gate spacer structure.
23. The semiconductor device structure as claimed in claim 22, wherein the third gate spacer layer has a seam formed therein.
24. The semiconductor device structure as claimed in claim 21, wherein the second gate spacer structure further comprises a fourth gate spacer layer formed in the third gate spacer layer.
25. The semiconductor device structure as claimed in claim 24, wherein the third gate spacer layer conformally extends along a sidewall of the first gate spacer layer and a sidewall of the second gate spacer layer to the upper surface of the first gate spacer structure, and wherein the fourth gate spacer layer has a seam formed therein.
26. The semiconductor device structure as claimed in claim 10, wherein a top surface of the third gate spacer is substantially level with a top surface of the first capping feature.
US16/571,715 2019-09-16 2019-09-16 Gate spacer with air gap for semiconductor device structure and method for forming the same Active US10937884B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/571,715 US10937884B1 (en) 2019-09-16 2019-09-16 Gate spacer with air gap for semiconductor device structure and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/571,715 US10937884B1 (en) 2019-09-16 2019-09-16 Gate spacer with air gap for semiconductor device structure and method for forming the same

Publications (2)

Publication Number Publication Date
US10937884B1 US10937884B1 (en) 2021-03-02
US20210083067A1 true US20210083067A1 (en) 2021-03-18

Family

ID=74683086

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/571,715 Active US10937884B1 (en) 2019-09-16 2019-09-16 Gate spacer with air gap for semiconductor device structure and method for forming the same

Country Status (1)

Country Link
US (1) US10937884B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11205647B2 (en) * 2019-06-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20220359683A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (finfet) device structure and method for forming the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11380794B2 (en) * 2020-05-08 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having contact plugs with re-entrant profile

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10510860B2 (en) * 2017-08-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10395991B2 (en) * 2017-12-04 2019-08-27 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10573724B2 (en) * 2018-04-10 2020-02-25 International Business Machines Corporation Contact over active gate employing a stacked spacer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11205647B2 (en) * 2019-06-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20220359683A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (finfet) device structure and method for forming the same
US11777004B2 (en) * 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same

Also Published As

Publication number Publication date
US10937884B1 (en) 2021-03-02

Similar Documents

Publication Publication Date Title
US11393717B2 (en) Insulating cap on contact structure and method for forming the same
US11107813B2 (en) Semiconductor device and manufacturing method thereof
US20210202734A1 (en) Contact structure with insulating cap
US10937884B1 (en) Gate spacer with air gap for semiconductor device structure and method for forming the same
US11145719B2 (en) Semiconductor device having a contact
US11837663B2 (en) Via structure with low resistivity and method for forming the same
US11973027B2 (en) Semiconductor device and methods of forming the same
US11715761B2 (en) Semiconductor device with air gap on gate structure and method for forming the same
US11658244B2 (en) Semiconductor device structure
US11201085B2 (en) Semiconductor device structure having air gap and method for forming the same
US20230261068A1 (en) Contact structure with silicide and method for forming the same
US11328990B2 (en) Via structure having a metal hump for low interface resistance
US11646346B2 (en) Contact structure with air spacer for semiconductor device and method for forming the same
US20230369127A1 (en) Semiconductor structure and method for forming the same
US20240038858A1 (en) Semiconductor device structure and methods of forming the same
US20230369201A1 (en) Semiconductor device and method for manufacturing the same
CN115223937A (en) Semiconductor structure and semiconductor device structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WANG, SHENG-TSUNG;HUANG, LIN-YU;CHUANG, CHIA-LIN;AND OTHERS;REEL/FRAME:050387/0069

Effective date: 20190903

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE