US20190341496A1 - Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor - Google Patents

Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor Download PDF

Info

Publication number
US20190341496A1
US20190341496A1 US15/973,043 US201815973043A US2019341496A1 US 20190341496 A1 US20190341496 A1 US 20190341496A1 US 201815973043 A US201815973043 A US 201815973043A US 2019341496 A1 US2019341496 A1 US 2019341496A1
Authority
US
United States
Prior art keywords
semiconductor
nanosheet
semiconductor channel
channel material
nanosheets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/973,043
Other versions
US10468532B1 (en
Inventor
Alexander Reznicek
Xin Miao
Jingyun Zhang
ChoongHyun Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/973,043 priority Critical patent/US10468532B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, CHOONGHYUN, MIAO, Xin, REZNICEK, ALEXANDER, ZHANG, JINGYUN
Priority to US16/560,607 priority patent/US10559692B2/en
Application granted granted Critical
Publication of US10468532B1 publication Critical patent/US10468532B1/en
Publication of US20190341496A1 publication Critical patent/US20190341496A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78609Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76297Dielectric isolation using EPIC techniques, i.e. epitaxial passivated integrated circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02395Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02461Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02581Transition metal or rare earth elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Definitions

  • the present application relates to a semiconductor structure and a method of forming the same. More particularly, the present application relates to a semiconductor structure containing an isolation layer composed of a lattice matched wide bandgap semiconductor material that is present between a substrate and a vertical stack of suspended semiconductor channel material nanosheets.
  • non-planar semiconductor devices such as, for example, semiconductor fin field effect transistors (FinFETs) is the next step in the evolution of complementary metal oxide semiconductor (CMOS) devices.
  • CMOS complementary metal oxide semiconductor
  • nanosheet containing device it is meant that the device contains one or more layers of semiconductor channel material portions having a vertical thickness that is substantially less than its width.
  • Nanosheet formation relies on the selective removal of one semiconductor material relative to another semiconductor material to form suspended nanosheets for gate-all-around devices.
  • the gate wrapping the bottommost semiconductor channel material nanosheet of a vertical stack of suspended semiconductor channel material nanosheets contacts the semiconductor substrate which leads to potential parasitic leakage paths between the source region and the drain region through the semiconductor substrate.
  • a thin layer of lattice matched wide bandgap semiconductor material having semi-insulating properties is employed as an isolation layer between the substrate and a vertical stack of suspended semiconductor channel material nanosheets.
  • the presence of such an isolation layer eliminates the parasitic leakage path between the source region and the drain region that typically occurs through the substrate, while not interfering with the CMOS device that is formed around the semiconductor channel material nanosheets.
  • the semiconductor structure includes a plurality of stacked and suspended semiconductor channel material nanosheets located above an isolation layer that is present on a substrate.
  • the substrate is composed of a first semiconductor material having a first bandgap
  • the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties.
  • a functional gate structure surrounds a portion of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets.
  • a source/drain (S/D) region is present on each side of the functional gate structure and physically contacts sidewalls of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets.
  • the semiconductor structure includes an nFET device region including a plurality of first stacked and suspended semiconductor channel material nanosheets located above a first isolation layer that is present on a substrate, wherein the substrate is composed of a first semiconductor material having a first bandgap, and the first isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and contains a p-type dopant, a first functional gate structure surrounds a portion of each semiconductor channel material nanosheet of the plurality of first stacked and suspended semiconductor channel material nanosheets, and a source/drain (S/D) region is located on each side of the first functional gate structure and physically contacts sidewalls of each semiconductor channel material nanosheet of the plurality of first stacked and suspended semiconductor channel material nanosheets.
  • S/D source/drain
  • the semiconductor structure further includes a pFET device region laterally adjacent to the nFET device region, the pFET device region includes a plurality of second stacked and suspended semiconductor channel material nanosheets located above a second isolation layer that is present on the substrate, wherein the second isolation layer is composed of another second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the another second semiconductor material is lattice matched to the first semiconductor material and contains an n-type dopant, a second functional gate structure surrounds a portion of each semiconductor channel material nanosheet of the plurality of second stacked and suspended semiconductor channel material nanosheets, and a source/drain (S/D) region is located on each side of the second functional gate structure and physically contacts sidewalls of each semiconductor channel material nanosheet of the plurality of second stacked and suspended semiconductor channel material nanosheets.
  • S/D source/drain
  • the method includes forming a nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet and a semiconductor channel material nanosheet on an isolation layer that is disposed on a substrate, wherein a sacrificial gate structure and a dielectric spacer material layer straddle over the nanosheet stack.
  • the substrate that is employed is composed of a first semiconductor material having a first bandgap
  • the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, and further wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties.
  • each of the sacrificial semiconductor material nanosheets are recessed to provide a gap between each of the semiconductor channel material nanosheets, and, thereafter, an inner spacer is formed in each gap.
  • a source/drain (S/D) region is then formed by epitaxial growth of a semiconductor material on physically exposed sidewalls of each semiconductor channel material nanosheet.
  • the sacrificial gate structure and each recessed sacrificial semiconductor material nanosheet are removed, and, thereafter, a functional gate structure is formed around exposed surfaces of each semiconductor channel material nanosheet.
  • FIG. 1 is a cross sectional view of an exemplary semiconductor structure of the present application during an early stage of fabrication and including an isolation layer located on a surface of a substrate, wherein the substrate is composed of a first semiconductor material having a first bandgap, and the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties.
  • FIG. 2 is a cross sectional view of the exemplary semiconductor structure of FIG. 1 after forming a fin structure comprising a vertical stack of alternating layers of a sacrificial semiconductor material layer and a semiconductor channel material layer on a surface of the isolation layer.
  • FIG. 3 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after forming a sacrificial gate structure and a dielectric spacer material layer on a surface of the fin stack.
  • FIG. 4 is a cross sectional view of the exemplary semiconductor structure of FIG. 3 after forming a nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet and a semiconductor channel material nanosheet under the sacrificial gate structure and the dielectric spacer material layer by removing physically exposed portions of the fin stack that are not protected by sacrificial gate structure and the dielectric spacer material layer.
  • FIG. 5 is a cross sectional view of the exemplary semiconductor structure of FIG. 4 after recessing each sacrificial semiconductor material nanosheet and forming an inner dielectric spacer on exposed sidewalls of each recessed sacrificial semiconductor material nanosheet.
  • FIG. 6 is a cross sectional view of the exemplary semiconductor structure of FIG. 5 after forming source/drain (S/D) regions by epitaxial growth of a semiconductor material on physically exposed sidewalls of each semiconductor channel material nanosheet.
  • S/D source/drain
  • FIG. 7 is a cross sectional view of the exemplary semiconductor structure of FIG. 6 after formation of an interlevel dielectric (ILD) material, removing each sacrificial gate structure and each recessed sacrificial semiconductor material nanosheet, and forming a functional gate structure wrapping around a physically exposed surface of each semiconductor channel material nanosheet.
  • ILD interlevel dielectric
  • FIG. 8 is a cross sectional view of another exemplary semiconductor structure of the present application including an nFET device region and a pFET device region that can be prepared utilizing the basic processing steps of the present application.
  • FIG. 1 there is illustrated an exemplary semiconductor structure of the present application during an early stage of fabrication and including an isolation layer 12 located on a surface of a substrate 10 .
  • the isolation layer 12 typically has a thickness from 3 nm to 20 nm.
  • the substrate 10 is composed of a first semiconductor material having a first bandgap
  • the isolation layer 12 is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap.
  • the second semiconductor material that provides the isolation layer 12 is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties.
  • isolation layer 12 may be composed of GaP (lattice constant 5.451 ⁇ ), while the substrate 10 is composed of Si (lattice constant 5.431 ⁇ ).
  • the GaP may be doped with chromium (Cr; Cr doping concentration can be from 1E15 atoms/cm 3 to 1E18 atoms/cm 3 ); the GaP that is doped with Cr has a resistivity that is greater than 1E8 ohm*cm.
  • the nFET region can include an isolation layer composed of GaP doped with Zn (Zn; Zn doping concentration can be from 1E17 atoms/cm 3 to 1E20 atoms/cm 3 ), while the pFET region may include GaP doped with tin (Sn; Sn doping concentration can be from 1E17 atoms/cm 3 to 1E20 atoms/cm 3 ). It should be noted that other p-type dopants can be used with the GaP layer in the nFET device region, while other n-type dopants can be used in the pFET device region.
  • isolation layer 12 may be composed of InP, while substrate 10 is composed of InGaAs.
  • the InP may be doped with iron (Fe; Fe doping concentration is typically about 5E18 atoms/cm 3 ).
  • the isolation layer 12 can be epitaxially grown on the substrate 10 ; the dopant is typically introduced in-situ during the epitaxial growth process itself.
  • the terms “epitaxially growing and/or depositing” and “epitaxially grown and/or deposited” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface.
  • the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed.
  • the isolation layer 12 thus has an epitaxial relationship with the substrate 10 .
  • Examples of various epitaxial growth process apparatuses that can be employed in the present application include, e.g., rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE).
  • RTCVD rapid thermal chemical vapor deposition
  • LEPD low-energy plasma deposition
  • UHVCVD ultra-high vacuum chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • MBE molecular beam epitaxy
  • the temperature for epitaxial deposition typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • the epitaxial growth of the isolation layer 12 can be performed utilizing well known precursor gas or precursor gas mixture. Carrier gases like hydrogen, nitrogen, helium and argon can also be used.
  • FIG. 2 there is illustrated the exemplary semiconductor structure of FIG. 1 after forming a fin structure comprising a vertical stack of alternating layers of a sacrificial semiconductor material layer 14 and a semiconductor channel material layer 16 on a surface of the isolation layer 12 .
  • a single fin structure is described and illustrated, a plurality of fin structures each containing a vertical stack of alternating layers of a sacrificial semiconductor material layer 14 and a semiconductor channel material layer 16 can be formed.
  • each fin structure is orientated parallel to one another.
  • the formation of the fin structure includes forming a semiconductor material stack upon the isolation layer 12 and then patterning the semiconductor material stack to form the fin structure. Patterning may be performed by lithography and etching or any other patterning method known to those skilled in the art including, for example, a sidewall-image transfer (SIT) process.
  • SIT sidewall-image transfer
  • fin structure denotes a continuous structure including a pair of vertical sidewalls that are parallel to each other.
  • a surface is “vertical” if there exists a vertical plane from which the surface does not deviate by more than three times the root mean square roughness of the surface.
  • the fin structure may have a height from 10 nm to 100 nm, a width from 4 nm to 30 nm, and a length from 100 nm to 2000 nm. Other heights and/or widths and/or lengths may also be used as the dimensions of fin structure.
  • the semiconductor material stack ( 14 / 16 ) that is employed in forming the fin structure is composed of alternating layers of the sacrificial semiconductor material layer 14 and the semiconductor channel material layer 16 which alternate one atop the other; the alternating layers of the sacrificial semiconductor material layer 14 and the semiconductor channel material layer 16 are also present in the fin structure
  • the semiconductor material stack includes three sacrificial semiconductor material layers 14 and three semiconductor channel material layers 16 .
  • the semiconductor material stack that can be employed in the present application is not limited to such a semiconductor material stack. Instead, the semiconductor material stack can include any number of sacrificial material layers and corresponding semiconductor channel material layers.
  • Each sacrificial semiconductor material layer 14 is composed of a third semiconductor material which differs in composition from the second semiconductor material that provides isolation layer 12 .
  • each sacrificial semiconductor material layer 14 is composed of a silicon germanium alloy.
  • the third semiconductor material that provides each sacrificial semiconductor material layer 14 can be formed utilizing an epitaxial growth (or deposition process) as defined above.
  • Each semiconductor channel material layer 16 is composed of a fourth semiconductor material that has a different etch rate than the third semiconductor material that provides the sacrificial semiconductor material layers 14 .
  • each semiconductor channel material layer 16 is composed of Si or a III-V compound semiconductor, while each sacrificial semiconductor material layer 14 is composed of a silicon germanium alloy.
  • the fourth semiconductor material that provides each semiconductor channel material layer 16 can be formed utilizing an epitaxial growth (or deposition process) as defined above.
  • the semiconductor material stack can be formed by sequential epitaxial growth of alternating layers of the third semiconductor material and the fourth semiconductor material.
  • the sacrificial semiconductor material layers 14 may have a thickness from 5 nm to 12 nm, while the semiconductor channel material layers 16 may have a thickness from 5 nm to 12 nm.
  • Each sacrificial semiconductor material layer 14 may have a thickness that is the same as, or different from, a thickness of each semiconductor channel material layer 16 .
  • FIG. 3 there is illustrated the exemplary semiconductor structure of FIG. 2 after forming a sacrificial gate structure 18 and a dielectric spacer material layer 20 on a surface of the fin structure ( 14 , 16 ).
  • two sacrificial gate structures 18 are shown by way of one example.
  • the present application is not limited to forming two sacrificial gate structures 18 , but instead contemplates embodiments in which a single sacrificial gate structure or three or more sacrificial gate structures are formed on the fin structure.
  • Each sacrificial gate structure 18 that is formed is located on a first side and a second side of the fin structure (not shown), and spans across a topmost surface of a portion of the fin structure. Each sacrificial gate stack 18 thus straddles over a portion of the fin structure.
  • a dielectric spacer material layer 20 is present on the exposed surfaces of each sacrificial gate structure 18 .
  • Each sacrificial gate structure 18 may include a single sacrificial material or a stack of two or more sacrificial materials (i.e., each sacrificial gate structure 18 includes at least one sacrificial material).
  • the at least one sacrificial material comprises, from bottom to top, a sacrificial gate dielectric material, a sacrificial gate material and a sacrificial dielectric cap.
  • the sacrificial gate dielectric material and/or the sacrificial dielectric cap can be omitted and only a sacrificial gate material is formed.
  • the at least one sacrificial material can be formed by forming a blanket layer (or layers) of a material (or various materials) and then patterning the material (or various materials) by lithography and an etch.
  • the at least one sacrificial material can be formed by first depositing a blanket layer of a sacrificial gate dielectric material.
  • the sacrificial gate dielectric material can be an oxide, nitride, and/or oxynitride.
  • the sacrificial gate dielectric material can be a high k material having a dielectric constant greater than silicon dioxide.
  • a multilayered dielectric structure comprising different dielectric materials, e.g., silicon dioxide, and a high k dielectric can be formed and used as the sacrificial gate.
  • the sacrificial gate dielectric material can be formed by any deposition technique including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition.
  • a blanket layer of a sacrificial gate material can be formed on the blanket layer of sacrificial gate dielectric material.
  • the sacrificial gate material can include any material including, for example, polysilicon, amorphous silicon, an elemental metal (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least two elemental metals or multilayered combinations thereof.
  • the sacrificial gate material can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, atomic layer deposition (ALD) or other like deposition processes.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • a blanket layer of a sacrificial gate cap material can be formed.
  • the sacrificial gate cap material may include a hard mask material such as, for example, silicon dioxide and/or silicon nitride.
  • the sacrificial gate cap material can be formed by any suitable deposition process such as, for example, chemical vapor deposition or plasma enhanced chemical vapor deposition.
  • lithography and etching can be used to pattern the sacrificial material stack (or any subset of the sacrificial materials) and to provide each sacrificial gate structure 18 .
  • the dielectric spacer material layer 20 can be formed by deposition of a dielectric spacer material and then etching the dielectric spacer material.
  • a dielectric spacer material that may be employed in the present application is silicon nitride.
  • the deposition process that can be employed in providing the dielectric spacer material includes, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or physical vapor deposition (PVD).
  • the etch used to etch the deposited dielectric spacer material may comprise a dry etching process such as, for example, reactive ion etching.
  • FIG. 4 there is illustrated the exemplary semiconductor structure of FIG. 3 after forming a nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet 14 NS and a semiconductor channel material nanosheet 16 NS under the sacrificial gate structure 18 and the dielectric spacer material layer 20 by removing physically exposed portions of the fin structure that are not protected by sacrificial gate structure 18 and the dielectric spacer material layer 20 .
  • the removal of the physically portions of the fin structure not covered by the sacrificial gate structure 18 and the dielectric spacer material layer 20 can be performed utilizing an anisotropic etching process such as, for example, reactive ion etching (RIE).
  • RIE reactive ion etching
  • Portions of the fin structure remain beneath the sacrificial gate structure 18 and the dielectric spacer material layer 20 .
  • the remaining portion of the fin structure that is presented beneath the sacrificial gate structure 18 and the dielectric spacer material layer 20 can be referred to as a nanosheet stack.
  • Nanosheet stack includes alternating nanosheets of remaining portions of each sacrificial semiconductor material layer (referred to herein as sacrificial semiconductor material nanosheet 14 NS) and remaining portions of each semiconductor channel material layer (referred to herein as semiconductor channel material nanosheet 16 NS).
  • Each nanosheet, i.e., sacrificial semiconductor material nanosheet 14 NS and semiconductor channel material nanosheet 16 NS, that constitutes the nanosheet stack has a thickness as mentioned above for the individual sacrificial semiconductor material layers 14 and semiconductor channel material layers 16 of the fin structure, and a width from 30 nm to 200 nm.
  • the sidewalls of each sacrificial semiconductor material nanosheet 14 NS are vertically aligned to sidewalls of each semiconductor channel material nanosheet 16 NS, and the vertically aligned sidewalls of the nanosheet stack are vertically aligned to an outmost sidewall of the dielectric spacer material layer 20 .
  • FIG. 5 there is illustrated the exemplary semiconductor structure of FIG. 4 after recessing each sacrificial semiconductor material nanosheet 14 NS to provide recessed sacrificial semiconductor material nanosheets 14 R and forming an inner dielectric spacer 22 on exposed sidewalls of each recessed sacrificial semiconductor material nanosheet 14 R.
  • Each recessed sacrificial semiconductor material nanosheet 14 R has a width that is less than the original width of each sacrificial semiconductor material nanosheet 14 NS.
  • the recessing of each sacrificial semiconductor material nanosheet 14 NS provides a gap (not specifically shown) between each neighboring pair of semiconductor channel material nanosheets 16 NS within a given nanosheet stack.
  • the recessing of each sacrificial semiconductor material nanosheet 14 NS may be performed utilizing a lateral etching process that is selective in removing physically exposed end portions of each sacrificial semiconductor material nanosheet 14 NS relative to each semiconductor channel material nanosheet 16 NS.
  • the inner dielectric spacer 22 is then formed within the gaps by depositing an inner dielectric spacer material and etching the deposited inner dielectric spacer material.
  • the inner dielectric spacer material is composed of silicon nitride.
  • the inner dielectric spacer 22 that is formed in the gaps between each neighboring pair of vertically stacked semiconductor channel material nanosheets 16 NS directly contacts a sidewall of one of the recessed sacrificial semiconductor material nanosheets 14 R.
  • the inner dielectric spacer 22 that is formed in the gap between each neighboring pair of vertically stacked semiconductor channel material nanosheets 16 NS has an outermost sidewall that is vertically aligned to the outermost sidewall of each semiconductor channel material nanosheet 16 NS.
  • FIG. 6 there is illustrated the exemplary semiconductor structure of FIG. 5 after forming source/drain (S/D) regions 24 by epitaxial growth of a semiconductor material on physically exposed sidewalls of each semiconductor channel material nanosheet 16 NS.
  • S/D source/drain
  • Each S/D region 24 includes a semiconductor material and a dopant.
  • the semiconductor material that provides each S/D region 24 can be selected from any semiconductor material that has semiconducting properties.
  • the semiconductor material that provides each S/D region 24 may comprise a same semiconductor material as that which provides the semiconductor channel material layer 16 (and thus each semiconductor channel material nanosheet 16 NS).
  • the semiconductor material that provides each S/D region 24 may comprise a different semiconductor material than that which provides each semiconductor channel material layer 16 (and thus each semiconductor channel material nanosheet 16 NS).
  • the semiconductor material that provides each S/D region 24 may comprise a silicon germanium alloy, while each semiconductor channel material layer 16 (and thus each semiconductor channel material nanosheet 16 NS) may be composed of silicon.
  • the dopant that is present in each S/D region 24 can be either a p-type dopant or an n-type dopant.
  • p-type refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons.
  • examples of p-type dopants, i.e., impurities include, but are not limited to, boron, aluminum, gallium and indium.
  • N-type refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor.
  • examples of n-type dopants, i.e., impurities include, but are not limited to, antimony, arsenic and phosphorous.
  • each S/D region 24 can be introduced into the precursor gas that provides each S/D region 24 .
  • the dopant can be introduced into an intrinsic semiconductor layer by utilizing one of ion implantation or gas phase doping.
  • each S/D region 24 comprises a silicon germanium alloy that is doped with a p-type dopant such as, for example, boron.
  • the dopant concentration in each S/D region 24 is typically from 5 ⁇ 10 20 atoms/cm 3 to 5 ⁇ 10 21 atoms/cm 3 .
  • each S/D region 24 is formed by an epitaxial growth (or deposition) process, as is defined above. In some embodiments, each S/D region 24 has a faceted upper surface.
  • FIG. 7 there is illustrated the exemplary semiconductor structure of FIG. 6 after formation of an interlevel dielectric (ILD) material 26 , removing each sacrificial gate structure 18 and each recessed sacrificial semiconductor material nanosheet 14 R to suspend the semiconductor channel material nanosheets 16 NS, and forming a functional gate structure wrapping around a physically exposed surface of each semiconductor channel material nanosheet.
  • ILD interlevel dielectric
  • the ILD material 26 is formed atop the S/D regions 24 and laterally surrounding each sacrificial gate structure 18 .
  • the ILD material 26 may be composed of silicon dioxide, undoped silicate glass (USG), fluorosilicate glass (FSG), borophosphosilicate glass (BPSG), a spin-on low-k dielectric layer, a chemical vapor deposition (CVD) low-k dielectric layer or any combination thereof.
  • the term “low-k” as used throughout the present application denotes a dielectric material that has a dielectric constant of less than silicon dioxide.
  • a self-planarizing material such as a spin-on glass (SOG) or a spin-on low-k dielectric material such as SiLKTM can be used as ILD material 26 .
  • the use of a self-planarizing dielectric material as the ILD material 26 may avoid the need to perform a subsequent planarizing step.
  • the ILD material 26 can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), evaporation or spin-on coating.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • evaporation or spin-on coating evaporation or spin-on coating.
  • a planarization process or an etch back process follows the deposition of the dielectric material that provides the ILD material 26 .
  • the dielectric spacer material layer 20 that is located above the sacrificial gate structure 18 can be removed providing dielectric spacers 20 S.
  • the dielectric spacer material layer 20 that is located above the sacrificial gate structure 18 can be removed utilizing a chemical removal process such as, for example, planarization or etching.
  • Each sacrificial gate structure 18 is then removed utilizing one or more etching steps to provide an upper gate cavity that is located between the dielectric spacers 20 S.
  • Each recessed sacrificial semiconductor material nanosheet 14 R is then removed utilizing an etching process so as to suspend each of the semiconductor channel material nanosheets 16 NS within a given nanosheet stack.
  • a functional gate structure ( 28 , 30 ) is then formed surrounding physically exposed surfaces of each semiconductor channel material nanosheet 16 NS and within the upper gate cavity.
  • functional gate structure it is meant a permanent gate structure used to control output current (i.e., flow of carriers in the channel) of a semiconducting device through electrical or magnetic fields.
  • the functional gate structure ( 28 , 30 ) may include a gate dielectric material 28 and a gate conductor material 30 .
  • the gate dielectric material 28 may include a dielectric oxide, a dielectric nitride, and/or a dielectric oxynitride.
  • the gate dielectric material 28 can be a high-k material having a dielectric constant greater than silicon dioxide.
  • Exemplary high-k dielectrics include, but are not limited to, HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , SiON, SiN x , a silicate thereof, and an alloy thereof.
  • Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2.
  • a multilayered gate dielectric structure comprising different gate dielectric materials, e.g., silicon dioxide, and a high-k gate dielectric, can be formed and used as the gate dielectric material 28 .
  • the gate dielectric material 28 can be formed by any deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering or atomic layer deposition.
  • the gate dielectric material 28 can have a thickness in a range from 1 nm to 10 nm. Other thicknesses that are lesser than, or greater than, the aforementioned thickness range can also be employed for the gate dielectric material 28 .
  • the gate conductor material 30 can include any conductive material including, for example, doped polysilicon, an elemental metal (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least two elemental metals, an elemental metal nitride (e.g., tungsten nitride, aluminum nitride, and titanium nitride), an elemental metal silicide (e.g., tungsten silicide, nickel silicide, and titanium silicide) or multilayered combinations thereof.
  • the gate conductor material 30 may comprise an nFET gate metal.
  • the gate conductor material 30 may comprise a pFET gate metal.
  • the gate conductor material 30 can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, atomic layer deposition (ALD) or other like deposition processes.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the gate conductor material 30 can have a thickness from 50 nm to 200 nm. Other thicknesses that are lesser than, or greater than, the aforementioned thickness range can also be employed for the gate conductor material 30 .
  • the functional gate structure can be formed by providing a gate material stack of the gate dielectric material 28 , and the gate conductor material 30 .
  • a planarization process may follow the formation of the functional gate material stack.
  • FIG. 8 there is illustrated another exemplary semiconductor structure of the present application including an nFET device region and a pFET device region that can be prepared utilizing the basic processing steps of the present application.
  • a first isolation layer 12 A and a first functional gate structure ( 28 L, 30 L) are present in the nFET device region, while a second isolation layer 12 B and a second functional gate structure ( 28 R, 30 R) are present in the pFET device region.
  • the first isolation layer 12 A is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and contains a p-type dopant
  • the second isolation layer 12 B is composed of another second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the another second semiconductor material is lattice matched to the first semiconductor material and contains an n-type dopant.
  • isolation layer 12 A is composed of GaP doped with zinc
  • second isolation layer 12 B is composed of GaP doped with Sn.
  • the first and second functional gate structures may include the same, or different gate dielectric materials ( 28 L, 28 R) and/or gate conductor materials ( 30 L, 30 R).
  • the first and second isolation layers 12 A, 12 B can be formed, in any order on, the substrate 10 using block mask technology and epitaxial growth of the different isolation layers.
  • the isolation layer eliminates the parasitic leakage path between the source region and the drain region that typically occurs through the substrate.
  • the isolation layer does not interfere with the functional gate structure.

Abstract

A thin layer of lattice matched wide bandgap semiconductor material having semi-insulating properties is employed as an isolation layer between the substrate and a vertical stack of suspended semiconductor channel material nanosheets. The presence of such an isolation layer eliminates the parasitic leakage path between the source region and the drain region that typically occurs through the substrate, while not interfering with the CMOS device that is formed around the semiconductor channel material nanosheets.

Description

    BACKGROUND
  • The present application relates to a semiconductor structure and a method of forming the same. More particularly, the present application relates to a semiconductor structure containing an isolation layer composed of a lattice matched wide bandgap semiconductor material that is present between a substrate and a vertical stack of suspended semiconductor channel material nanosheets.
  • The use of non-planar semiconductor devices such as, for example, semiconductor fin field effect transistors (FinFETs) is the next step in the evolution of complementary metal oxide semiconductor (CMOS) devices. One type of non-planar semiconductor device that has been touted as a viable option beyond the 7 nm technology node is a nanosheet containing device. By “nanosheet containing device” it is meant that the device contains one or more layers of semiconductor channel material portions having a vertical thickness that is substantially less than its width.
  • Nanosheet formation relies on the selective removal of one semiconductor material relative to another semiconductor material to form suspended nanosheets for gate-all-around devices. In the current state of the art, the gate wrapping the bottommost semiconductor channel material nanosheet of a vertical stack of suspended semiconductor channel material nanosheets contacts the semiconductor substrate which leads to potential parasitic leakage paths between the source region and the drain region through the semiconductor substrate. There is a need for providing nanosheet isolation for controlling the off-state leakage current, without interfering with the nanosheet CMOS device.
  • SUMMARY
  • A thin layer of lattice matched wide bandgap semiconductor material having semi-insulating properties is employed as an isolation layer between the substrate and a vertical stack of suspended semiconductor channel material nanosheets. The presence of such an isolation layer eliminates the parasitic leakage path between the source region and the drain region that typically occurs through the substrate, while not interfering with the CMOS device that is formed around the semiconductor channel material nanosheets.
  • One aspect of the present application relates to a semiconductor structure. In one embodiment, the semiconductor structure includes a plurality of stacked and suspended semiconductor channel material nanosheets located above an isolation layer that is present on a substrate. In accordance with the present application, the substrate is composed of a first semiconductor material having a first bandgap, and the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties. A functional gate structure surrounds a portion of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets. A source/drain (S/D) region is present on each side of the functional gate structure and physically contacts sidewalls of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets.
  • In another embodiment of the present application, the semiconductor structure includes an nFET device region including a plurality of first stacked and suspended semiconductor channel material nanosheets located above a first isolation layer that is present on a substrate, wherein the substrate is composed of a first semiconductor material having a first bandgap, and the first isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and contains a p-type dopant, a first functional gate structure surrounds a portion of each semiconductor channel material nanosheet of the plurality of first stacked and suspended semiconductor channel material nanosheets, and a source/drain (S/D) region is located on each side of the first functional gate structure and physically contacts sidewalls of each semiconductor channel material nanosheet of the plurality of first stacked and suspended semiconductor channel material nanosheets.
  • In this embodiment, the semiconductor structure further includes a pFET device region laterally adjacent to the nFET device region, the pFET device region includes a plurality of second stacked and suspended semiconductor channel material nanosheets located above a second isolation layer that is present on the substrate, wherein the second isolation layer is composed of another second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the another second semiconductor material is lattice matched to the first semiconductor material and contains an n-type dopant, a second functional gate structure surrounds a portion of each semiconductor channel material nanosheet of the plurality of second stacked and suspended semiconductor channel material nanosheets, and a source/drain (S/D) region is located on each side of the second functional gate structure and physically contacts sidewalls of each semiconductor channel material nanosheet of the plurality of second stacked and suspended semiconductor channel material nanosheets.
  • Another aspect of the present application relates to a method of forming a semiconductor structure. In one embodiment, the method includes forming a nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet and a semiconductor channel material nanosheet on an isolation layer that is disposed on a substrate, wherein a sacrificial gate structure and a dielectric spacer material layer straddle over the nanosheet stack. The substrate that is employed is composed of a first semiconductor material having a first bandgap, and the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, and further wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties. Next, end portions of each of the sacrificial semiconductor material nanosheets are recessed to provide a gap between each of the semiconductor channel material nanosheets, and, thereafter, an inner spacer is formed in each gap. A source/drain (S/D) region is then formed by epitaxial growth of a semiconductor material on physically exposed sidewalls of each semiconductor channel material nanosheet. Next, the sacrificial gate structure and each recessed sacrificial semiconductor material nanosheet are removed, and, thereafter, a functional gate structure is formed around exposed surfaces of each semiconductor channel material nanosheet.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a cross sectional view of an exemplary semiconductor structure of the present application during an early stage of fabrication and including an isolation layer located on a surface of a substrate, wherein the substrate is composed of a first semiconductor material having a first bandgap, and the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties.
  • FIG. 2 is a cross sectional view of the exemplary semiconductor structure of FIG. 1 after forming a fin structure comprising a vertical stack of alternating layers of a sacrificial semiconductor material layer and a semiconductor channel material layer on a surface of the isolation layer.
  • FIG. 3 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after forming a sacrificial gate structure and a dielectric spacer material layer on a surface of the fin stack.
  • FIG. 4 is a cross sectional view of the exemplary semiconductor structure of FIG. 3 after forming a nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet and a semiconductor channel material nanosheet under the sacrificial gate structure and the dielectric spacer material layer by removing physically exposed portions of the fin stack that are not protected by sacrificial gate structure and the dielectric spacer material layer.
  • FIG. 5 is a cross sectional view of the exemplary semiconductor structure of FIG. 4 after recessing each sacrificial semiconductor material nanosheet and forming an inner dielectric spacer on exposed sidewalls of each recessed sacrificial semiconductor material nanosheet.
  • FIG. 6 is a cross sectional view of the exemplary semiconductor structure of FIG. 5 after forming source/drain (S/D) regions by epitaxial growth of a semiconductor material on physically exposed sidewalls of each semiconductor channel material nanosheet.
  • FIG. 7 is a cross sectional view of the exemplary semiconductor structure of FIG. 6 after formation of an interlevel dielectric (ILD) material, removing each sacrificial gate structure and each recessed sacrificial semiconductor material nanosheet, and forming a functional gate structure wrapping around a physically exposed surface of each semiconductor channel material nanosheet.
  • FIG. 8 is a cross sectional view of another exemplary semiconductor structure of the present application including an nFET device region and a pFET device region that can be prepared utilizing the basic processing steps of the present application.
  • DETAILED DESCRIPTION
  • The present application will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present application. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present application may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present application.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
  • Referring first to FIG. 1, there is illustrated an exemplary semiconductor structure of the present application during an early stage of fabrication and including an isolation layer 12 located on a surface of a substrate 10. The isolation layer 12 typically has a thickness from 3 nm to 20 nm.
  • In the present application, the substrate 10 is composed of a first semiconductor material having a first bandgap, while the isolation layer 12 is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap. Also, the second semiconductor material that provides the isolation layer 12 is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties.
  • In one example, isolation layer 12 may be composed of GaP (lattice constant 5.451 Å), while the substrate 10 is composed of Si (lattice constant 5.431 Å). In one embodiment, the GaP may be doped with chromium (Cr; Cr doping concentration can be from 1E15 atoms/cm3 to 1E18 atoms/cm3); the GaP that is doped with Cr has a resistivity that is greater than 1E8 ohm*cm. In another embodiment, and when nFET and pFET devices are formed, the nFET region can include an isolation layer composed of GaP doped with Zn (Zn; Zn doping concentration can be from 1E17 atoms/cm3 to 1E20 atoms/cm3), while the pFET region may include GaP doped with tin (Sn; Sn doping concentration can be from 1E17 atoms/cm3 to 1E20 atoms/cm3). It should be noted that other p-type dopants can be used with the GaP layer in the nFET device region, while other n-type dopants can be used in the pFET device region.
  • In another example, isolation layer 12 may be composed of InP, while substrate 10 is composed of InGaAs. In one embodiment, the InP may be doped with iron (Fe; Fe doping concentration is typically about 5E18 atoms/cm3).
  • In one embodiment, the isolation layer 12 can be epitaxially grown on the substrate 10; the dopant is typically introduced in-situ during the epitaxial growth process itself. The terms “epitaxially growing and/or depositing” and “epitaxially grown and/or deposited” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed. The isolation layer 12 thus has an epitaxial relationship with the substrate 10.
  • Examples of various epitaxial growth process apparatuses that can be employed in the present application include, e.g., rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for epitaxial deposition typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking. The epitaxial growth of the isolation layer 12 can be performed utilizing well known precursor gas or precursor gas mixture. Carrier gases like hydrogen, nitrogen, helium and argon can also be used.
  • Referring now to FIG. 2, there is illustrated the exemplary semiconductor structure of FIG. 1 after forming a fin structure comprising a vertical stack of alternating layers of a sacrificial semiconductor material layer 14 and a semiconductor channel material layer 16 on a surface of the isolation layer 12. Although a single fin structure is described and illustrated, a plurality of fin structures each containing a vertical stack of alternating layers of a sacrificial semiconductor material layer 14 and a semiconductor channel material layer 16 can be formed. In such an embodiment, each fin structure is orientated parallel to one another.
  • The formation of the fin structure includes forming a semiconductor material stack upon the isolation layer 12 and then patterning the semiconductor material stack to form the fin structure. Patterning may be performed by lithography and etching or any other patterning method known to those skilled in the art including, for example, a sidewall-image transfer (SIT) process.
  • The term “fin structure” denotes a continuous structure including a pair of vertical sidewalls that are parallel to each other. As used herein, a surface is “vertical” if there exists a vertical plane from which the surface does not deviate by more than three times the root mean square roughness of the surface. The fin structure may have a height from 10 nm to 100 nm, a width from 4 nm to 30 nm, and a length from 100 nm to 2000 nm. Other heights and/or widths and/or lengths may also be used as the dimensions of fin structure.
  • The semiconductor material stack (14/16) that is employed in forming the fin structure is composed of alternating layers of the sacrificial semiconductor material layer 14 and the semiconductor channel material layer 16 which alternate one atop the other; the alternating layers of the sacrificial semiconductor material layer 14 and the semiconductor channel material layer 16 are also present in the fin structure In one example, the semiconductor material stack includes three sacrificial semiconductor material layers 14 and three semiconductor channel material layers 16. The semiconductor material stack that can be employed in the present application is not limited to such a semiconductor material stack. Instead, the semiconductor material stack can include any number of sacrificial material layers and corresponding semiconductor channel material layers.
  • Each sacrificial semiconductor material layer 14 is composed of a third semiconductor material which differs in composition from the second semiconductor material that provides isolation layer 12. In one embodiment, each sacrificial semiconductor material layer 14 is composed of a silicon germanium alloy. The third semiconductor material that provides each sacrificial semiconductor material layer 14 can be formed utilizing an epitaxial growth (or deposition process) as defined above.
  • Each semiconductor channel material layer 16 is composed of a fourth semiconductor material that has a different etch rate than the third semiconductor material that provides the sacrificial semiconductor material layers 14. In one example, each semiconductor channel material layer 16 is composed of Si or a III-V compound semiconductor, while each sacrificial semiconductor material layer 14 is composed of a silicon germanium alloy. The fourth semiconductor material that provides each semiconductor channel material layer 16 can be formed utilizing an epitaxial growth (or deposition process) as defined above.
  • The semiconductor material stack can be formed by sequential epitaxial growth of alternating layers of the third semiconductor material and the fourth semiconductor material.
  • The sacrificial semiconductor material layers 14 may have a thickness from 5 nm to 12 nm, while the semiconductor channel material layers 16 may have a thickness from 5 nm to 12 nm. Each sacrificial semiconductor material layer 14 may have a thickness that is the same as, or different from, a thickness of each semiconductor channel material layer 16.
  • Referring now to FIG. 3, there is illustrated the exemplary semiconductor structure of FIG. 2 after forming a sacrificial gate structure 18 and a dielectric spacer material layer 20 on a surface of the fin structure (14,16). In the illustrated embodiment, two sacrificial gate structures 18 are shown by way of one example. The present application is not limited to forming two sacrificial gate structures 18, but instead contemplates embodiments in which a single sacrificial gate structure or three or more sacrificial gate structures are formed on the fin structure.
  • Each sacrificial gate structure 18 that is formed is located on a first side and a second side of the fin structure (not shown), and spans across a topmost surface of a portion of the fin structure. Each sacrificial gate stack 18 thus straddles over a portion of the fin structure. A dielectric spacer material layer 20 is present on the exposed surfaces of each sacrificial gate structure 18.
  • Each sacrificial gate structure 18 may include a single sacrificial material or a stack of two or more sacrificial materials (i.e., each sacrificial gate structure 18 includes at least one sacrificial material). In one embodiment, the at least one sacrificial material comprises, from bottom to top, a sacrificial gate dielectric material, a sacrificial gate material and a sacrificial dielectric cap. In some embodiments, the sacrificial gate dielectric material and/or the sacrificial dielectric cap can be omitted and only a sacrificial gate material is formed. The at least one sacrificial material can be formed by forming a blanket layer (or layers) of a material (or various materials) and then patterning the material (or various materials) by lithography and an etch. In one embodiment, the at least one sacrificial material can be formed by first depositing a blanket layer of a sacrificial gate dielectric material. The sacrificial gate dielectric material can be an oxide, nitride, and/or oxynitride. In one example, the sacrificial gate dielectric material can be a high k material having a dielectric constant greater than silicon dioxide. In some embodiments, a multilayered dielectric structure comprising different dielectric materials, e.g., silicon dioxide, and a high k dielectric can be formed and used as the sacrificial gate. The sacrificial gate dielectric material can be formed by any deposition technique including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition.
  • After forming the blanket layer of sacrificial gate dielectric material, a blanket layer of a sacrificial gate material can be formed on the blanket layer of sacrificial gate dielectric material. The sacrificial gate material can include any material including, for example, polysilicon, amorphous silicon, an elemental metal (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least two elemental metals or multilayered combinations thereof. The sacrificial gate material can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, atomic layer deposition (ALD) or other like deposition processes.
  • After forming the blanket layer of sacrificial gate material, a blanket layer of a sacrificial gate cap material can be formed. The sacrificial gate cap material may include a hard mask material such as, for example, silicon dioxide and/or silicon nitride. The sacrificial gate cap material can be formed by any suitable deposition process such as, for example, chemical vapor deposition or plasma enhanced chemical vapor deposition.
  • After providing the above mentioned sacrificial material stack (or any subset of the sacrificial materials), lithography and etching can be used to pattern the sacrificial material stack (or any subset of the sacrificial materials) and to provide each sacrificial gate structure 18.
  • The dielectric spacer material layer 20 can be formed by deposition of a dielectric spacer material and then etching the dielectric spacer material. One example of a dielectric spacer material that may be employed in the present application is silicon nitride. The deposition process that can be employed in providing the dielectric spacer material includes, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or physical vapor deposition (PVD). The etch used to etch the deposited dielectric spacer material may comprise a dry etching process such as, for example, reactive ion etching.
  • Referring now FIG. 4, there is illustrated the exemplary semiconductor structure of FIG. 3 after forming a nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet 14NS and a semiconductor channel material nanosheet 16NS under the sacrificial gate structure 18 and the dielectric spacer material layer 20 by removing physically exposed portions of the fin structure that are not protected by sacrificial gate structure 18 and the dielectric spacer material layer 20. The removal of the physically portions of the fin structure not covered by the sacrificial gate structure 18 and the dielectric spacer material layer 20 can be performed utilizing an anisotropic etching process such as, for example, reactive ion etching (RIE). Portions of the fin structure remain beneath the sacrificial gate structure 18 and the dielectric spacer material layer 20. The remaining portion of the fin structure that is presented beneath the sacrificial gate structure 18 and the dielectric spacer material layer 20 can be referred to as a nanosheet stack.
  • Nanosheet stack includes alternating nanosheets of remaining portions of each sacrificial semiconductor material layer (referred to herein as sacrificial semiconductor material nanosheet 14NS) and remaining portions of each semiconductor channel material layer (referred to herein as semiconductor channel material nanosheet 16NS).
  • Each nanosheet, i.e., sacrificial semiconductor material nanosheet 14NS and semiconductor channel material nanosheet 16NS, that constitutes the nanosheet stack has a thickness as mentioned above for the individual sacrificial semiconductor material layers 14 and semiconductor channel material layers 16 of the fin structure, and a width from 30 nm to 200 nm. At this point of the present application and as illustrated in FIG. 4, the sidewalls of each sacrificial semiconductor material nanosheet 14NS are vertically aligned to sidewalls of each semiconductor channel material nanosheet 16NS, and the vertically aligned sidewalls of the nanosheet stack are vertically aligned to an outmost sidewall of the dielectric spacer material layer 20.
  • Referring now to FIG. 5, there is illustrated the exemplary semiconductor structure of FIG. 4 after recessing each sacrificial semiconductor material nanosheet 14NS to provide recessed sacrificial semiconductor material nanosheets 14R and forming an inner dielectric spacer 22 on exposed sidewalls of each recessed sacrificial semiconductor material nanosheet 14R.
  • Each recessed sacrificial semiconductor material nanosheet 14R has a width that is less than the original width of each sacrificial semiconductor material nanosheet 14NS. The recessing of each sacrificial semiconductor material nanosheet 14NS provides a gap (not specifically shown) between each neighboring pair of semiconductor channel material nanosheets 16NS within a given nanosheet stack. The recessing of each sacrificial semiconductor material nanosheet 14NS may be performed utilizing a lateral etching process that is selective in removing physically exposed end portions of each sacrificial semiconductor material nanosheet 14NS relative to each semiconductor channel material nanosheet 16NS.
  • The inner dielectric spacer 22 is then formed within the gaps by depositing an inner dielectric spacer material and etching the deposited inner dielectric spacer material. In one example, the inner dielectric spacer material is composed of silicon nitride. As is shown, the inner dielectric spacer 22 that is formed in the gaps between each neighboring pair of vertically stacked semiconductor channel material nanosheets 16NS directly contacts a sidewall of one of the recessed sacrificial semiconductor material nanosheets 14R. The inner dielectric spacer 22 that is formed in the gap between each neighboring pair of vertically stacked semiconductor channel material nanosheets 16NS has an outermost sidewall that is vertically aligned to the outermost sidewall of each semiconductor channel material nanosheet 16NS.
  • Referring now to FIG. 6, there is illustrated the exemplary semiconductor structure of FIG. 5 after forming source/drain (S/D) regions 24 by epitaxial growth of a semiconductor material on physically exposed sidewalls of each semiconductor channel material nanosheet 16NS.
  • Each S/D region 24 includes a semiconductor material and a dopant. The semiconductor material that provides each S/D region 24 can be selected from any semiconductor material that has semiconducting properties. In some embodiments of the present application, the semiconductor material that provides each S/D region 24 may comprise a same semiconductor material as that which provides the semiconductor channel material layer 16 (and thus each semiconductor channel material nanosheet 16NS). In other embodiments of the present application, the semiconductor material that provides each S/D region 24 may comprise a different semiconductor material than that which provides each semiconductor channel material layer 16 (and thus each semiconductor channel material nanosheet 16NS). For example, the semiconductor material that provides each S/D region 24 may comprise a silicon germanium alloy, while each semiconductor channel material layer 16 (and thus each semiconductor channel material nanosheet 16NS) may be composed of silicon.
  • The dopant that is present in each S/D region 24 can be either a p-type dopant or an n-type dopant. The term “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing semiconductor material, examples of p-type dopants, i.e., impurities, include, but are not limited to, boron, aluminum, gallium and indium. “N-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing semiconductor material, examples of n-type dopants, i.e., impurities, include, but are not limited to, antimony, arsenic and phosphorous. In one embodiment, the dopant that can be present in the each S/D region 24 can be introduced into the precursor gas that provides each S/D region 24. In another embodiment, the dopant can be introduced into an intrinsic semiconductor layer by utilizing one of ion implantation or gas phase doping. In one example, each S/D region 24 comprises a silicon germanium alloy that is doped with a p-type dopant such as, for example, boron. The dopant concentration in each S/D region 24 is typically from 5×1020 atoms/cm3 to 5×1021 atoms/cm3. As mentioned above, each S/D region 24 is formed by an epitaxial growth (or deposition) process, as is defined above. In some embodiments, each S/D region 24 has a faceted upper surface.
  • Referring now to FIG. 7, there is illustrated the exemplary semiconductor structure of FIG. 6 after formation of an interlevel dielectric (ILD) material 26, removing each sacrificial gate structure 18 and each recessed sacrificial semiconductor material nanosheet 14R to suspend the semiconductor channel material nanosheets 16NS, and forming a functional gate structure wrapping around a physically exposed surface of each semiconductor channel material nanosheet.
  • ILD material 26 is formed atop the S/D regions 24 and laterally surrounding each sacrificial gate structure 18. The ILD material 26 may be composed of silicon dioxide, undoped silicate glass (USG), fluorosilicate glass (FSG), borophosphosilicate glass (BPSG), a spin-on low-k dielectric layer, a chemical vapor deposition (CVD) low-k dielectric layer or any combination thereof. The term “low-k” as used throughout the present application denotes a dielectric material that has a dielectric constant of less than silicon dioxide. In another embodiment, a self-planarizing material such as a spin-on glass (SOG) or a spin-on low-k dielectric material such as SiLK™ can be used as ILD material 26. The use of a self-planarizing dielectric material as the ILD material 26 may avoid the need to perform a subsequent planarizing step.
  • In one embodiment, the ILD material 26 can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), evaporation or spin-on coating. In some embodiments, particularly when non-self-planarizing dielectric materials are used as the ILD material 26, a planarization process or an etch back process follows the deposition of the dielectric material that provides the ILD material 26. In some embodiments of the present application and during the planarization or etch back of the ILD material 26, the dielectric spacer material layer 20 that is located above the sacrificial gate structure 18 can be removed providing dielectric spacers 20S. In other embodiments in which a self-planarizing dielectric material is used as the ILD material, the dielectric spacer material layer 20 that is located above the sacrificial gate structure 18 can be removed utilizing a chemical removal process such as, for example, planarization or etching.
  • Each sacrificial gate structure 18 is then removed utilizing one or more etching steps to provide an upper gate cavity that is located between the dielectric spacers 20S. Each recessed sacrificial semiconductor material nanosheet 14R is then removed utilizing an etching process so as to suspend each of the semiconductor channel material nanosheets 16NS within a given nanosheet stack.
  • A functional gate structure (28, 30) is then formed surrounding physically exposed surfaces of each semiconductor channel material nanosheet 16NS and within the upper gate cavity. By “functional gate structure” it is meant a permanent gate structure used to control output current (i.e., flow of carriers in the channel) of a semiconducting device through electrical or magnetic fields. The functional gate structure (28, 30) may include a gate dielectric material 28 and a gate conductor material 30. The gate dielectric material 28 may include a dielectric oxide, a dielectric nitride, and/or a dielectric oxynitride. In one example, the gate dielectric material 28 can be a high-k material having a dielectric constant greater than silicon dioxide. Exemplary high-k dielectrics include, but are not limited to, HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, SiON, SiNx, a silicate thereof, and an alloy thereof. Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2. In some embodiments, a multilayered gate dielectric structure comprising different gate dielectric materials, e.g., silicon dioxide, and a high-k gate dielectric, can be formed and used as the gate dielectric material 28.
  • The gate dielectric material 28 can be formed by any deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition. In one embodiment of the present application, the gate dielectric material 28 can have a thickness in a range from 1 nm to 10 nm. Other thicknesses that are lesser than, or greater than, the aforementioned thickness range can also be employed for the gate dielectric material 28.
  • The gate conductor material 30 can include any conductive material including, for example, doped polysilicon, an elemental metal (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least two elemental metals, an elemental metal nitride (e.g., tungsten nitride, aluminum nitride, and titanium nitride), an elemental metal silicide (e.g., tungsten silicide, nickel silicide, and titanium silicide) or multilayered combinations thereof. In one embodiment, the gate conductor material 30 may comprise an nFET gate metal. In another embodiment, the gate conductor material 30 may comprise a pFET gate metal.
  • The gate conductor material 30 can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, atomic layer deposition (ALD) or other like deposition processes. When a metal silicide is formed, a conventional silicidation process is employed. In one embodiment, the gate conductor material 30 can have a thickness from 50 nm to 200 nm. Other thicknesses that are lesser than, or greater than, the aforementioned thickness range can also be employed for the gate conductor material 30.
  • The functional gate structure can be formed by providing a gate material stack of the gate dielectric material 28, and the gate conductor material 30. A planarization process may follow the formation of the functional gate material stack.
  • Referring now to FIG. 8, there is illustrated another exemplary semiconductor structure of the present application including an nFET device region and a pFET device region that can be prepared utilizing the basic processing steps of the present application. In this exemplary structure a first isolation layer 12A and a first functional gate structure (28L, 30L) are present in the nFET device region, while a second isolation layer 12B and a second functional gate structure (28R, 30R) are present in the pFET device region. In accordance with the present application, the first isolation layer 12A is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and contains a p-type dopant, while the second isolation layer 12B is composed of another second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the another second semiconductor material is lattice matched to the first semiconductor material and contains an n-type dopant. In one example, isolation layer 12A is composed of GaP doped with zinc, and second isolation layer 12B is composed of GaP doped with Sn. The first and second functional gate structures may include the same, or different gate dielectric materials (28L, 28R) and/or gate conductor materials (30L, 30R). The first and second isolation layers 12A, 12B can be formed, in any order on, the substrate 10 using block mask technology and epitaxial growth of the different isolation layers.
  • In the exemplary structures shown in FIGS. 7 and 8, the isolation layer eliminates the parasitic leakage path between the source region and the drain region that typically occurs through the substrate. The isolation layer does not interfere with the functional gate structure.
  • While the present application has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present application. It is therefore intended that the present application not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (14)

1. A semiconductor structure comprising:
a plurality of stacked and suspended semiconductor channel material nanosheets located above an isolation layer that is located directly on a surface of a substrate, wherein the substrate is composed of a first semiconductor material having a first bandgap, and the isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and is doped such that the second semiconductor material has semi-insulating properties;
a functional gate structure surrounding a portion of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets; and
a source/drain (S/D) region on each side of the functional gate structure and physically contacting sidewalls of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets, wherein the S/D region has a bottommost surface that directly contacts a surface of the isolation layer.
2. The semiconductor structure of claim 1, wherein the first semiconductor material is composed of Si, and the second semiconductor material is composed of GaP doped with chromium.
3. The semiconductor structure of claim 2, wherein each of the semiconductor channel material nanosheet is composed of silicon.
4. The semiconductor structure of claim 1 wherein the first semiconductor material is composed of Si, and the second semiconductor material is composed of GaP doped with zinc or GaP doped with tin.
5. The semiconductor structure of claim 4, wherein each of the semiconductor channel material nanosheet is composed of silicon.
6. The semiconductor structure of claim 1, further comprising an interlevel dielectric (ILD) material located above each S/D region.
7. The semiconductor structure of claim 6, wherein the ILD material atop each S/D region has a topmost surface that is coplanar with a topmost surface of the functional gate structure.
8. The semiconductor structure of claim 1, wherein the sidewalls of each semiconductor channel material nanosheet of the plurality of stacked and suspended semiconductor channel material nanosheets are vertically aligned to each other.
9. A semiconductor structure comprising:
an nFET device region comprising:
a plurality of first stacked and suspended semiconductor channel material nanosheets located above a first isolation layer that is located directly on a surface of a substrate, wherein the substrate is composed of a first semiconductor material having a first bandgap, and the first isolation layer is composed of a second semiconductor material having a second bandgap that is larger than the first bandgap, wherein the second semiconductor material is lattice matched to the first semiconductor material and contains a p-type dopant;
a first functional gate structure surrounding a portion of each semiconductor channel material nanosheet of the plurality of first stacked and suspended semiconductor channel material nanosheets; and
a first source/drain (S/D) region located on each side of the first functional gate structure and physically contacting sidewalls of each semiconductor channel material nanosheet of the plurality of first stacked and suspended semiconductor channel material nanosheets, wherein the first S/D region has a bottommost surface that directly contacts a surface of the first isolation layer; and
a pFET device region laterally adjacent to the nFET device region and comprising:
a plurality of second stacked and suspended semiconductor channel material nanosheets located above a second isolation layer that is directly on a surface of the substrate, wherein the second isolation layer is composed of another second semiconductor material having a bandgap that is larger than the first bandgap, wherein the another second semiconductor material is lattice matched to the first semiconductor material and contains an n-type dopant;
a second functional gate structure surrounding a portion of each semiconductor channel material nanosheet of the plurality of second stacked and suspended semiconductor channel material nanosheets; and
a second source/drain (S/D) region located on each side of the second functional gate structure and physically contacting sidewalls of each semiconductor channel material nanosheet of the plurality of second stacked and suspended semiconductor channel material nanosheets, wherein the second S/D region has a bottommost surface that directly contacts a surface of the second isolation layer.
10. The semiconductor structure of claim 9 wherein the first semiconductor material is composed of Si, and the second semiconductor material is composed of GaP doped with zinc, and the another second semiconductor material is composed of GaP doped with tin.
11. The semiconductor structure of claim 10, wherein each of the semiconductor channel material nanosheet of the plurality of first and second stacked and suspended semiconductor channel is composed of silicon.
12. The semiconductor structure of claim 9, further comprising an interlevel dielectric (ILD) material located above the first and second S/D regions.
13. The semiconductor structure of claim 12, wherein the ILD material atop the first and second S/D regions has a topmost surface that is coplanar with a topmost surface of both the first and second functional gate structures.
14.-20. (canceled)
US15/973,043 2018-05-07 2018-05-07 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor Active US10468532B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/973,043 US10468532B1 (en) 2018-05-07 2018-05-07 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor
US16/560,607 US10559692B2 (en) 2018-05-07 2019-09-04 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/973,043 US10468532B1 (en) 2018-05-07 2018-05-07 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/560,607 Division US10559692B2 (en) 2018-05-07 2019-09-04 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor

Publications (2)

Publication Number Publication Date
US10468532B1 US10468532B1 (en) 2019-11-05
US20190341496A1 true US20190341496A1 (en) 2019-11-07

Family

ID=68385542

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/973,043 Active US10468532B1 (en) 2018-05-07 2018-05-07 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor
US16/560,607 Active US10559692B2 (en) 2018-05-07 2019-09-04 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/560,607 Active US10559692B2 (en) 2018-05-07 2019-09-04 Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor

Country Status (1)

Country Link
US (2) US10468532B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11411090B2 (en) * 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210202696A1 (en) * 2019-12-26 2021-07-01 Intel Corporation Gate-all-around integrated circuit structures having removed substrate
US11532702B2 (en) * 2020-05-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structures for leakage prevention
US20220102345A1 (en) * 2020-09-30 2022-03-31 Tokyo Electron Limited Plurality of 3d vertical cmos devices for high performance logic
CN117015230A (en) * 2022-04-26 2023-11-07 长鑫存储技术有限公司 Semiconductor structure, preparation method thereof and memory

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5187110A (en) * 1990-10-05 1993-02-16 Allied-Signal Inc. Field effect transistor-bipolar transistor darlington pair
US20140113402A1 (en) * 2012-10-22 2014-04-24 Lnternational Business Machines Corporation High Efficiency Flexible Solar Cells For Consumer Electronics
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US9461114B2 (en) 2014-12-05 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor devices with structures for suppression of parasitic bipolar effect in stacked nanosheet FETs and methods of fabricating the same
US9287360B1 (en) 2015-01-07 2016-03-15 International Business Machines Corporation III-V nanowire FET with compositionally-graded channel and wide-bandgap core
US9472667B2 (en) * 2015-01-08 2016-10-18 International Business Machines Corporation III-V MOSFET with strained channel and semi-insulating bottom barrier
US9412744B1 (en) * 2015-01-30 2016-08-09 International Business Machines Corporation III-V CMOS integration on silicon substrate via embedded germanium-containing layer
US9484267B1 (en) * 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US9755017B1 (en) 2016-03-01 2017-09-05 International Business Machines Corporation Co-integration of silicon and silicon-germanium channels for nanosheet devices
US9653537B1 (en) 2016-09-26 2017-05-16 International Business Machines Corporation Controlling threshold voltage in nanosheet transistors
FR3057703B1 (en) * 2016-10-13 2019-06-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR MANUFACTURING A COILGROUND FIELD EFFECT TRANSISTOR
KR102318560B1 (en) * 2017-04-12 2021-11-01 삼성전자주식회사 Semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11411090B2 (en) * 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same

Also Published As

Publication number Publication date
US10559692B2 (en) 2020-02-11
US20200006569A1 (en) 2020-01-02
US10468532B1 (en) 2019-11-05

Similar Documents

Publication Publication Date Title
US10170638B1 (en) Nanosheet substrate isolated source/drain epitaxy by dual bottom spacer
US10243043B2 (en) Self-aligned air gap spacer for nanosheet CMOS devices
US10770461B2 (en) Enhanced field resistive RAM integrated with nanosheet technology
US10756216B2 (en) Nanosheet mosfet with isolated source/drain epitaxy and close junction proximity
US10593673B2 (en) Nanosheet with single epitaxial stack forming off-set dual material channels for gate-all-around CMOS
US10396202B2 (en) Method and structure for incorporating strain in nanosheet devices
US10886368B2 (en) I/O device scheme for gate-all-around transistors
US10522421B2 (en) Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10381438B2 (en) Vertically stacked NFETS and PFETS with gate-all-around structure
US9647123B1 (en) Self-aligned sigma extension regions for vertical transistors
US9443982B1 (en) Vertical transistor with air gap spacers
US20200020768A1 (en) Vertically stacked dual channel nanosheet devices
US10559692B2 (en) Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor
US10872953B2 (en) Nanosheet substrate isolated source/drain epitaxy by counter-doped bottom epitaxy
US10818791B2 (en) Nanosheet transistor with stable structure
US10937862B2 (en) Nanosheet substrate isolated source/drain epitaxy via airgap
US11152510B2 (en) Long channel optimization for gate-all-around transistors
US10608109B2 (en) Vertical transistor with enhanced drive current
US10937883B2 (en) Vertical transport FETs having a gradient threshold voltage
US11201092B2 (en) Gate channel length control in VFET

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:REZNICEK, ALEXANDER;MIAO, XIN;ZHANG, JINGYUN;AND OTHERS;REEL/FRAME:045735/0022

Effective date: 20180503

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4