US20190295898A1 - Contacts formed with self-aligned cuts - Google Patents

Contacts formed with self-aligned cuts Download PDF

Info

Publication number
US20190295898A1
US20190295898A1 US16/403,745 US201916403745A US2019295898A1 US 20190295898 A1 US20190295898 A1 US 20190295898A1 US 201916403745 A US201916403745 A US 201916403745A US 2019295898 A1 US2019295898 A1 US 2019295898A1
Authority
US
United States
Prior art keywords
source
drain region
dielectric
layer
fin structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/403,745
Inventor
Ruilong Xie
Daniel Jaeger
Chanro Park
Laertis Economikos
Haiting Wang
Hui Zang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US16/403,745 priority Critical patent/US20190295898A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JAEGER, DANIEL, WANG, HAITING, ECONOMIKOS, LAERTIS, ZANG, Hui, XIE, RUILONG, PARK, CHANRO
Publication of US20190295898A1 publication Critical patent/US20190295898A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Definitions

  • the present invention relates to semiconductor device fabrication and integrated circuits and, more specifically, to methods of fabricating structures that include contacts coupled with a source/drain region of a field-effect transistor and related structures.
  • interlayer dielectric materials such as amorphous silicon
  • voids can trap other dielectric materials in successive fabrication steps that can partially or entirely prevent removal of the interlayer dielectric, resulting in poor conductive contact structures.
  • Other interlayer dielectric materials are difficult to remove because the etchants used to remove the materials cannot achieve perfect selectivity to the interlayer dielectric material, and may partially remove other portions of the circuit structure that must remain intact; other etchants that can achieve such high selectivity may no longer be usable with smaller feature sizes.
  • a method includes forming a first source/drain region and a second source/drain region adjacent to a temporary gate structure.
  • a trench is etched in the sacrificial layer between the first source/drain region and the second source/drain region, and a dielectric material is deposited in the trench to form a dielectric pillar.
  • a fill layer is formed over the first source/drain region and the second source/drain region.
  • the temporary gate structure is then replaced with a functional gate structure, and the fill layer is removed.
  • a conductive layer is formed with a first portion contacting the first source/drain region and a second portion contacting the second source/drain region.
  • the dielectric pillar separates the first portion of the conductive layer from the second portion of the conductive layer.
  • a method in another embodiment, includes forming a first source/drain region and a second source/drain region adjacent to a temporary gate structure.
  • a fill layer is formed over the first source/drain region and the second source/drain region.
  • the temporary gate structure is then replaced with a functional gate structure, and the fill layer is removed.
  • a sacrificial layer is formed over the first source/drain region and the second source/drain region.
  • a trench is etched in the sacrificial layer between the first source/drain region and the second source/drain region, and a dielectric material is deposited in the trench to form a dielectric pillar.
  • a conductive layer is formed with a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The dielectric pillar separates the first portion of the conductive layer from the second portion of the conductive layer.
  • a structure in an embodiment, includes a first fin structure and a second fin structure each extending above the substrate.
  • a first source/drain region is disposed over a portion of the first fin structure, and a second source/drain region is disposed over a portion of the second fin structure.
  • a dielectric pillar is disposed between the first and second source/drain regions. The dielectric pillar is arranged to wrap around a portion of the first source/drain region and a portion of the second source/drain region.
  • a conductive layer has a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The first and second portions of the conductive layer are separated by the dielectric pillar.
  • FIG. 1 is a top view of a structure at a fabrication stage of a processing method in accordance with embodiments of the invention.
  • FIG. 1A is a cross-sectional view of taken generally along line 1 A- 1 A in FIG. 1 .
  • FIG. 1B is a cross-sectional view of taken generally along line 1 B- 1 B in FIG. 1 .
  • FIG. 1C is a cross-sectional view of taken generally along line 1 C- 1 C in FIG. 1 .
  • FIGS. 2A-12A and FIGS. 2B-12B are cross-sectional views at successive fabrication stages of the processing method subsequent to, respectively, FIG. 1A and FIG. 1B .
  • FIGS. 13A-16A and 13B-16B are cross-sectional views of the structure of FIGS. 1A and 1B at successive fabrication stages of an alternative processing method in accordance with embodiments of the invention.
  • FIGS. 17-21 are cross-sectional views of a structure at successive additional fabrication stages of the processing method in accordance with embodiments of the invention.
  • FIGS. 22-24 are cross-sectional views of a structure at successive additional fabrication stages of the processing method in accordance with embodiments of the invention.
  • a structure 100 includes a substrate 105 and fin structures 120 , 125 extending above the substrate, with a dielectric layer 110 disposed over the substrate 105 and between fin structures 120 , 125 .
  • Structure 100 also includes temporary gate structures 140 , 141 , spacers 144 on sidewalls of temporary gate structures 140 , 141 , and a hardmask layer 145 disposed over upper surfaces of dummy or temporary gate structures 140 , 141 .
  • Spacers 144 and hardmask layer 145 may be composed of the same dielectric material, such as silicon nitride, or may be composed of different materials; for simplicity and clarity in the figures, the hardmask layer 145 and spacers 144 are depicted throughout as being composed of the same material.
  • Substrate 105 may be a semiconductor substrate, such as a silicon wafer, and fin structures 120 , 125 may be formed from the substrate 105 by, for example, a lithography and etching. Fin structures 120 , 125 may include a plurality of semiconductor fins extending above the substrate 105 , and may be composed of the same material as substrate 105 or may include other materials, such as germanium or other semiconductor materials.
  • Fin structures 120 , 125 may be formed, for example, by a self-aligned double patterning (SADP) process, a self-aligned quadruple patterning (SAQP) process, or other fin formation process.
  • the dielectric layer 110 may be composed, for example, of an oxide of silicon such as silicon dioxide.
  • Temporary gate structures 140 , 141 may be composed of sacrificial materials, such as an amorphous silicon layer and a thin underlying oxide layer, and hardmask layer 145 may be composed of a dielectric material, such as silicon nitride, SiOCN, etc.
  • source/drain regions 130 , 135 are formed over portions of fin structures 120 , 125 .
  • Each source/drain region 130 , 135 is formed adjacent to one or more of the temporary gate structures 140 , 141 and extends above the dielectric layer 110 .
  • Source/drain regions 130 , 135 may be formed, for example, by epitaxial growth of a semiconductor material from fin structures 120 , 125 . The semiconductor material over fin structures 120 , 125 merges during epitaxial growth to form source/drain regions 130 , 135 .
  • a portion of dielectric layer 110 is adjacent to source/drain region 130 and adjacent to source/drain region 135 , and is therefore disposed between source/drain regions 130 and 135 .
  • a dielectric liner 146 such as a silicon nitride liner, may be deposited over structure 100 following formation of source/drain regions 130 , 135 .
  • a sacrificial layer 150 is formed over source/drain regions 130 , 135 and dielectric layer 110 .
  • a lithography stack 151 is formed on the sacrificial layer 150 that includes one or more openings 154 that expose a portion of sacrificial layer 150 .
  • Sacrificial layer 150 may be composed of, for example, an organic planarization layer (OPL).
  • Lithography stack 151 may include a plurality of lithographic etch layers, such as an anti-reflective coating (ARC) layer 152 and a patterned resist layer 153 .
  • ARC anti-reflective coating
  • the anti-reflective coating layer 152 may be composed, in one example, of silicon dioxide deposited by a low-pressure chemical-vapor deposition (LPCVD) process, or low temperature oxidation (LTO). In other examples, the anti-reflective coating layer 152 may be composed of silicon oxynitride, titanium oxide, or other suitable anti-reflective coating material. Opening 154 in lithography stack 151 corresponds to a space between source/drain regions 130 , 135 in which a dielectric pillar is to be formed, as described further below.
  • LPCVD low-pressure chemical-vapor deposition
  • LTO low temperature oxidation
  • a trench 155 is etched in the sacrificial layer 150 between source/drain region 130 and source/drain region 135 .
  • the etching may be a selective etch process that is controlled to remove the exposed portion of sacrificial layer 150 and to terminate on the material of the dielectric liner 146 .
  • the term “selective” in reference to a material removal process denotes that, with an appropriate etchant choice, the material removal rate (i.e., etch rate) for the targeted material is greater than the removal rate for at least another material exposed to the material removal process.
  • a trench is generally formed in an interlayer dielectric (ILD) material, such as silicon dioxide, and the trench is subsequently filled to form a pillar between the source/drain regions.
  • ILD interlayer dielectric
  • Such conventional processes generally require etching processes, such as wet etching techniques, that do not have a sufficiently high etch selectivity for the ILD material; that is, other portions of the structure are damaged by the etch process as the ILD material is removed.
  • Etching trench 155 in sacrificial layer 150 allows for greater etch selectivity of the sacrificial layer 150 material relative to other materials and structures of structure 100 . Additionally, as further described below, remaining portions of the sacrificial layer 150 may be easily etched and removed after dielectric pillar 165 has been formed in trench 155 . Following formation of trench 155 , the patterned resist layer 153 is stripped and the anti-reflective coating layer 152 is removed from over sacrificial layer 150 , along with any additional layers of lithography stack 151 .
  • a dielectric material 160 is deposited over structure 100 to fill trench 155 and form a dielectric pillar 165 .
  • a dielectric liner 162 may also be formed on sidewalls of trench 155 , as well as over a top surface of sacrificial layer 150 , prior to deposition of dielectric material 160 .
  • Dielectric material 160 may be, for example, composed of an oxide of silicon, and the dielectric liner 162 may be composed, for example, a nitride of silicon.
  • dielectric material 160 is polished, leaving dielectric pillar 165 in place over dielectric layer 110 and between source/drain regions 130 , 135 .
  • Dielectric material 160 may be polished, for example, by a chemical-mechanical planarization (CMP) process that stops on dielectric liner 162 .
  • CMP chemical-mechanical planarization
  • the dielectric liner 162 is etched and removed from over remaining portions of sacrificial layer 150 , and the remaining portions of sacrificial layer 150 are removed, leaving the dielectric pillar 165 in place.
  • the dielectric liner 162 may be etched, for example, by a reactive-ion etch (RIE) process controlled to terminate after a selected time period has elapsed.
  • RIE reactive-ion etch
  • a sacrificial fill layer 170 is deposited over source/drain regions 130 , 135 and other portions of structure 100 .
  • the fill layer 170 may be composed of amorphous silicon ( ⁇ -Si), for example.
  • Amorphous silicon may be commonly used as a fill layer in many fabrication processes, although amorphous silicon as a fill layer may leave voids 172 over portions of structure 100 , such as over a source/drain regions 130 , 135 .
  • such voids 172 may subsequently trap deposited dielectric material, causing issues with subsequently opening the spaces over the source/drain regions 130 , 135 and thus precipitating issues with subsequent trench silicidation steps during contact formation.
  • any voids 172 in the fill layer 170 may be insignificant because such voids 172 are not exposed to a dielectric material and the fill layer 170 may be removed completely following replacement of temporary gate structures 140 , 141 with replacement gate structures as described below, allowing for complete removal of the fill layer 170 .
  • the fill layer 170 and dielectric pillar 165 are planarized to be co-planar with top surfaces of hardmask layer 145 and then recessed below top surfaces of temporary gate structures 140 , 141 .
  • a dielectric cap layer 175 is then deposited over the fill layer 170 and over the dielectric pillar 165 .
  • the fill layer 170 and dielectric pillar 165 may be planarized in a single chemical mechanical planarization step or may be planarized separately in multiple planarization steps.
  • the dielectric pillar 165 may be recessed before the fill layer 170 is recessed, or the fill layer 170 may be recessed prior to recessing the dielectric pillar 165 .
  • Dielectric cap layer 175 may be deposited, for example, by a high-density plasma (HDP) deposition process, such as an HDP-CVD process.
  • the dielectric cap layer 175 may be, for example, composed of an oxide-based dielectric material.
  • the hardmask layer 145 is removed to expose temporary gate structures 140 , and temporary gate structures 140 are removed.
  • the hardmask layer 145 may be etched or planarized in a controlled process that is controlled to terminate when temporary gate structures 140 , 141 are exposed.
  • Dielectric cap layer 175 protects the dielectric pillar 165 and fill layer 170 from being damaged or removed as the temporary gate structures 140 , 141 are being removed.
  • the functional gate structures 180 are formed to replace the temporary gate structures 140 and the functional gate structures 180 are capped with a dielectric gate cap material 185 .
  • the functional gate structures 180 may be metal gate structures that include a conformal gate dielectric, such as a high-k dielectric like hafnium oxide (HfO 2 ), and one or more conformal barrier metal layers and/or work function metal layers, such as layers composed of titanium aluminum carbide (TiAlC) and/or titanium nitride (TiN), and a metal gate fill layer composed of a conductor, such as tungsten (W).
  • a conformal gate dielectric such as a high-k dielectric like hafnium oxide (HfO 2 )
  • one or more conformal barrier metal layers and/or work function metal layers such as layers composed of titanium aluminum carbide (TiAlC) and/or titanium nitride (TiN)
  • a metal gate fill layer composed of a conductor, such as tungsten (W).
  • the dielectric pillar 165 , fill layer 170 , hardmask layer 145 and dielectric gate cap material 185 may be planarized by, for example, a chemical-mechanical planarization (CMP) process.
  • CMP chemical-mechanical planarization
  • the temporary gate structures 140 represent placeholder structures that are replaced by functional gate structures 180 .
  • the functional gate structures 180 may be used to control output current (i.e., flow of carriers in the channel) of a field-effect transistor.
  • fill layer 170 is removed, and a conductive layer 190 is formed over source/drain regions 130 , 135 .
  • Fill layer 170 may be removed, for example, by a selective wet etch process that removes all exposed fill layer 170 to fully open and expose the source/drain regions 130 , 135 .
  • the dielectric liner 146 may also be removed after fill layer 170 is removed, prior to deposition of the conductive layer 190 .
  • the dielectric liner 146 may, in alternative embodiments of the processes described herein, be removed from over source/drain regions 130 , 135 during earlier processing steps, such as prior to the deposition of fill layer 170 as described above.
  • the conductive layer 190 includes a portion in contact with source/drain region 130 and another portion in contact with the other source/drain region 135 , with the two portions of the conductive layer 190 separated by the dielectric pillar 165 .
  • the conductive layer 190 may be a conductor formed, for example, via a silicidation process, and the different portions of the conductive layer 190 may provide respective contacts with the source/drain region 130 , 135 .
  • the dielectric pillar 165 is formed prior to depositing the fill layer 170 and accordingly before replacing the temporary gate structures 140 , 141 with functional gate structures 180 .
  • the functional gate structures 180 may be formed first and the fill layer 170 removed prior to forming the dielectric pillar 165 , as described further below.
  • a fill layer 170 is deposited over source/drain regions 130 , 135 and other portions of structure 100 .
  • the fill layer 170 may be composed of amorphous silicon ( ⁇ -Si), for example.
  • voids 172 may form in fill layer 170 .
  • the fill layer 170 is recessed, temporary gate structures 140 are removed, and functional gate structures 180 and dielectric gate cap material 185 are formed to replace the temporary gate structures 140 , 141 .
  • the processes for planarizing and recessing fill layer 170 may be similar to the processes described above with respect to FIGS. 9A and 9B , except that separate steps for planarizing and recessing the dielectric pillar 165 are not needed because the dielectric pillar 165 is formed after fill layer 170 has been removed, as further described below.
  • Processes for forming functional gate structures 180 may be similar to the processes described above and illustrated in FIGS. 10A, 10B and 11A, 11B .
  • sacrificial layer 150 is formed over source/drain regions 130 , 135 and dielectric layer 110 .
  • Lithography stack 151 is formed on the sacrificial layer 150 that includes one or more openings 154 that expose a portion or portions of the sacrificial layer 150 .
  • Lithography stack 151 may include a plurality of lithographic etch layers, such as an anti-reflective coating layer 152 and a patterned resist layer 153 .
  • the anti-reflective coating layer 152 may be composed, in one example, of silicon dioxide deposited by a low-pressure chemical-vapor deposition (LPCVD) process, or LTO. In other examples, the anti-reflective coating layer 152 may be composed of silicon oxynitride, titanium oxide, or other suitable anti-reflective coating material. Opening 154 in lithography stack 151 corresponds to a space between source/drain regions 130 , 135 where a dielectric pillar 165 is to be formed.
  • LPCVD low-pressure chemical-vapor deposition
  • the dielectric pillar 165 is formed.
  • the process for forming dielectric pillar 165 , as well as dielectric liner 162 may be similar to the process described and illustrated in FIGS. 4A-6A and 4B-6B .
  • the lithography stack 151 and remaining sacrificial layer 150 can be removed as described and illustrated in FIG. 7A , and conductive layer 190 may be provided over source/drain regions 130 , 135 as described above, resulting in the structure depicted in FIGS. 12A and 12B .
  • FIGS. 17-21 illustrate an alternative process in which trench 155 in sacrificial layer 150 is etched in multiple stages, rather than in a single etch step, and which may be applied where the spacing between source/drain region 130 and source/drain region 135 is smaller than a critical dimension of the trench 155 that can be feasibly formed in a patterned resist layer such as patterned resist layer 153 .
  • FIGS. 22-24 illustrate another alternative process in which trench 155 is etched in multiple stages, including a partial etch of portions of source/drain regions 130 and 135 which may be applied where spacing between source/drain regions 130 and 135 is so small as to otherwise prevent fully etching trench 155 and forming a dielectric pillar 165 that contacts dielectric layer 110 .
  • the processes illustrated in FIGS. 17-21 or FIGS. 22-24 may be applied whether the dielectric pillar 165 is formed prior to formation of functional gate structures 180 or formed after formation of functional gate structures 180 , as described above.
  • an upper portion 155 a of trench 155 is etched in sacrificial layer 150 by, for example, a selective anisotropic RIE process.
  • the upper portion 155 a of trench 155 exposes a portion of source/drain region 130 and a portion of source/drain region 135 .
  • the etching of the upper portion 155 a of trench 155 may be controlled to terminate after a pre-determined depth of trench 155 has been removed and a desired portion of source/drain regions 130 , 135 has been exposed.
  • FIG. 17 illustrates one example of a depth for upper portion 155 a of trench 155 , but the etch process may be adjusted to expose lesser or greater portions of source/drain regions 130 , 135 .
  • dielectric spacers 161 are formed on the sacrificial layer 150 at the sidewalls of the upper portion 155 a of the trench 155 .
  • the dielectric spacers 161 may be formed, for example, depositing a conformal layer of a dielectric material by plasma-assisted atomic-layer deposition (PEALD) followed by an anisotropic RIE process.
  • PEALD plasma-assisted atomic-layer deposition
  • Dielectric spacers 161 may be composed of, for example, a nitride-based dielectric material such as silicon nitride.
  • the sacrificial layer 150 is etched with an anisotropic ME process to form a lower portion 155 b of trench 155 after the dielectric spacers 161 are formed.
  • the lower portion 155 b of trench 155 may be etched by an isotropic ME process, which may remove remaining portions of sacrificial layer 150 beneath source/drain regions 130 and 135 to provide the structure of FIG. 20 .
  • Dielectric spacers 161 protect portions of sacrificial layer 150 over source/drain regions 130 , 135 that are to remain unetched for formation of dielectric pillar 165 such that the size of the upper portion 155 a of trench 155 is preserved.
  • remaining portions of the sacrificial layer 150 are removed with an isotropic etch process, such as an isotropic RIE process, from beneath the source/drain regions 130 , 135 , which leaves spaces 157 adjacent to the lower portion 155 b of trench 155 .
  • Dielectric spacers 161 again protect portions of sacrificial layer 150 over source/drain regions 130 , 135 that are to remain unetched for formation of dielectric pillar 165 .
  • a dielectric liner 162 is disposed over dielectric spacers 161 , sidewalls of the lower portion of trench 155 , and exposed portions of source/drain region 130 and source/drain region 135 .
  • Dielectric pillar 165 may then be formed.
  • dielectric material 160 is deposited in trench 155 , as described above, the material conforms to the shape of the trench 155 , including the shapes of outer portions of source/drain regions 130 , 135 .
  • the dielectric pillar 165 formed may thus “wrap around” and conform to a portion of source/drain regions 130 and a portion of source/drain region 135 as shown in FIG. 21 .
  • An upper portion of dielectric pillar 165 may thus have a larger width than a lower portion of dielectric pillar 165 .
  • an upper portion 155 a of trench 155 is etched in sacrificial layer 150 .
  • the upper portion 155 a of trench 155 exposes a portion of source/drain region 130 and a portion of source/drain region 135 .
  • Upper portion 155 a of trench 155 may be etched, for example, by a selective anisotropic RIE process, as previously described, using a lithographic stack (not depicted in FIGS. 22-24 for simplicity) that exposes a portion of sacrificial layer 150 .
  • dielectric spacers 161 formed on sidewalls of the upper portion 155 a of trench 155 .
  • the dielectric spacers 161 may be formed, for example, via a plasma-assisted atomic-layer deposition (PEALD) process that deposits the material of the dielectric spacers 161 conformally over structure 10 followed by an anisotropic RIE etch of the material of the dielectric spacers 161 to remove the material from over the sacrificial layer 150 and a bottom surface of trench 155 , leaving the dielectric spacers 161 in place.
  • Dielectric spacers 161 may be composed of, for example, a nitride-based dielectric material such as silicon nitride.
  • outer portions of source/drain regions 130 and 135 which have been exposed by etching the upper portion 155 a of trench 155 , are etched and removed via a selective etch process, such as a selective anisotropic RIE process, to provide edges 130 a and 135 a .
  • the material of sacrificial layer 150 in the lower portion 155 b of trench 155 , as well as in spaces 157 below source/drain regions 130 , 135 may then be removed as described above and depicted in FIGS. 19 and 20 .
  • Etching the outer portions of source/drain region 130 , 135 may help to ensure that the dielectric pillar 165 has a sufficient thickness between the source/drain regions 130 , 135 to physically and electrically isolate the source/drain regions 130 , 135 from one another and prevent electric shorts.
  • a dielectric liner 162 is disposed over sidewalls of the second portion of trench 155 and over exposed portions of source/drain region 130 and source/drain region 135 .
  • Dielectric pillar 165 may then be formed.
  • dielectric material 160 is deposited in trench 155 , as described above, the material conforms to the shape of the trench 155 , including the shapes of outer portions of partially etched source/drain regions 130 , 135 .
  • the dielectric pillar 165 formed may thus “wrap around” and conform to a portion of source/drain regions 130 and a portion of source/drain region 135 as shown in FIG. 23 .
  • An upper portion of dielectric pillar 165 may thus have a larger width than a lower portion of dielectric pillar 165 .
  • the methods as described above are used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (e.g., a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (e.g., a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product.
  • references herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference.
  • the term “horizontal” as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation.
  • the terms “vertical” and “normal” refer to a direction perpendicular to the horizontal, as just defined.
  • the term “lateral” refers to a direction within the horizontal plane. Terms such as “above” and “below” are used to indicate positioning of elements or structures relative to each other as opposed to relative elevation.
  • a feature “connected” or “coupled” to or with another element may be directly connected or coupled to the other element or, instead, one or more intervening elements may be present.
  • a feature may be “directly connected” or “directly coupled” to another element if intervening elements are absent.
  • a feature may be “indirectly connected” or “indirectly coupled” to another element if at least one intervening element is present.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Structures and methods of fabricating structures that include contacts coupled with a source/drain region of a field-effect transistor. Source/drain regions are formed adjacent to a temporary gate structure. A sacrificial layer may be disposed over the source/drain regions and a dielectric pillar is formed in the sacrificial layer between the source/drain regions, followed by deposition of a fill material, replacement of the temporary gate structure with a functional gate structure, and removal of the fill material. Alternatively, the fill material is formed first and the temporary gate structure is replaced by a functional gate structure; following removal of the fill material, a sacrificial layer is disposed over the source/drain regions and a dielectric pillar is formed in the sacrificial layer between the source/drain regions. A conductive layer having separate portions contacting the separate source/drain regions is formed, with the dielectric pillar separating the portions of the conductive layer.

Description

    BACKGROUND
  • The present invention relates to semiconductor device fabrication and integrated circuits and, more specifically, to methods of fabricating structures that include contacts coupled with a source/drain region of a field-effect transistor and related structures.
  • As circuit sizes have continued to shrink, modifications to processes for fabricating active devices of an integrated circuit become necessary to ensure proper functioning of the circuit and devices and prevent issues such as electrical shorts. Fabrication techniques that work for making integrated circuits with larger feature sizes may break down for smaller feature sizes, requiring new processes to be developed to replace outdated methods. For example, in many fabrication processes an interlayer dielectric is formed ahead of trench silicidation processes to form conductive contacts between metal layers and active devices; portions of the interlayer dielectric remain as pillars while other portions are removed to allow for formation of conductive contacts. However, some sacrificial interlayer dielectric materials, such as amorphous silicon, will end up with “voids” formed during deposition of the material, and these voids can trap other dielectric materials in successive fabrication steps that can partially or entirely prevent removal of the interlayer dielectric, resulting in poor conductive contact structures. Other interlayer dielectric materials are difficult to remove because the etchants used to remove the materials cannot achieve perfect selectivity to the interlayer dielectric material, and may partially remove other portions of the circuit structure that must remain intact; other etchants that can achieve such high selectivity may no longer be usable with smaller feature sizes.
  • SUMMARY
  • In an embodiment of the invention, a method includes forming a first source/drain region and a second source/drain region adjacent to a temporary gate structure. A trench is etched in the sacrificial layer between the first source/drain region and the second source/drain region, and a dielectric material is deposited in the trench to form a dielectric pillar. After the dielectric material has been deposited in the trench, a fill layer is formed over the first source/drain region and the second source/drain region. The temporary gate structure is then replaced with a functional gate structure, and the fill layer is removed. A conductive layer is formed with a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The dielectric pillar separates the first portion of the conductive layer from the second portion of the conductive layer.
  • In another embodiment of the invention, a method includes forming a first source/drain region and a second source/drain region adjacent to a temporary gate structure. A fill layer is formed over the first source/drain region and the second source/drain region. The temporary gate structure is then replaced with a functional gate structure, and the fill layer is removed. A sacrificial layer is formed over the first source/drain region and the second source/drain region. A trench is etched in the sacrificial layer between the first source/drain region and the second source/drain region, and a dielectric material is deposited in the trench to form a dielectric pillar. A conductive layer is formed with a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The dielectric pillar separates the first portion of the conductive layer from the second portion of the conductive layer.
  • In an embodiment, a structure includes a first fin structure and a second fin structure each extending above the substrate. A first source/drain region is disposed over a portion of the first fin structure, and a second source/drain region is disposed over a portion of the second fin structure. A dielectric pillar is disposed between the first and second source/drain regions. The dielectric pillar is arranged to wrap around a portion of the first source/drain region and a portion of the second source/drain region. A conductive layer has a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The first and second portions of the conductive layer are separated by the dielectric pillar.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate various embodiments of the invention and, together with a general description of the invention given above and the detailed description of the embodiments given below, serve to explain the embodiments of the invention.
  • FIG. 1 is a top view of a structure at a fabrication stage of a processing method in accordance with embodiments of the invention.
  • FIG. 1A is a cross-sectional view of taken generally along line 1A-1A in FIG. 1.
  • FIG. 1B is a cross-sectional view of taken generally along line 1B-1B in FIG. 1.
  • FIG. 1C is a cross-sectional view of taken generally along line 1C-1C in FIG. 1.
  • FIGS. 2A-12A and FIGS. 2B-12B are cross-sectional views at successive fabrication stages of the processing method subsequent to, respectively, FIG. 1A and FIG. 1B.
  • FIGS. 13A-16A and 13B-16B are cross-sectional views of the structure of FIGS. 1A and 1B at successive fabrication stages of an alternative processing method in accordance with embodiments of the invention.
  • FIGS. 17-21 are cross-sectional views of a structure at successive additional fabrication stages of the processing method in accordance with embodiments of the invention.
  • FIGS. 22-24 are cross-sectional views of a structure at successive additional fabrication stages of the processing method in accordance with embodiments of the invention.
  • DETAILED DESCRIPTION
  • With reference to FIGS. 1, 1A, 1B, and 1C and in accordance with embodiments of the invention, a structure 100 includes a substrate 105 and fin structures 120, 125 extending above the substrate, with a dielectric layer 110 disposed over the substrate 105 and between fin structures 120, 125. Structure 100 also includes temporary gate structures 140, 141, spacers 144 on sidewalls of temporary gate structures 140, 141, and a hardmask layer 145 disposed over upper surfaces of dummy or temporary gate structures 140, 141. Spacers 144 and hardmask layer 145 may be composed of the same dielectric material, such as silicon nitride, or may be composed of different materials; for simplicity and clarity in the figures, the hardmask layer 145 and spacers 144 are depicted throughout as being composed of the same material. Substrate 105 may be a semiconductor substrate, such as a silicon wafer, and fin structures 120, 125 may be formed from the substrate 105 by, for example, a lithography and etching. Fin structures 120, 125 may include a plurality of semiconductor fins extending above the substrate 105, and may be composed of the same material as substrate 105 or may include other materials, such as germanium or other semiconductor materials. Fin structures 120, 125 may be formed, for example, by a self-aligned double patterning (SADP) process, a self-aligned quadruple patterning (SAQP) process, or other fin formation process. The dielectric layer 110 may be composed, for example, of an oxide of silicon such as silicon dioxide. Temporary gate structures 140, 141 may be composed of sacrificial materials, such as an amorphous silicon layer and a thin underlying oxide layer, and hardmask layer 145 may be composed of a dielectric material, such as silicon nitride, SiOCN, etc.
  • With reference to FIGS. 2A and 2B in which like reference numerals refer to like features in FIGS. 1A and 1B, respectively, and at a subsequent fabrication stage of the processing method, source/ drain regions 130, 135 are formed over portions of fin structures 120, 125. Each source/ drain region 130, 135 is formed adjacent to one or more of the temporary gate structures 140, 141 and extends above the dielectric layer 110. Source/ drain regions 130, 135 may be formed, for example, by epitaxial growth of a semiconductor material from fin structures 120, 125. The semiconductor material over fin structures 120, 125 merges during epitaxial growth to form source/ drain regions 130, 135. A portion of dielectric layer 110 is adjacent to source/drain region 130 and adjacent to source/drain region 135, and is therefore disposed between source/ drain regions 130 and 135. A dielectric liner 146, such as a silicon nitride liner, may be deposited over structure 100 following formation of source/ drain regions 130, 135.
  • With reference to FIGS. 3A and 3B in which like reference numerals refer to like features in FIGS. 2A and 2B and at a subsequent fabrication stage of the processing method, a sacrificial layer 150 is formed over source/ drain regions 130, 135 and dielectric layer 110. A lithography stack 151 is formed on the sacrificial layer 150 that includes one or more openings 154 that expose a portion of sacrificial layer 150. Sacrificial layer 150 may be composed of, for example, an organic planarization layer (OPL). Lithography stack 151 may include a plurality of lithographic etch layers, such as an anti-reflective coating (ARC) layer 152 and a patterned resist layer 153. The anti-reflective coating layer 152 may be composed, in one example, of silicon dioxide deposited by a low-pressure chemical-vapor deposition (LPCVD) process, or low temperature oxidation (LTO). In other examples, the anti-reflective coating layer 152 may be composed of silicon oxynitride, titanium oxide, or other suitable anti-reflective coating material. Opening 154 in lithography stack 151 corresponds to a space between source/ drain regions 130, 135 in which a dielectric pillar is to be formed, as described further below.
  • With reference to FIGS. 4A and 4B in which like reference numerals refer to like features in FIGS. 3A and 3B and at a subsequent fabrication stage of the processing method, a trench 155 is etched in the sacrificial layer 150 between source/drain region 130 and source/drain region 135. The etching may be a selective etch process that is controlled to remove the exposed portion of sacrificial layer 150 and to terminate on the material of the dielectric liner 146. As used herein, the term “selective” in reference to a material removal process (e.g., etching) denotes that, with an appropriate etchant choice, the material removal rate (i.e., etch rate) for the targeted material is greater than the removal rate for at least another material exposed to the material removal process. In conventional fabrication processes, a trench is generally formed in an interlayer dielectric (ILD) material, such as silicon dioxide, and the trench is subsequently filled to form a pillar between the source/drain regions. Such conventional processes, however, generally require etching processes, such as wet etching techniques, that do not have a sufficiently high etch selectivity for the ILD material; that is, other portions of the structure are damaged by the etch process as the ILD material is removed. Etching trench 155 in sacrificial layer 150, however, allows for greater etch selectivity of the sacrificial layer 150 material relative to other materials and structures of structure 100. Additionally, as further described below, remaining portions of the sacrificial layer 150 may be easily etched and removed after dielectric pillar 165 has been formed in trench 155. Following formation of trench 155, the patterned resist layer 153 is stripped and the anti-reflective coating layer 152 is removed from over sacrificial layer 150, along with any additional layers of lithography stack 151.
  • With reference to FIGS. 5A and 5B in which like reference numerals refer to like features in FIGS. 4A and 4B and at a subsequent fabrication stage of the processing method, a dielectric material 160 is deposited over structure 100 to fill trench 155 and form a dielectric pillar 165. A dielectric liner 162 may also be formed on sidewalls of trench 155, as well as over a top surface of sacrificial layer 150, prior to deposition of dielectric material 160. Dielectric material 160 may be, for example, composed of an oxide of silicon, and the dielectric liner 162 may be composed, for example, a nitride of silicon.
  • With reference to FIGS. 6A and 6B in which like reference numerals refer to like features in FIGS. 5A and 5B and at a subsequent fabrication stage of the processing method, dielectric material 160 is polished, leaving dielectric pillar 165 in place over dielectric layer 110 and between source/ drain regions 130, 135. Dielectric material 160 may be polished, for example, by a chemical-mechanical planarization (CMP) process that stops on dielectric liner 162.
  • With reference to FIGS. 7A and 7B in which like reference numerals refer to like features in FIGS. 6A and 6B and at a subsequent fabrication stage of the processing method, the dielectric liner 162 is etched and removed from over remaining portions of sacrificial layer 150, and the remaining portions of sacrificial layer 150 are removed, leaving the dielectric pillar 165 in place. The dielectric liner 162 may be etched, for example, by a reactive-ion etch (RIE) process controlled to terminate after a selected time period has elapsed.
  • With reference to FIGS. 8A and 8B in which like reference numerals refer to like features in FIGS. 7A and 7B and at a subsequent fabrication stage of the processing method, a sacrificial fill layer 170 is deposited over source/ drain regions 130, 135 and other portions of structure 100. The fill layer 170 may be composed of amorphous silicon (α-Si), for example. Amorphous silicon may be commonly used as a fill layer in many fabrication processes, although amorphous silicon as a fill layer may leave voids 172 over portions of structure 100, such as over a source/ drain regions 130, 135. In other fabrication processes, such voids 172 may subsequently trap deposited dielectric material, causing issues with subsequently opening the spaces over the source/ drain regions 130, 135 and thus precipitating issues with subsequent trench silicidation steps during contact formation. In the processes described herein, however, any voids 172 in the fill layer 170 may be insignificant because such voids 172 are not exposed to a dielectric material and the fill layer 170 may be removed completely following replacement of temporary gate structures 140, 141 with replacement gate structures as described below, allowing for complete removal of the fill layer 170.
  • With reference to FIGS. 9A and 9B in which like reference numerals refer to like features in FIGS. 8A and 8B and at a subsequent fabrication stage of the processing method, the fill layer 170 and dielectric pillar 165 are planarized to be co-planar with top surfaces of hardmask layer 145 and then recessed below top surfaces of temporary gate structures 140, 141. A dielectric cap layer 175 is then deposited over the fill layer 170 and over the dielectric pillar 165. The fill layer 170 and dielectric pillar 165 may be planarized in a single chemical mechanical planarization step or may be planarized separately in multiple planarization steps. The dielectric pillar 165 may be recessed before the fill layer 170 is recessed, or the fill layer 170 may be recessed prior to recessing the dielectric pillar 165. Dielectric cap layer 175 may be deposited, for example, by a high-density plasma (HDP) deposition process, such as an HDP-CVD process. The dielectric cap layer 175 may be, for example, composed of an oxide-based dielectric material.
  • With reference to FIGS. 10A and 10B in which like reference numerals refer to like features in FIGS. 9A and 9B and at a subsequent fabrication stage of the processing method, the hardmask layer 145 is removed to expose temporary gate structures 140, and temporary gate structures 140 are removed. The hardmask layer 145 may be etched or planarized in a controlled process that is controlled to terminate when temporary gate structures 140, 141 are exposed. Dielectric cap layer 175 protects the dielectric pillar 165 and fill layer 170 from being damaged or removed as the temporary gate structures 140, 141 are being removed.
  • With reference to FIGS. 11A and 11B in which like reference numerals refer to like features in FIGS. 10A and 10B and at a subsequent fabrication stage of the processing method, functional gate structures 180 are formed to replace the temporary gate structures 140 and the functional gate structures 180 are capped with a dielectric gate cap material 185. The functional gate structures 180 may be metal gate structures that include a conformal gate dielectric, such as a high-k dielectric like hafnium oxide (HfO2), and one or more conformal barrier metal layers and/or work function metal layers, such as layers composed of titanium aluminum carbide (TiAlC) and/or titanium nitride (TiN), and a metal gate fill layer composed of a conductor, such as tungsten (W). Following formation of functional gate structures 180, the dielectric pillar 165, fill layer 170, hardmask layer 145 and dielectric gate cap material 185 may be planarized by, for example, a chemical-mechanical planarization (CMP) process. The temporary gate structures 140 represent placeholder structures that are replaced by functional gate structures 180. The functional gate structures 180 may be used to control output current (i.e., flow of carriers in the channel) of a field-effect transistor.
  • With reference to FIGS. 12A and 12B in which like reference numerals refer to like features in FIGS. 11A and 11B and at a subsequent fabrication stage of the processing method, fill layer 170 is removed, and a conductive layer 190 is formed over source/ drain regions 130, 135. Fill layer 170 may be removed, for example, by a selective wet etch process that removes all exposed fill layer 170 to fully open and expose the source/ drain regions 130, 135. The dielectric liner 146 may also be removed after fill layer 170 is removed, prior to deposition of the conductive layer 190. The dielectric liner 146 may, in alternative embodiments of the processes described herein, be removed from over source/ drain regions 130, 135 during earlier processing steps, such as prior to the deposition of fill layer 170 as described above. The conductive layer 190 includes a portion in contact with source/drain region 130 and another portion in contact with the other source/drain region 135, with the two portions of the conductive layer 190 separated by the dielectric pillar 165. The conductive layer 190 may be a conductor formed, for example, via a silicidation process, and the different portions of the conductive layer 190 may provide respective contacts with the source/ drain region 130, 135.
  • As described above in connection with FIGS. 2A-12A and 2B-12B, the dielectric pillar 165 is formed prior to depositing the fill layer 170 and accordingly before replacing the temporary gate structures 140, 141 with functional gate structures 180. As illustrated in FIGS. 13A-16A and 13B-16B and in alternative embodiments, however, the functional gate structures 180 may be formed first and the fill layer 170 removed prior to forming the dielectric pillar 165, as described further below.
  • With reference to FIGS. 13A and 13B in which like reference numerals refer to like features in FIGS. 2A and 2B, respectively, and at a subsequent fabrication stage of the processing method, a fill layer 170 is deposited over source/ drain regions 130, 135 and other portions of structure 100. The fill layer 170 may be composed of amorphous silicon (α-Si), for example. As described above, voids 172 may form in fill layer 170.
  • With reference to FIGS. 14A and 14B in which like reference numerals refer to like features in FIGS. 13A and 13B and at a subsequent fabrication stage of the processing method, the fill layer 170 is recessed, temporary gate structures 140 are removed, and functional gate structures 180 and dielectric gate cap material 185 are formed to replace the temporary gate structures 140,141. The processes for planarizing and recessing fill layer 170 may be similar to the processes described above with respect to FIGS. 9A and 9B, except that separate steps for planarizing and recessing the dielectric pillar 165 are not needed because the dielectric pillar 165 is formed after fill layer 170 has been removed, as further described below. Processes for forming functional gate structures 180 may be similar to the processes described above and illustrated in FIGS. 10A, 10B and 11A, 11B.
  • With reference to FIGS. 15A and 15B in which like reference numerals refer to like features in FIGS. 14A and 14B and at a subsequent fabrication stage of the processing method, after fill layer 170 has been completely removed from structure 100, sacrificial layer 150 is formed over source/ drain regions 130, 135 and dielectric layer 110. Lithography stack 151 is formed on the sacrificial layer 150 that includes one or more openings 154 that expose a portion or portions of the sacrificial layer 150. Lithography stack 151 may include a plurality of lithographic etch layers, such as an anti-reflective coating layer 152 and a patterned resist layer 153. The anti-reflective coating layer 152 may be composed, in one example, of silicon dioxide deposited by a low-pressure chemical-vapor deposition (LPCVD) process, or LTO. In other examples, the anti-reflective coating layer 152 may be composed of silicon oxynitride, titanium oxide, or other suitable anti-reflective coating material. Opening 154 in lithography stack 151 corresponds to a space between source/ drain regions 130, 135 where a dielectric pillar 165 is to be formed.
  • With reference to FIGS. 16A and 16B in which like reference numerals refer to like features in FIGS. 15A and 15B and at a subsequent fabrication stage of the processing method, the dielectric pillar 165 is formed. The process for forming dielectric pillar 165, as well as dielectric liner 162, may be similar to the process described and illustrated in FIGS. 4A-6A and 4B-6B. After the dielectric pillar 165 is formed, the lithography stack 151 and remaining sacrificial layer 150 can be removed as described and illustrated in FIG. 7A, and conductive layer 190 may be provided over source/ drain regions 130, 135 as described above, resulting in the structure depicted in FIGS. 12A and 12B.
  • FIGS. 17-21 illustrate an alternative process in which trench 155 in sacrificial layer 150 is etched in multiple stages, rather than in a single etch step, and which may be applied where the spacing between source/drain region 130 and source/drain region 135 is smaller than a critical dimension of the trench 155 that can be feasibly formed in a patterned resist layer such as patterned resist layer 153. FIGS. 22-24 illustrate another alternative process in which trench 155 is etched in multiple stages, including a partial etch of portions of source/ drain regions 130 and 135 which may be applied where spacing between source/ drain regions 130 and 135 is so small as to otherwise prevent fully etching trench 155 and forming a dielectric pillar 165 that contacts dielectric layer 110. The processes illustrated in FIGS. 17-21 or FIGS. 22-24 may be applied whether the dielectric pillar 165 is formed prior to formation of functional gate structures 180 or formed after formation of functional gate structures 180, as described above.
  • With respect to FIG. 17 in which like reference numerals refer to like features in FIGS. 3A and 3B and FIGS. 16A and 16B and at a subsequent fabrication stage of either processing method, an upper portion 155 a of trench 155 is etched in sacrificial layer 150 by, for example, a selective anisotropic RIE process. The upper portion 155 a of trench 155 exposes a portion of source/drain region 130 and a portion of source/drain region 135. The etching of the upper portion 155 a of trench 155 may be controlled to terminate after a pre-determined depth of trench 155 has been removed and a desired portion of source/ drain regions 130, 135 has been exposed. Thus, FIG. 17 illustrates one example of a depth for upper portion 155 a of trench 155, but the etch process may be adjusted to expose lesser or greater portions of source/ drain regions 130, 135.
  • With respect to FIG. 18 in which like reference numerals refer to like features in FIG. 17 and at a subsequent fabrication stage of the processing method, dielectric spacers 161 are formed on the sacrificial layer 150 at the sidewalls of the upper portion 155 a of the trench 155. The dielectric spacers 161 may be formed, for example, depositing a conformal layer of a dielectric material by plasma-assisted atomic-layer deposition (PEALD) followed by an anisotropic RIE process. Dielectric spacers 161 may be composed of, for example, a nitride-based dielectric material such as silicon nitride.
  • With respect to FIG. 19 in which like reference numerals refer to like features in FIG. 18 and at a subsequent fabrication stage of the processing method, the sacrificial layer 150 is etched with an anisotropic ME process to form a lower portion 155 b of trench 155 after the dielectric spacers 161 are formed. In alternative embodiments, the lower portion 155 b of trench 155 may be etched by an isotropic ME process, which may remove remaining portions of sacrificial layer 150 beneath source/ drain regions 130 and 135 to provide the structure of FIG. 20. Dielectric spacers 161 protect portions of sacrificial layer 150 over source/ drain regions 130, 135 that are to remain unetched for formation of dielectric pillar 165 such that the size of the upper portion 155 a of trench 155 is preserved.
  • With respect to FIG. 20 in which like reference numerals refer to like features in FIG. 19 and at a subsequent fabrication stage of the processing method, remaining portions of the sacrificial layer 150 are removed with an isotropic etch process, such as an isotropic RIE process, from beneath the source/ drain regions 130, 135, which leaves spaces 157 adjacent to the lower portion 155 b of trench 155. Dielectric spacers 161 again protect portions of sacrificial layer 150 over source/ drain regions 130, 135 that are to remain unetched for formation of dielectric pillar 165.
  • With respect to FIG. 21 in which like reference numerals refer to like features in FIG. 20 and at a subsequent fabrication stage of the processing method, a dielectric liner 162 is disposed over dielectric spacers 161, sidewalls of the lower portion of trench 155, and exposed portions of source/drain region 130 and source/drain region 135. Dielectric pillar 165 may then be formed. As dielectric material 160 is deposited in trench 155, as described above, the material conforms to the shape of the trench 155, including the shapes of outer portions of source/ drain regions 130, 135. The dielectric pillar 165 formed may thus “wrap around” and conform to a portion of source/drain regions 130 and a portion of source/drain region 135 as shown in FIG. 21. An upper portion of dielectric pillar 165 may thus have a larger width than a lower portion of dielectric pillar 165.
  • With respect to FIG. 22 in which like reference numerals refer to like features in FIGS. 3A and 3B and FIGS. 16A and 16B and at a subsequent fabrication stage of either processing method, an upper portion 155 a of trench 155 is etched in sacrificial layer 150. The upper portion 155 a of trench 155 exposes a portion of source/drain region 130 and a portion of source/drain region 135. Upper portion 155 a of trench 155 may be etched, for example, by a selective anisotropic RIE process, as previously described, using a lithographic stack (not depicted in FIGS. 22-24 for simplicity) that exposes a portion of sacrificial layer 150. FIG. 22 also shows dielectric spacers 161 formed on sidewalls of the upper portion 155 a of trench 155. The dielectric spacers 161 may be formed, for example, via a plasma-assisted atomic-layer deposition (PEALD) process that deposits the material of the dielectric spacers 161 conformally over structure 10 followed by an anisotropic RIE etch of the material of the dielectric spacers 161 to remove the material from over the sacrificial layer 150 and a bottom surface of trench 155, leaving the dielectric spacers 161 in place. Dielectric spacers 161 may be composed of, for example, a nitride-based dielectric material such as silicon nitride.
  • With respect to FIG. 23 in which like reference numerals refer to like features in FIG. 22 and at a subsequent fabrication stage of the processing method, outer portions of source/ drain regions 130 and 135, which have been exposed by etching the upper portion 155 a of trench 155, are etched and removed via a selective etch process, such as a selective anisotropic RIE process, to provide edges 130 a and 135 a. The material of sacrificial layer 150 in the lower portion 155 b of trench 155, as well as in spaces 157 below source/ drain regions 130, 135, may then be removed as described above and depicted in FIGS. 19 and 20. Etching the outer portions of source/ drain region 130, 135 may help to ensure that the dielectric pillar 165 has a sufficient thickness between the source/ drain regions 130, 135 to physically and electrically isolate the source/ drain regions 130, 135 from one another and prevent electric shorts.
  • With respect to FIG. 24 in which like reference numerals refer to like features in FIG. 23 and at a subsequent fabrication stage of the processing method, a dielectric liner 162 is disposed over sidewalls of the second portion of trench 155 and over exposed portions of source/drain region 130 and source/drain region 135. Dielectric pillar 165 may then be formed. As dielectric material 160 is deposited in trench 155, as described above, the material conforms to the shape of the trench 155, including the shapes of outer portions of partially etched source/ drain regions 130, 135. The dielectric pillar 165 formed may thus “wrap around” and conform to a portion of source/drain regions 130 and a portion of source/drain region 135 as shown in FIG. 23. An upper portion of dielectric pillar 165 may thus have a larger width than a lower portion of dielectric pillar 165.
  • The methods as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (e.g., a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (e.g., a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product.
  • References herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference. The term “horizontal” as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation. The terms “vertical” and “normal” refer to a direction perpendicular to the horizontal, as just defined. The term “lateral” refers to a direction within the horizontal plane. Terms such as “above” and “below” are used to indicate positioning of elements or structures relative to each other as opposed to relative elevation.
  • A feature “connected” or “coupled” to or with another element may be directly connected or coupled to the other element or, instead, one or more intervening elements may be present. A feature may be “directly connected” or “directly coupled” to another element if intervening elements are absent. A feature may be “indirectly connected” or “indirectly coupled” to another element if at least one intervening element is present.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (14)

What is claimed is:
1. A structure comprising:
a first source/drain region and a second source/drain region;
a dielectric pillar disposed between the first source/drain region and the second source/drain region, the dielectric pillar arranged to wrap around a portion of the first source/drain region and a portion of the second source/drain region; and
a conductive layer having a first portion in a first contacting relationship with the first source/drain region and a second portion in a second contacting relationship with the second source/drain region,
wherein the dielectric pillar is arranged between the first portion of the conductive layer and the second portion of the conductive layer.
2. The structure of claim 1 wherein the dielectric pillar has a first portion and a second portion below the first portion, and the first portion has a first width that is greater than a second width of the second portion.
3. The structure of claim 2 wherein the dielectric pillar has a third portion below the second portion, and the first source/drain region and the second source/drain region are arranged between the third portion of the dielectric pillar and the second portion of the dielectric pillar.
4. The structure of claim 3 further comprising:
a conformal dielectric layer having a first portion arranged between the portion of the first source/drain region and the second portion of the dielectric pillar and a second portion arranged between the portion of the second source/drain region and the second portion of the dielectric pillar.
5. The structure of claim 3 further comprising:
a dielectric layer arranged beneath the first source/drain region and the second source/drain region,
wherein the third portion of the dielectric pillar is arranged over the dielectric layer.
6. The structure of claim 5 further comprising:
a first fin structure; and
a second fin structure separated from the first fin structure,
wherein the first source/drain region is arranged on the first fin structure, the second source/drain region is arranged on the second fin structure, and the first fin structure and the second fin structure each penetrate from a substrate through the dielectric layer.
7. The structure of claim 3 further comprising:
a dielectric layer arranged beneath the first source/drain region and the second source/drain region,
wherein the dielectric pillar is arranged over the dielectric layer.
8. The structure of claim 7 further comprising:
a first fin structure; and
a second fin structure separated from the first fin structure,
wherein the first source/drain region is arranged on the first fin structure, the second source/drain region is arranged on the second fin structure, and the first fin structure and the second fin structure each penetrate from a substrate through the dielectric layer.
9. The structure of claim 1 further comprising:
a conformal dielectric layer having a first portion arranged between the portion of the first source/drain region and the second portion of the dielectric pillar and a second portion arranged between the portion of the second source/drain region and the second portion of the dielectric pillar.
10. The structure of claim 9 wherein the conformal dielectric layer is comprised of a nitride of silicon, and the dielectric pillar is comprised of an oxide of silicon.
11. The structure of claim 1 further comprising:
a dielectric layer arranged beneath the first source/drain region and the second source/drain region,
wherein the dielectric pillar is arranged over the dielectric layer.
12. The structure of claim 11 further comprising:
a first fin structure; and
a second fin structure separated from the first fin structure,
wherein the first source/drain region is arranged on the first fin structure, the second source/drain region is arranged on the second fin structure, and the first fin structure and the second fin structure each penetrate from a substrate through the dielectric layer.
13. The structure of claim 12 further comprising:
a first gate structure coupled with the first fin structure; and
a second gate structure coupled with the second fin structure.
14. The structure of claim 13 wherein the first gate structure and the second gate structure each include one or more work function metal layers.
US16/403,745 2018-03-22 2019-05-06 Contacts formed with self-aligned cuts Abandoned US20190295898A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/403,745 US20190295898A1 (en) 2018-03-22 2019-05-06 Contacts formed with self-aligned cuts

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/928,783 US10373875B1 (en) 2018-03-22 2018-03-22 Contacts formed with self-aligned cuts
US16/403,745 US20190295898A1 (en) 2018-03-22 2019-05-06 Contacts formed with self-aligned cuts

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/928,783 Division US10373875B1 (en) 2018-03-22 2018-03-22 Contacts formed with self-aligned cuts

Publications (1)

Publication Number Publication Date
US20190295898A1 true US20190295898A1 (en) 2019-09-26

Family

ID=67477566

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/928,783 Active US10373875B1 (en) 2018-03-22 2018-03-22 Contacts formed with self-aligned cuts
US16/403,745 Abandoned US20190295898A1 (en) 2018-03-22 2019-05-06 Contacts formed with self-aligned cuts

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/928,783 Active US10373875B1 (en) 2018-03-22 2018-03-22 Contacts formed with self-aligned cuts

Country Status (1)

Country Link
US (2) US10373875B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210167071A1 (en) * 2018-08-29 2021-06-03 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US20220293752A1 (en) * 2020-09-25 2022-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation Structure For Isolating Epitaxially Grown Source/Drain Regions And Method Of Fabrication Thereof
US20220344214A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Structures With Densly Spaced Contact Features

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11664423B2 (en) * 2020-08-18 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a source/drain of a semiconductor device having an insulating stack in a recess structure
CN115863252B (en) * 2023-01-29 2023-05-23 合肥晶合集成电路股份有限公司 Preparation method of semiconductor structure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140048765A1 (en) * 2012-08-16 2014-02-20 Xiaolong Ma Semiconductor device and method for manufacturing the same
US20150214059A1 (en) * 2014-01-28 2015-07-30 GlobalFoundries, Inc. Integrated circuits with metal-insulator-semiconductor (mis) contact structures and methods for fabricating same
US20180122938A1 (en) * 2016-10-31 2018-05-03 International Business Machines Corporation Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
US20180294184A1 (en) * 2017-04-07 2018-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-Resistance Contact Plugs and Method Forming Same
US20180331179A1 (en) * 2017-02-13 2018-11-15 International Business Machines Corporation Nanosheet transistors on bulk material
US20180331166A1 (en) * 2016-10-06 2018-11-15 Samsung Display Co., Ltd. Flexible display device and method of manufacturing the same
US20180337176A1 (en) * 2016-11-29 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US20190157409A1 (en) * 2017-11-22 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Forming Metal Contacts on Metal Gates

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
DE102011004323B4 (en) 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Semiconductor device with self-aligned contact elements and method for its production
US9059024B2 (en) 2011-12-20 2015-06-16 Intel Corporation Self-aligned contact metallization for reduced contact resistance
US9390979B2 (en) 2014-09-10 2016-07-12 Globalfoundries Inc. Opposite polarity borderless replacement metal contact scheme

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140048765A1 (en) * 2012-08-16 2014-02-20 Xiaolong Ma Semiconductor device and method for manufacturing the same
US20150214059A1 (en) * 2014-01-28 2015-07-30 GlobalFoundries, Inc. Integrated circuits with metal-insulator-semiconductor (mis) contact structures and methods for fabricating same
US20180331166A1 (en) * 2016-10-06 2018-11-15 Samsung Display Co., Ltd. Flexible display device and method of manufacturing the same
US20180122938A1 (en) * 2016-10-31 2018-05-03 International Business Machines Corporation Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
US20180337176A1 (en) * 2016-11-29 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US20180331179A1 (en) * 2017-02-13 2018-11-15 International Business Machines Corporation Nanosheet transistors on bulk material
US20180294184A1 (en) * 2017-04-07 2018-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-Resistance Contact Plugs and Method Forming Same
US20190157409A1 (en) * 2017-11-22 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Forming Metal Contacts on Metal Gates

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210167071A1 (en) * 2018-08-29 2021-06-03 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US11810860B2 (en) * 2018-08-29 2023-11-07 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device with conductive structure and insulation layer of different width
US20220293752A1 (en) * 2020-09-25 2022-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation Structure For Isolating Epitaxially Grown Source/Drain Regions And Method Of Fabrication Thereof
US11990525B2 (en) * 2020-09-25 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for isolating epitaxially grown source/drain regions and method of fabrication thereof
US20220344214A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Structures With Densly Spaced Contact Features

Also Published As

Publication number Publication date
US10373875B1 (en) 2019-08-06

Similar Documents

Publication Publication Date Title
US10818792B2 (en) Nanosheet field-effect transistors formed with sacrificial spacers
US10714567B2 (en) Nanosheet field-effect transistor with substrate isolation
US10373875B1 (en) Contacts formed with self-aligned cuts
US8421077B2 (en) Replacement gate MOSFET with self-aligned diffusion contact
US6852620B2 (en) Semiconductor device with self-aligned junction contact hole and method of fabricating the same
KR102451417B1 (en) Semiconductor devices
US20140306297A1 (en) Recessing sti to increase fin height in fin-first process
CN108400137B (en) Integration of vertical field effect transistors with saddle-shaped fin field effect transistors
US9972495B1 (en) Low-K dielectric spacer for a gate cut
US10283617B1 (en) Hybrid spacer integration for field-effect transistors
US20220223592A1 (en) Semiconductor device including fin field effect transistor
TW201733002A (en) Field effect transistor device
US10326002B1 (en) Self-aligned gate contact and cross-coupling contact formation
KR20190017489A (en) A semiconductor device
US10084053B1 (en) Gate cuts after metal gate formation
US11043397B2 (en) Method of forming a pattern and method of manufacturing a semiconductor device using the same
US20180190780A1 (en) Semiconductor device and method of manufacturing the same
US9741615B1 (en) Contacts for a fin-type field-effect transistor
US20180366324A1 (en) Replacement contact cuts with an encapsulated low-k dielectric
TW201731109A (en) Fin-type field effect transistor device and manufacturing method thereof
US10784342B1 (en) Single diffusion breaks formed with liner protection for source and drain regions
CN107424922B (en) Apparatus and method for forming cross-coupled contacts
US9997402B2 (en) Method of manufacturing a wiring structure on a self-forming barrier pattern
US10916470B2 (en) Modified dielectric fill between the contacts of field-effect transistors
US11211293B2 (en) FinFET device and methods of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIE, RUILONG;JAEGER, DANIEL;PARK, CHANRO;AND OTHERS;SIGNING DATES FROM 20180309 TO 20180320;REEL/FRAME:049089/0949

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117