US20190287863A1 - Vertical field effect transistors incorporating u-shaped semiconductor bodies and methods - Google Patents

Vertical field effect transistors incorporating u-shaped semiconductor bodies and methods Download PDF

Info

Publication number
US20190287863A1
US20190287863A1 US15/920,748 US201815920748A US2019287863A1 US 20190287863 A1 US20190287863 A1 US 20190287863A1 US 201815920748 A US201815920748 A US 201815920748A US 2019287863 A1 US2019287863 A1 US 2019287863A1
Authority
US
United States
Prior art keywords
drain region
gate
source
semiconductor
semiconductor body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/920,748
Other versions
US10418484B1 (en
Inventor
Ruilong Xie
Lars Liebmann
Edward J. Nowak
Julien Frougier
Jia ZENG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/920,748 priority Critical patent/US10418484B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NOWAK, EDWARD J., ZENG, Jia, XIE, RUILONG, FROUGIER, JULIEN, LIEBMANN, LARS
Priority to TW108104794A priority patent/TWI698998B/en
Application granted granted Critical
Publication of US10418484B1 publication Critical patent/US10418484B1/en
Publication of US20190287863A1 publication Critical patent/US20190287863A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/27ROM only
    • H10B20/40ROM only having the source region and drain region on different levels, e.g. vertical channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Disclosed is a semiconductor structure that includes a vertical field effect transistor (VFET) with a U-shaped semiconductor body. The semiconductor structure can be a standard VFET or a feedback VFET. In either case, the VFET includes a lower source/drain region, a semiconductor body on the lower source/drain region, and an upper source/drain region on the top of the semiconductor body. Rather than having an elongated fin shape, the semiconductor body folds back on itself in the Z direction so as to be essentially U-shaped (as viewed from above). Using a U-shaped semiconductor body reduces the dimension of the VFET in the Z direction without reducing the end-to-end length of the semiconductor body. Thus, VFET cell height can be reduced without reducing device drive current or violating critical design rules. Also disclosed is a method of forming a semiconductor structure that includes such a VFET with a U-shaped semiconductor body.

Description

    BACKGROUND Field of the Invention
  • The present invention relates to vertical field effect transistors (VFETs) and, more particularly, to VFET structures and methods that enable cell height scaling.
  • Description of Related Art
  • Integrated circuit (IC) design decisions are often driven by device scalability. For example, size scaling of planar field effect transistors (FETs) resulted in the development of planar FETs with relatively short channel lengths. Unfortunately, the smaller channel lengths resulted in a corresponding increase in short channel effects. In response, a fin-type FET (FINFET) was developed. A fin-type FET (FINFET) is a non-planar FET that incorporates a fin-shaped semiconductor body and, within the fin-shaped semiconductor fin, a channel region positioned laterally between source/drain regions (e.g., oriented along the X direction). A gate is positioned adjacent to the opposing sidewalls of the fin-shaped semiconductor body at the channel region and the channel width corresponds to the height of the fin-shaped semiconductor body (e.g., in the Y direction). Such a FINFET exhibits two-dimensional field effects as compared to the single-dimensional field effects exhibited by a planar FET and, thus, exhibits improved gate control over the channel region. It should be noted that, because the fin-shaped semiconductor body of the FINFET is so thin, any field effects exhibited at the top surface are insignificant (i.e., negligible).
  • Recently, a vertical FET (VFET) was developed to provide an increase in device density (i.e., an increase in the number of devices within a given chip area) over that achievable with FINFETs. A VFET similarly incorporates a fin-shaped semiconductor body. However, the VFET components are stacked vertically on a substrate (e.g., along the Y direction) as opposed to being positioned side by side across a substrate (e.g., along X direction). Specifically, a VFET typically includes a lower source/drain region in a substrate, a semiconductor fin that extends upward from the lower source/drain region, and an upper source/drain region that is epitaxially grown on the top surface of the semiconductor fin. A gate (e.g., a metal gate) laterally surrounds the fin-shaped semiconductor body (which functions as the channel region) and the channel width corresponds to the length of the fin-shaped semiconductor body (e.g., along the Z direction). The gate is electrically isolated from the lower source/drain region and the upper source/drain region by lower and upper spacer layers, respectively.
  • Integrated circuit (IC) design decisions are also driven by cell scalability. Specifically, a cell (also referred to as a library element) represents a set of devices with specific features and the interconnect structure(s) that connect those devices. Such cells can include, for example, memory cells, library cells, etc. The conventional design for a cell that incorporates a set of VFETs typically includes two rows of parallel fin-shaped semiconductor bodies with adjacent semiconductor fins in different rows being in end-to-end alignment along the Z direction. Since the channel width of a VFET corresponds to the length of its fin-shaped semiconductor body, the fin-shaped semiconductor bodies must be sufficiently long to achieve the desired device drive current. As a result, VFET cell size scaling and, particularly, VFET cell height scaling as measured in the Z direction is limited. Additional limitations on VFET cell size scaling include, but are not limited to, critical design rules (e.g., minimum gate contact to fin distance, etc.).
  • SUMMARY
  • In view of the foregoing, disclosed herein are embodiments of a semiconductor structure that includes a vertical field effect transistor (VFET) with a U-shaped semiconductor body to facilitate VFET cell height scaling without the corresponding impact on device performance (e.g., without reduced device drive current) and without design rule violations. Specifically, the semiconductor structure can include a standard VFET or a feedback VFET. In either case, the VFET can include a lower source/drain region, a semiconductor body on the lower source/drain region, and an upper source/drain region on top of the semiconductor body. The semiconductor body does not, however, have an elongated fin shape. Instead, the semiconductor body folds back on itself in the Z direction so as to be essentially U-shaped (as viewed from above). Using a U-shaped semiconductor body reduces the dimension of the VFET in the Z direction without reducing the end-to-end length of the semiconductor body. As a result, VFET cell height as measured in the Z direction can be reduced without reducing device drive current or violating critical design rules. Also disclosed herein are embodiments of a method of forming a semiconductor structure that includes such a VFET.
  • More particularly, disclosed herein are embodiments of a semiconductor structure. In each of the embodiments, the semiconductor structure can include a substrate and, on the substrate, at least one vertical field effect transistor (VFET) with a U-shaped semiconductor body. Specifically, in each of the embodiments, the VFET can include a lower source/drain region on the substrate. The VFET can further include a semiconductor body on the lower source/drain region. The semiconductor body can have three segments and, particularly, two parallel segments and a connecting segment that extends laterally between adjacent ends of the two parallel segments. The heights of the three segments can be essentially the same. Thus, the semiconductor body is essentially U-shaped (as viewed from above). The VFET can further include an upper source/drain region on the top of the semiconductor body.
  • In one embodiment, the VFET can be a standard VFET where lower source/drain region and the upper source/drain region have the same type conductivity. Such a standard VFET can further include a gate structure that laterally surrounds the semiconductor body and is electrically isolated from the lower source/drain region by a lower spacer and from the upper source/drain region by an upper spacer. The standard VFET can also include a gate contact, which extends vertically between the parallel segments of the semiconductor body and which has vertical surfaces that are in contact with vertical surfaces the gate structure. Alternatively, the standard VFET can include a gate extension that is in contact with the gate structure and a gate extension contact on the gate extension.
  • In another embodiment, the VFET can be a feedback VFET where the lower source/drain region and the upper source/drain region have different type conductivities. Such a feedback VFET can further include a first gate structure that laterally surrounds a lower portion of the semiconductor body and a second gate structure that laterally surrounds an upper portion of the semiconductor body. A lower spacer can electrically isolate the first gate structure from the lower source/drain region. A middle spacer can electrically isolated the first gate structure from the second gate structure. An upper spacer can electrically isolate the second gate structure from the upper source/drain region. The feedback VFET can also include a gate extension that is in contact with the first gate structure, a gate extension contact on the gate extension, and a gate contact, which extends vertically between the parallel segments of the semiconductor body, which lands on interlayer dielectric material at some level above the middle spacer, and which has vertical surfaces that are in contact with vertical surfaces of the second gate structure.
  • Also disclosed herein are method embodiments for forming the above-mentioned semiconductor structure embodiments. Generally, the method embodiments include providing a semiconductor substrate and forming one or more vertical field effect transistors (VFET) on the semiconductor substrate such that each VFET includes an essentially U-shaped semiconductor body. That is, the semiconductor body has three segments with essentially equal heights including two parallel segments and a connecting segment that extends laterally between adjacent ends of the two parallel segments. Thus, the semiconductor body is essentially U-shaped (as viewed from above) with a closed end (i.e., the base of the U-shape defined by the connecting segment) and an open end opposite the closed end.
  • One embodiment of the method includes forming one or more standard VFETs on the substrate. This embodiment includes providing a semiconductor substrate and forming, on the substrate, the essentially U-shaped semiconductor body, as discussed above. Subsequently, a lower source/drain region can be formed in the substrate adjacent to the bottom of the semiconductor body. A lower spacer can be formed on the lower source/drain region such that it laterally surrounds a bottom end of the semiconductor body. A gate formation process can be performed to form a gate structure on the lower spacer such that it laterally surrounds the semiconductor body and so that it is electrically isolated from the lower source/drain region by the lower spacer. An upper spacer can be formed on the gate structure such that it laterally surrounds a top end of the semiconductor body. An upper source/drain region can be formed on the top of the semiconductor body and, particularly, on the tops of the three segments of the semiconductor body such that it is electrically isolated from the gate structure by the upper spacer, such that it has the same type conductivity as the lower source/drain region, and further such that it is also essentially U-shaped. In this embodiment, a gate contact can be formed such that it extends between the parallel segments of the semiconductor body, lands on the lower spacer, and has vertical surfaces that are in contact with vertical surfaces the gate structure. Alternatively, during the gate formation process, a gate extension can also be formed such that it contacts the gate structure. Then, a gate extension contact can be formed such that it lands on the gate extension.
  • Another embodiment of the method includes forming one or more feedback VFET on the substrate. This embodiment includes providing a semiconductor substrate and forming a lower source/drain region on the substrate such that it has a first-type conductivity. Subsequently, a semiconductor body can be formed on the lower source/drain region such that the semiconductor body is essentially U-shaped, as discussed above. An upper source/drain region can be formed on the top of the semiconductor body and, particularly, on the tops of the three segments of the semiconductor body such that it has a second type conductivity (which is different further the first-type conductivity of the lower source/drain region) and further such that it is also essentially U-shaped. Multiple spacers can also be formed including: a lower spacer above the lower source/drain region and laterally surrounding a bottom end of the semiconductor body; a middle spacer laterally surrounding a center portion of the semiconductor body at an interface between a lower portion and an upper portion; and an upper spacer laterally surrounding a top end of the semiconductor body. A gate formation process can then be performed in order to form: a first gate structure that laterally surrounds the lower portion of the semiconductor body between the lower spacer and the middle spacer; a second gate structure that laterally surrounds the upper portion of the semiconductor body between the middle spacer and the upper spacer; and a gate extension that contacts the first gate structure adjacent to the open end of the semiconductor body. In this case, the first gate structure is electrically isolated from the lower source/drain region by the lower spacer and electrically isolated from the second gate structure by the middle spacer. Additionally, the second gate structure is electrically isolated from the upper source/drain region by the upper spacer. In this embodiment, a gate extension contact can be formed such that it lands on the gate extension and is thereby electrically connected to the first gate structure. Additionally, a gate contact can be formed such that it extends between the parallel segments of the semiconductor body, lands on interlayer dielectric material above the level of the middle spacer and has vertical surfaces that are in contact with vertical surfaces the second gate structure.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The present invention will be better understood from the following detailed description with reference to the drawings, which are not necessarily drawn to scale and in which:
  • FIG. 1A is a layout diagram of an embodiment of an semiconductor structure,
  • FIG. 1B is a top view diagram of an exemplary U-shaped semiconductor body in the semiconductor structure of FIG. 1A and FIGS. 1C-1F are different cross-section diagrams of portions of the semiconductor structure of FIG. 1A;
  • FIG. 2A is a layout diagram of an embodiment of an semiconductor structure,
  • FIG. 2B is a top view diagram of an exemplary U-shaped semiconductor body in the semiconductor structure of FIG. 2A and FIGS. 2C-2F are different cross-section diagrams of portions of the semiconductor structure of FIG. 2A;
  • FIG. 3 is a flow diagram illustrating a method of forming the semiconductor structure illustrated in FIGS. 1A-1F;
  • FIGS. 4A and 4B are layout and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIG. 5 is layout diagram illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 6A and 6B are layout and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 7A and 7B-7E are layout and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 8A-8C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 9A-9C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 10A-10C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 11A-11C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 12A-12C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIGS. 13A-13C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 3;
  • FIG. 14 is a flow diagram illustrating a method of forming the semiconductor structure illustrated in FIGS. 1A-2F;
  • FIGS. 15A-15B cross-section diagrams, respectively, illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 16A and 16B are layout and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 17A and 17B-17D are layout and cross-section diagrams, respectively, illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 18A-18C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 19A-19C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 20A-20C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 21A-21C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 22A-22C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14;
  • FIGS. 23A-23C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14; and
  • FIGS. 24A-24C are cross-section diagrams illustrating a partially completed structure formed according to the method of FIG. 14.
  • DETAILED DESCRIPTION
  • As mentioned above, the conventional design for a cell that incorporates a set of vertical field effect transistors (VFETs) typically includes two rows of parallel fin-shaped semiconductor bodies with adjacent semiconductor fins in different rows being in end-to-end alignment along the Z direction. Since the channel width of a VFET corresponds to the length of its fin-shaped semiconductor body, the fin-shaped semiconductor bodies must be sufficiently long to achieve the desired device drive current. As a result, VFET cell size scaling and, particularly, VFET cell height scaling as measured in the Z direction is limited. Additional limitations on VFET cell size scaling include, but are not limited to, critical design rules (e.g., minimum gate contact to fin distance, etc.).
  • In view of the foregoing, disclosed herein are embodiments of a semiconductor structure that includes a vertical field effect transistor (VFET) with a U-shaped semiconductor body to facilitate VFET cell height scaling without the corresponding impact on device performance (e.g., without reduced device drive current) and without design rule violations. Specifically, the semiconductor structure can include a standard VFET or a feedback VFET. In either case, the VFET can include a lower source/drain region, a semiconductor body on the lower source/drain region, and an upper source/drain region on top of the semiconductor body. The semiconductor body does not, however, have an elongated fin shape. Instead, the semiconductor body folds back on itself in the Z direction so as to be essentially U-shaped (as viewed from above). Using a U-shaped semiconductor body reduces the dimension of the VFET in the Z direction without reducing the end-to-end length of the semiconductor body. As a result, VFET cell height as measured in the Z direction can be reduced without reducing device drive current or violating critical design rules. Also disclosed herein are embodiments of a method of forming a semiconductor structure that includes such a VFET.
  • More particularly, disclosed herein are embodiments of semiconductor structure 100, 200 (see FIGS. 1A-1F and FIGS. 2A-2F, respectively). The semiconductor structure 100, 200 can include a substrate 101, 201. The substrate 101, 201 can be a bulk semiconductor substrate (e.g., a bulk silicon substrate), as illustrated. Alternatively, the substrate 101, 201 can be a semiconductor layer of a semiconductor-on-insulator structure (e.g., a silicon layer of a silicon-on-insulator (SOI) structure).
  • The semiconductor structure 100, 200 can further include one or more vertical field effect transistors (VFETs). The VFET(s) can be standard VFET(s) (e.g., the see the standard VFETs 140, 140′ in the semiconductor structure 100 of FIGS. 1A-1F). Alternatively, the VFET(s) can be feedback VFET(s) (e.g., see the feedback VFETs 240, 240′ in the semiconductor structure 200 of FIGS. 2A-2F).
  • Those skilled in the art will recognize that a standard VFET refers to a VFET where the lower and upper source/drain regions have the same type conductivity. For example, the lower and upper source/drain regions can have P-type conductivity for a P-type VFET or the lower and upper source/drain regions can have N-type conductivity for an N-type VFET. In this case, a gate structure controls current flow between the lower and upper source/drain regions. A feedback VFET refers to a VFET where the lower and upper source/drain regions have different type conductivities. For example, the lower source/drain region can have N-type conductivity and the upper source/drain region can have P-type conductivity or vice versa. In this case, two discrete and separately biased gate structures control current flow between the lower and upper source/drain regions and, depending upon the voltages used to bias the gates, the feedback VFET will function as either an N-type VFET or a P-type VFET.
  • For purposes of illustration, each semiconductor structure 100, 200 is shown in the Figures as including an exemplary VFET cell with multiple VFETs and, particularly, a first row 110, 210 of VFETs 140, 240 and a second row 120, 220 of VFETs 140′, 240′, wherein the second row 120, 220 is parallel to the first row 110, 210 and is separated from the first row 110, 210 by a space 130, 230. Additionally, it should be noted that in the Figures and mentioned in the detailed description are various directions labeled X (or X′), Y, and Z (or Z′). The X direction is parallel to the bottom surface of the substrate 101, 201 (i.e., is horizontal relative to the substrate). The Y direction is perpendicular to the bottom surface of the substrate 101, 201 (i.e., is vertical relative to the substrate and perpendicular to the X). The Z direction is also parallel to the bottom surface of the substrate 101, 201, but perpendicular to the X direction. The height 199, 299 of a VFET cell refers to a measurement taken in the Z direction across the rows of VFETs, as indicated in FIGS. 1A and 2A.
  • In any case, each VFET 140/140′, 240/ 240′ can include a lower source/ drain region 141, 241 on the substrate 101, 201. The lower source/ drain region 141, 241 can be a dopant implant region within the substrate 101, 201. Alternatively, the lower source/ drain region 141, 241 can be an area of in situ doped, epitaxial, monocrystalline semiconductor material that fills a trench within the substrate 101, 201. For a P-type lower source/drain region, the epitaxial semiconductor material can be silicon or, alternatively, can be silicon germanium or any other suitable semiconductor material that enhances P-type VFET performance. For an N-type lower source/drain region, the epitaxial semiconductor material can be silicon or, alternatively, silicon carbide or any other suitable semiconductor material that enhances N-type VFET performance.
  • A shallow trench isolation (STI) region 135, 235 in the substrate 101, 201 can define the shape of each lower source/drain regions. In a VFET cell, STI regions 135, 235 can electrically isolate lower source/drain regions of the VFETs 140, 240 in the first row 110, 210 from the lower source/drain regions of the VFETs 140′, 240′ in the second row 120, 220 and can further electrically isolate each lower source/drain region in a given row from the adjacent lower source/drain regions in the same row.
  • Each VFET 140/140′, 240/ 240′ can further include an essentially U-shaped semiconductor body 142, 242. Specifically, this semiconductor body 142, 242 can be made of a monocrystalline semiconductor material (e.g., monocrystalline silicon). It can extend vertically upward from a top surface of the lower source/ drain region 141, 241 and can include three segments 142 a-142 c, 242 a-242 c. These three segments 142 a-142 c, 242 a-242 c can include two parallel segments 142 a-142 b, 242 a-242 b and a connecting segment 142 c, 242 c, which extends laterally between adjacent ends of the parallel segments 142 a-142 b, 242 a-242 b. As illustrated, the parallel segments can be oriented in the Z direction and the connecting segment can be oriented in the X direction. The bottoms of the three segments 142 a-142 c, 242 a-242 c can be immediately adjacent to the lower source/ drain region 141, 241 and the heights of the three segments 142 a-142 c, 242 a-242 c, as measured in the Y direction, can be essentially the same such that the semiconductor body 142, 242 is essentially U-shaped (as viewed from above) with a closed end 192, 292 (i.e., the base of the U-shape defined by the connecting segment) and an open end 191, 291 opposite the closed end 191, 291.
  • Each VFET 140/140′, 240/ 240′ can further include an upper source/ drain region 143, 243 on top of the U-shaped semiconductor body 142, 242 and, particularly, on the tops of the three segments 142 a-142 c, 242 a-242 c of the U-shaped semiconductor body such that the upper source/ drain region 143, 243 is also essentially U-shaped. The upper source/ drain region 143, 243 can be in situ doped, epitaxial, monocrystalline semiconductor material that is grown on the top of the U-shaped semiconductor body 142, 242. For a P-type upper source/drain region, the semiconductor material can be silicon or, alternatively, can be silicon germanium or any other suitable semiconductor material that enhances P-type VFET performance. For an N-type upper source/drain region, the semiconductor material can be silicon or, alternatively, silicon carbide or any other suitable semiconductor material that enhances N-type VFET performance.
  • Each VFET 140/140′, 240/ 240′ can further include a metal plug 134, 234 on the top surface of the upper source/ drain region 143, 243. The metal plug 134, 234 can include, for example, a metal silicide layer and/or a metal layer. The metal or metal alloy material of the metal plug can be, for example, tungsten, cobalt, aluminum or any other suitable metal plug material.
  • Each VFET 140/140′, 240/ 240′ can further include a dielectric plug cap 136, 236 above the metal plug 134, 234. The dielectric material of the dielectric plug cap 136, 236 can be, for example, silicon nitride or any other suitable dielectric plug cap material.
  • Each VFET 140/140′, 240/ 240′ can further include a dielectric sidewall spacer 133, 233, which laterally surrounds and is immediately adjacent to sidewalls of the upper source/ drain region 143, 243 and the metal plug 134, 234. Upper portions of the dielectric sidewall spacer 133, 233 can further laterally surround and be immediately adjacent to sidewalls of the dielectric plug cap 136, 236. The dielectric material of the dielectric sidewall spacer 133, 233 can be a different material than that used for the dielectric plug cap (e.g., see the method embodiment discussed below for forming the semiconductor structure 100) or, alternatively, can be the same dielectric materials as that used for the dielectric plug cap (e.g., see the method embodiment discussed below for forming the semiconductor structure 200).
  • Referring specifically to the semiconductor structure 100 shown in FIGS. 1A-1F, each VFET can be a standard VFET 140, 140′ where the lower source/drain region 141 and the upper source/drain region 143 have the same type conductivity. For example, the lower and upper source/drain regions can have P-type conductivity for a P-type VFET or the lower and upper source/drain regions can have N-type conductivity for an N-type VFET.
  • Each standard VFET 140, 140′ can further include a gate structure 145 that laterally surrounds the U-shaped semiconductor body 142 and defines a channel region that extends vertically from the lower source/drain region 141 to the upper source/drain region 143. The gate structure 145 can include a gate dielectric layer (e.g., a high K gate dielectric layer or any other suitable gate dielectric layer) immediately adjacent to the vertical sidewalls of each segment 142 a-142 c of the U-shaped semiconductor body 142 and a gate conductor layer positioned laterally immediately adjacent to the gate dielectric layer opposite the vertical sidewalls of each segment 142 a-142 c of the U-shaped semiconductor body 142.
  • Each standard VFET 140, 140′ can further include a lower spacer 131, which electrically isolates the gate structure 145 from the lower source/drain region 141, and an upper spacer 132, which electrically isolates the gate structure 145 from the upper source/drain region 143. The lower spacer 131 can be above the lower source/drain region 141 and can laterally surround a bottom end of the U-shaped semiconductor body 142. The gate structure 145 can be above the lower spacer 131. The upper spacer 132 can be above the gate structure 145 and can laterally surround a top end of the U-shaped semiconductor body 142. The dielectric sidewall spacer 133, discussed above, can be above and immediately adjacent to the upper spacer 132 and can laterally surround the upper source/drain region 143. The lower and upper spacers 131-132 can be made of relatively thin layers of dielectric spacer material (e.g., hydrogenated silicon oxycarbide, silicon boron carbon nitride, silicon oxynitride or any other suitable dielectric spacer material). It should be noted that, as illustrated, outer sidewalls of the dielectric sidewall spacer 133, the upper spacer 132 and the gate structure 145 can be essentially vertically aligned and the lower spacer 131 can extend laterally beyond those outer sidewalls (e.g., over the STI regions 135).
  • Each standard VFET 140, 140′ can further be covered by one or more layers of interlayer dielectric (ILD) material 138. For example, ILD material 138 can be above each standard VFET, on the top surface of the lower spacer 131 between each standard VFET, and positioned laterally immediately adjacent to vertical surfaces of the gate structure 145, upper spacer 132, and dielectric sidewall spacer 133 both inside and outside the U-shaped semiconductor body. The ILD material 138 can be silicon dioxide or any other suitable ILD material.
  • Each standard VFET 140, 140′ can further include contacts that extend through the ILD material 138 to the various VFET nodes. The contacts can include a lower source/drain contact 151. This lower source/drain contact 151 can be located adjacent to the closed end 192 of the U-shaped semiconductor body 142 and can extend vertically through the ILD material 138 to an edge portion of the lower source/drain region 141, which extends laterally beyond the closed end 192 of the U-shaped semiconductor body 142 (see FIGS. 1A, 1E and 1F). These contacts can further include an upper source/drain contact 152 that extends vertically through the ILD material 138 and the dielectric plug cap 136 so as to land on the metal plug 134. The upper source/drain contact 152 can further be aligned so that it is above at least one segment 142 a-142 c of the U-shaped semiconductor body 142. For example, a given standard VFET 140, 140′ could have an upper source/drain contact 152 that is aligned above only one segment of the U-shaped semiconductor body (e.g., see the upper source/drain contact 152 that is aligned above only segment 142 a in the VFETs 140, 140′ on the left side of the cell shown in FIG. 1A; see also the upper source/drain contact 152 that is aligned above only segment 142 b in the VFETs 140, 140′ on the right side of the cell shown in FIG. 1A) or above multiple segments of the U-shaped semiconductor body (e.g., see the upper source/drain contact 152 that is aligned above both parallel segments 142 a and 142 b in the VFETs 140, 140′ at the center of the cell shown in FIG. 1A). These contacts can further include a gate contact 153, which extends vertically between the parallel segments 142 a-142 b of the U-shaped semiconductor body (e.g., near the open end 191) and which lands on the lower spacer 131. Such a gate contact 153 can have opposing vertical surfaces that are in contact with vertical surfaces of the portions of the gate structure 145 on the parallel segments of the U-shaped semiconductor body, respectively. Such a gate contact 153 can be electrically isolated from the lower source/drain region by the lower spacer 131 and from the upper source/drain region 143 by the dielectric plug cap 136 and the dielectric sidewall spacer 133. Alternatively, the standard VFET 140, 140′ can further include a gate extension 146, which is in contact with the gate structure 145, and a gate extension contact 154, which extends vertically through the ILD material 138 and lands on a horizontal surface of the gate extension 146. As discussed in greater detail below with regard to the method embodiments, this gate extension 146 can be formed during gate formation and, thus, can be made of the same materials as the gate structure 145.
  • It should be noted that in the exemplary VFET cell shown in FIGS. 1A-1F, the standard VFETs 140 in the first row 110 can be P-type VFETs with P-type lower and upper source/drain regions 141/143 and the standard VFETs 140′ in the second row 120 can be N-type VFET with N-type lower and upper source/drain regions 141/143. The open ends 191 of all the U-shaped semiconductor bodies of all the standard VFETs 140, 140′ can be adjacent to the space 130 between the rows 110 and 120 such that the closed ends 192 of the U-shaped semiconductor bodies 142 of the standard VFETs 140 in the first row 110 are at the top of the cell and the closed ends 192 of the U-shaped semiconductor bodies 142 of the standard VFETs 140′ in the second row 120 are at the bottom of the cell. Furthermore, the parallel segments of pairs of U-shaped semiconductor bodies on opposite sides of the space 130 can be aligned.
  • In such a VFET cell, contact placement can optimized to both avoid critical design rule violations and allow for cell size scaling. For example, because each upper source/drain region 143 is also essentially U-shaped, the upper source/drain contacts 152 to the upper source/drain regions 143 of standard VFETs in the same row can land on adjacent upper source/drain regions and still be separated by a sufficient distance to avoid shorting and/or coupling issues. For example, upper source/drain contact placement can be such that upper source/drain contacts contact each upper source/drain region but no two upper source/drain contacts contact adjacent parallel segments of different U-shaped semiconductor bodies in the same row. Additionally, the gate contacts 153 and gate extension contacts 154 can be staggered to avoid shorting and/or coupling issues. For example, the same gate extension 146 can be in contact with the gate structures 145 of each pair of standard VFETs 140 and 140′ on located on opposite sides of the space 130. For one pair of standard VFETs 140 and 140′, a single gate contact 153 can extend vertically between the parallel segments 142 a-142 b of the U-shaped semiconductor body to the gate structure 145 of the standard VFET 140 in the first row 110 only (see the gate contact to the standard VFET in the first row on the left side of cell). For another pair of standard VFETs 140 and 140′, a single gate extension contact 154 can extend vertically to the gate extension 146 that is in contact with the gate structures of both VFETs in the pair (see the gate extension contact to the gate extension at the center of the cell). For yet another pair of standard VFETs 140 and 140′, a single gate contact 153 can extend vertically between the parallel segments 142 a-142 b of the U-shaped semiconductor body to the gate structure 145 of the standard VFET 140′ in the second row 120 only (see the gate contact to the standard VFET in the second row on the right side of cell).
  • Referring specifically to the semiconductor structure 200 shown in FIGS. 2A-2F, each VFET can be a feedback VFET 240, 240′, where the lower source/drain region 241 and the upper source/drain region 243 have different type conductivities. For example, the lower source/drain region 241 can have N-type conductivity and the upper source/drain region 243 can have P-type conductivity or vice versa.
  • Each feedback VFET 240, 240′ can further include multiple gate structures. Specifically, each feedback VFET 240, 240′ can include a first gate structure 245 1 that laterally surrounds a lower portion of the U-shaped semiconductor body 242 so as to define a first channel region therein and a second gate structure 245 2 that laterally surround an upper portion of the U-shaped semiconductor body 242 above the lower portion so as to define a second channel region therein. These gates structures can each include a gate dielectric layer (e.g., a high K gate dielectric layer or any other suitable gate dielectric layer) immediately adjacent to the vertical sidewalls of each segment 242 a-242 c of the U-shaped semiconductor body 242 and a gate conductor layer positioned laterally immediately adjacent to the gate dielectric layer opposite the vertical sidewalls of each segment 242 a-242 c of the U-shaped semiconductor body 242.
  • Each feedback VFET 240, 240′ can further include the following spacers: a lower spacer 231, which electrically isolates the first gate structure 245 1 from the lower source/drain region 241; a middle spacer 239, which electrically isolates the first gate structure 245 1 from the second gate structure 245 2; and an upper spacer 232, which electrically isolates the second gate structure 245 2 from the upper source/drain region 243. Specifically, the lower spacer 231 can be above the lower source/drain region 241 and can laterally surround a bottom end of the U-shaped semiconductor body 242. The first gate structure 245 1 can be above the lower spacer 231 and can laterally surround a lower portion of the U-shaped semiconductor body. The middle spacer 239 can be above the first gate structure 245 1 and can laterally surround a center portion of the U-shaped semiconductor body 242. The second gate structure 245 2 can be above the middle spacer 239 and can laterally surround an upper portion of the U-shaped semiconductor body. The upper spacer 232 can be above the second gate structure 245 2 and can laterally surround a top end of the U-shaped semiconductor body 242. The dielectric sidewall spacer 233, discussed above, can be above and immediately adjacent to the upper spacer 232 and can laterally surround the upper source/drain region 243. The lower, middle and upper spacers 231, 239 and 232 can be made of relatively thin layers of dielectric spacer material (e.g., hydrogenated silicon oxycarbide, silicon boron carbon nitride, silicon oxynitride or any other suitable dielectric spacer material). It should be noted that, as illustrated, outer sidewalls of the dielectric sidewall spacer 233, the upper spacer 232, the second gate structure 245 2, the middle spacer 239 and the first gate structure 245 1 can be essentially vertically aligned and the lower spacer 231 can extend laterally beyond those outer sidewalls (e.g., over the STI regions 235).
  • Each feedback VFET 240, 240′ can further include a gate extension 246 in contact with the first gate structure 245 1 adjacent to the open end 291 of the U-shaped semiconductor body 242 and further extending laterally away from the U-shaped semiconductor body and over an STI region 235 in the Z direction. For example, the gate extension 246 can extend into a space 230 between two rows of feedback VFETs in a VFET cell and, optionally, the first gate structure 245 1 of each pair of feedback VFETs 240 and 240′ on opposite sides of the space 230 can be in contact with the same gate extension. In any case, as discussed in greater detail below with regard to the method embodiments, this gate extension 246 can be formed during gate formation such that it is made of the same materials as the gate structures.
  • Each feedback VFET 240, 240′ can be covered by one or more layers of interlayer dielectric (ILD) material 238. For example, ILD material 238 can be above each feedback VFET, on the top surface of the lower spacer 231 between each feedback VFET, and positioned laterally immediately adjacent to vertical surfaces of the first gate structure 245 1, middle spacer 239, second gate structure 245 2, upper spacer 232, and dielectric sidewall spacer 233 both inside and outside the U-shaped semiconductor body. The ILD material 138 can be silicon dioxide or any other suitable ILD material.
  • Each feedback VFET 240, 240′ can further include contacts that extend through the ILD material 238 to the various VFET nodes. These contacts can include a lower source/drain contact 251. This lower source/drain contact 251 can be located adjacent to the closed end 292 of the U-shaped semiconductor body 242 and can extend vertically through the ILD material 238 to an edge portion of the lower source/drain region 241, which extends laterally beyond the connecting segment 242 c of the U-shaped semiconductor body 242 in the Z direction (see FIGS. 2A, 2E and 2F). These contacts can further include an upper source/drain contact 252 that extends vertically through the ILD material 238 and the dielectric plug cap 236 so as to land on the metal plug 234. The upper source/drain contact 252 can further be aligned so that it is above at least one segment 242 a-242 c of the U-shaped semiconductor body 242. For example, a given feedback VFET 240, 240′ could have an upper source/drain contact 252 that is aligned above only one segment of the U-shaped semiconductor body (e.g., see the upper source/drain contact 252 that is aligned above only segment 242 a in the VFETs 240, 240′ on the left side of the cell shown in FIG. 2A; see also the upper source/drain contact 252 that is aligned above only segment 242 b in the VFETs 240, 240′ on the right side of the cell shown in FIG. 2A) or above multiple segments of the U-shaped semiconductor body (e.g., see the upper source/drain contact 252 that is aligned above both parallel segments 242 a and 242 b in the VFETs 240, 240′ at the center of the cell shown in FIG. 2A). These contacts can further include a gate extension contact 254, which extends vertically through the ILD material 238 and lands on a horizontal surface of the gate extension 246, thereby providing an electrical connection to the first gate structure 245 1. These contacts can further include a gate contact 253, which extends vertically between the parallel segments 242 a-242 b of the U-shaped semiconductor body (e.g., near the open end 291) and which lands on ILD material 238 above the level of the middle spacer 239. Such a gate contact 253 can have opposing vertical surfaces that are in contact with vertical surfaces of the portions of the second gate structure 245 2 on the upper portions of parallel segments of the U-shaped semiconductor body, respectively. Such a gate contact 253 can be electrically isolated from the first gate structure 245 1 by the middle spacer 239 and ILD material 238 and from the upper source/drain region 243 by the dielectric plug cap 236 and the dielectric sidewall spacer 233.
  • In this structure, the gate extension contact 254 and the gate contact 253 provide the means for discretely and selectively biasing the first gate structure 245 1 and the second gate structure 245 2 to control current flow between the lower source/drain region 241 and the upper source/drain region 243, thereby allowing the feedback VFET to selectively function as either an N-type VFET or a P-type VFET. Techniques for selectively biasing the two gate structures of a feedback FET are known in the art. Thus, the details of those techniques have been omitted from this specification in order to allow the reader to focus on the salient aspects of the disclosed embodiments. However, generally such a feedback FET would operate as follows, when the lower source/drain region 241 is a P-type source/drain region and the upper source/drain region 243 is an N-type source/drain region. In the off-state, a positive gate voltage would be applied to the first gate structure 245 1 and a negative gate voltage would be applied to the second gate structure 245 2. In the P-type VFET mode (on-state), the gate voltage on the first gate structure 245 1 is modulated and a negative gate voltage would be applied to the second gate structure 245 2. In the N-type VFET mode (on-state), the gate voltage on the second gate structure 245 2 is modulated and a positive gate voltage would be applied to the first gate structure 245 1.
  • Thus, for example, in exemplary VFET cell shown in FIGS. 2A-2F, the gates of the feedback VFETs 240 in the first row 210 can be selectively biased so that these VFETs function as P-type VFETs and the gates of the feedback VFETs 240′ in the second row 220 can be selectively biased so that these VFETs function as N-type VFET. Furthermore, the open ends 291 of all the U-shaped semiconductor bodies of all the feedback VFETs 240, 240′ can be adjacent to the space 230 between the rows 210 and 220 such that the closed ends 292 of the U-shaped semiconductor bodies 242 of the feedback VFETs 240 in the first row 110 are at the top of the cell and the closed ends 292 of the U-shaped semiconductor bodies 242 of the feedback VFETs 240′ in the second row 220 are at the bottom of the cell. Furthermore, the parallel segments of pairs of U-shaped semiconductors bodies on opposite sides of the space 230 can be aligned. In such a VFET cell, contact placement can optimized to both avoid critical design rule violations and allow for cell size scaling. For example, because each upper source/drain region 243 is also essentially U-shaped, the upper source/drain contacts 252 to the upper source/drain regions 243 of standard VFETs in the same row can land on adjacent upper source/drain regions and still be separated by a sufficient distance to avoid shorting and/or coupling issues. For example, upper source/drain contact placement can be such that upper source/drain contacts contact each upper source/drain region but no two upper source/drain contacts contact adjacent parallel segments of different U-shaped semiconductor bodies in the same row.
  • Also disclosed herein are method embodiments for forming the above-described semiconductor structures. Generally, the method embodiments include providing a semiconductor substrate and forming one or more vertical field effect transistors (VFET) on the substrate such that each VFET includes an essentially U-shaped semiconductor body. That is, the semiconductor body has three segments including two parallel segments and a connecting segment that extends laterally between adjacent ends of the two parallel segments. The three segments have essentially equal heights and, thus, the semiconductor body is essentially U-shaped (as viewed from above) with a closed end (i.e., the base of the U-shape defined by the connecting segment) and an open end opposite the closed end.
  • FIG. 3 is a flow diagram illustrating a method of forming a semiconductor structure with one or more standard VFETs 140, 140′, each having an essentially U-shaped semiconductor body 142, as shown in FIGS. 1A-1F. For purposes of illustration, the method is described below and illustrated in the Figures with respect to the formation of a VFET cell that includes a first row 110 of standard VFETs 140 (e.g., P-type VFETs), each with a U-shaped semiconductor body 142, and a second row 120 of standard VFETs 140′ (e.g., N-type VFETs), each also with a U-shaped semiconductor body 142.
  • This method includes providing a semiconductor substrate 101 (see process 302 and FIGS. 4A-4B). The semiconductor substrate 101 can be a bulk semiconductor substrate (e.g., a bulk silicon substrate), as illustrated. Alternatively, the semiconductor substrate 101 can be a semiconductor layer of a semiconductor-on-insulator structure (e.g., a silicon layer of a silicon-on-insulator (SOI) structure).
  • This method further includes forming two rows of essentially U-shaped semiconductor bodies on the semiconductor substrate 101 (see process 304).
  • For example, to form the rows of U-shaped semiconductor bodies at process 304, sacrificial mandrels 170 (e.g., rectangular shaped bodies) can be formed on the semiconductor substrate 101 (see process 306 and FIGS. 4A-4B). That is, mandrel material can be deposited onto the semiconductor substrate and then lithographically patterned and etched. Mandrel sidewall spacers 171 (e.g., silicon nitride sidewall spacers) can then be formed on the mandrels 170, respectively, using conventional sidewall spacer formation techniques (see process 308 and FIGS. 4A-4B). The mandrel and mandrel sidewall spacer materials can be different so as to allow for selective etching during subsequent processing.
  • After the mandrel sidewall spacers 171 are formed, a trench can be formed (e.g., patterned and etched) so that it cuts across the mandrel-mandrel sidewall spacer structures, thereby cutting each mandrel-mandrel sidewall spacer structure in two (see process 310). Then, the remaining sections of the mandrels 170 can be selectively removed such that the remaining sections of the each mandrel sidewall spacer 171 forms two essentially U-shaped masks 173 on the semiconductor substrate 101 (see process 312 and FIG. 5).
  • Once the U-shaped masks 173 are formed, an anisotropic etch process can be performed in order to transfer the image of the essentially U-shaped masks 173 into the upper portion of the semiconductor substrate 101, thereby forming rows of U-shaped semiconductor bodies 142 below the U-shaped masks 173 (see process 314 and FIGS. 6A-6B). Thus, the U-shaped masks 173 effectively become sacrificial caps on the tops of U-shaped semiconductor bodies 142, respectively. Alternatively, any other suitable technique could be used to form the rows of U-shaped semiconductor bodies with sacrificial caps thereon.
  • Each U-shaped semiconductor body 142 formed at process 314 has three segments 142 a-142 c including two parallel segments 142 a-142 b and a connecting segment 142 c, which extends laterally between adjacent ends of the parallel segments 142 a-142 b. The parallel segments can be oriented in the Z direction and the connecting segment can be oriented in the X direction. The heights of the three segments 142 a-142 c, as measured in the Y direction, can be essentially the same such that each semiconductor body 142 is essentially U-shaped (as viewed from above) with a closed end 192 (i.e., the base of the U-shape defined by the connecting segment) and an open end 191 opposite the closed end 191.
  • The two rows of U-shaped semiconductor bodies can then be used, as discussed below, to form a first row 110 of standard VFETs 140 (e.g., P-type VFETs) and a second row 120 of VFETs 140′ (e.g., N-type VFETs) adjacent to the first row 110 and separated therefrom by a spacer 130. Specifically, after the U-shaped semiconductor bodies 142 are formed at process 314, lower source/drain regions 141 and shallow trench isolation (STI) regions in the semiconductor substrate 101 can be formed within the semiconductor substrate 101 such that the lower source/drain regions are adjacent to the bottoms of the U-shaped semiconductor bodies 142 and such that each lower source/drain region is laterally surrounded by an STI region 135 (see process 316 and FIGS. 7A-7E). Techniques for forming such lower source/drain regions and STI regions are well known in the art and, thus, the details of such techniques have been omitted from this specification in order to allow the reader to focus on the salient aspects of the disclosed methods. It should be understood that discrete process steps can be performed in order to form the P-type lower source/drain regions for P-type VFETs (e.g., for the VFETs 140 in the first row 110) and the N-type lower source/drain regions for N-type VFETs (e.g., for the VFETs 140′ in the second row 120).
  • After the lower source/drain regions 141 are formed, a lower spacer 131 can be formed so as to cover the lower source/drain regions 141 and further so as to laterally surround the bottom ends of each of the sections of each U-shaped semiconductor body 142 (see process 318 and FIGS. 8A-8C). For example, dielectric material can be deposited and etched back to expose all but the bottom ends of each U-shaped semiconductor body. Alternatively, a directional deposition process could be used to deposit a thin layer of the dielectric material on the horizontal surfaces only of the partially completed structure. In any case, the dielectric material can be, for example, hydrogenated silicon oxycarbide, silicon boron carbon nitride, silicon oxynitride or any other suitable dielectric material.
  • Next, gate materials 180, including a gate dielectric layer and a gate conductor layer, can be conformally deposited over the partially completed structure (i.e., on the lower spacer 131 and and over the capped U-shaped semiconductor bodies 142 (see process 320 and FIGS. 8A-8C). The gate dielectric layer can be, for example, a high-K gate dielectric layer. The gate conductor layer can be a metal or metal alloy layer. It should be understood that if different work function metals or metal alloys are to be used for P-type VFETs (e.g., for the VFETs 140 in the first row 110) and N-type VFETs (e.g., for the VFETs 140′ in the second row 120), these different work function metals or metal alloys can be deposited during discrete masked deposition processes.
  • After deposition of the gate materials 180, a sacrificial protective material 181 (e.g., a sacrificial optical planarization layer (OPL)) can be deposited on the gate conductor layer and recessed so that the top surface of the sacrificial protective material 181 is below the level of the tops of the sacrificial caps 173, but above the level of the tops of the U-shaped semiconductor bodies 142 (see process 322 and FIGS. 8A-8C). Any exposed gate materials 180 above the top surface of the sacrificial protective material 181 can then be selectively removed (i.e., selectively etched away), thereby exposing the sidewalls of the sacrificial caps 173 (see process 324 and FIGS. 8A-8C). Sacrificial cap sidewall spacers 182 can then be formed (e.g., using conventional sidewall spacer formation techniques) on the exposed sidewalls of the sacrificial caps 173 (see process 326 and FIGS. 9A-9C). As illustrated, the sacrificial cap sidewall spacers 182 will laterally surround the sacrificial caps 173 and will cover exposed upper ends of the gate materials 180. Once the sacrificial cap sidewall spacers 182 are formed, the sacrificial protective material 181 can be selectively removed. As discussed in greater detail below, the sacrificial caps 173 and sacrificial cap sidewall spacers thereon will function as gate masks during subsequent processing.
  • Optionally, one or more gate extension masks 183 can be formed on the gate materials 180 (e.g., in the space 130 between adjacent pairs of U-shaped semiconductor bodies) (see process 328 and FIGS. 10A-10C). Then, an anisotropic etch process can be performed to directionally etch exposed gate material 180 (see process 330 and FIGS. 10A-10C). The sacrificial caps 173 and sacrificial cap sidewall spacers 182 function as gate masks such that portions of the gate material remain positioned laterally adjacent to the vertical sidewalls of each U-shaped semiconductor body 142 and, thereby form gate structures 145. This anisotropic etch process will also simultaneously form gate extensions 146 under any previously formed gate extension masks 183. The gate extension masks 183 can then be selectively removed.
  • Interlayer dielectric (ILD) material 138 (e.g., silicon dioxide or any other suitable ILD material) can be deposited over the partially completed structure and polished (e.g., using a chemical mechanical polishing (CMP) process) to expose the top surfaces of the sacrificial caps 173 and the adjacent sacrificial cap sidewall spacers 182 (see process 332). The sacrificial caps 173 and the adjacent sacrificial cap sidewall spacers 182 can then be selectively removed to form upper source/drain openings 184, where each upper source/drain opening 184 exposes the top of a U-shaped semiconductor body 142 and the gate structure 145 that laterally surrounds the U-shaped semiconductor body 142 (see process 334 and FIGS. 11A-11C). As illustrated, within these upper source/drain openings 184, the tops of the gate structures 145 will be above the levels of the tops of the U-shaped semiconductor bodies 142. Thus, at this point in the processing, the tops of the gate structures 145 can be recessed (i.e., selectively etch backed) to some depth below the level of the tops of the U-shaped semiconductor bodies 142, thereby creating recesses for upper spacers. This process of etching back the tops of the gate structures 145 also ensures that the heights of the gate structures 145 are essentially uniform.
  • Upper spacers 132 can then be formed on the gate structures 145 in the recesses such that the upper spacers 132 laterally surround the top ends of the U-shaped semiconductor bodies 142, respectively (see process 336 and FIGS. 12A-12C). The upper spacers 132 can be made, for example, by conformally depositing dielectric material into the upper source/drain openings 184 and then isotropically etching the dielectric material until the tops of the U-shaped semiconductor bodies 142 are exposed. The dielectric material used for the upper spacers 132 can be, for example, the same dielectric material as that used for the lower spacer 131 (e.g., hydrogenated silicon oxycarbide, silicon boron carbon nitride, silicon oxynitride or any other suitable dielectric spacer material).
  • Dielectric sidewall spacers 133 can then be formed in the upper source/drain openings 184 (e.g., using convention sidewall spacer formation techniques) (see process 338 and FIGS. 13A-13C). As illustrated, the dielectric sidewall spacers 133 formed at process 338 will be above the upper spacers 132 and positioned laterally adjacent to the sidewalls of the upper source/drain openings 184. The dielectric material used to form the dielectric sidewall spacers 133 can be, for example, silicon nitride or any other suitable dielectric sidewall spacer material.
  • After the dielectric sidewall spacers 133 are formed on the sidewalls of the upper source/drain openings 184, an upper source/drain region 143 can be formed in each upper source/drain opening 184 on top of the U-shaped semiconductor body 142 (see process 340 and FIGS. 13A-13C). Specifically, the upper source/drain regions 143 can be formed, for example, by depositing in situ doped, epitaxial, semiconductor material into the upper source/drain openings 184 on the tops of the U-shaped semiconductor bodies such that each upper source/drain regions is essentially U-shaped and laterally surrounded by a dielectric sidewall spacer 133. It should be understood that discrete process steps can be performed in order to form P-type upper source/drain regions for P-type VFETs (e.g., for the VFETs 140 in the first row 110) and N-type upper source/drain regions for N-type VFETs (e.g., for the VFETs 140′ in the second row 120).
  • Metal plugs 134 can then be formed in the upper source/drain openings 184 on the top surfaces of the upper source/drain regions 143 such that each metal plug 134 is also essentially U-shaped and surrounded by a dielectric sidewall spacer 133 (see process 342 and FIGS. 13A-13C). For example, a metal or metal alloy material (e.g., tungsten, cobalt, aluminum or any other suitable metal plug material) can be deposited into the upper source/drain openings 184 on the upper source/drain regions 143 and then recessed. Dielectric plug caps 136 can then be formed in the upper source/drain openings 184 on the metal plugs 134 (see process 344 and FIGS. 13A-13C). For example, a dielectric material can be deposited to fill the remaining space within the upper source/drain openings 184 and a polishing process (e.g., a chemical mechanical polishing (CMP) process) can be performed to remove any of this dielectric material from above the top surface of the ILD material. The dielectric material used for the dielectric plug cap 136 can be, for example, silicon nitride or any other suitable dielectric plug cap material.
  • Additional processing can then be performed in order to complete the standard VFETs 140, 140′ in the semiconductor structure 100 (see process 346 and FIGS. 1A-1F). The additional processing can include deposition of additional ILD material 138 as well as the formation of contacts that extend through the ILD material 138 to the various VFET nodes. For each VFET 140, 140′, these contacts can include a lower source/drain contact 151, which is formed so that it is adjacent to the closed end 192 of the U-shaped semiconductor body 142, so that it extends vertically through the ILD material 138 and so that it lands on an edge portion of the lower source/drain region 141. These contacts can further include an upper source/drain contact 152, which is formed so that it extends vertically through the ILD material 138 and the dielectric plug cap 136 and so that it lands on the metal plug 134. These contacts can further include either a gate contact 153 or a gate extension contact 154. A gate contact 153 can be formed so that it extends vertically between the parallel segments 142 a-142 b of the U-shaped semiconductor body (e.g., near the open end 191), so that it lands on the lower spacer 131 and so that it has opposing vertical surfaces that are in contact with vertical surfaces of the portions of the gate structure 145 on the parallel segments of the U-shaped semiconductor body, respectively. Such a gate contact 153 will be electrically isolated from the lower source/drain region 141 by the lower spacer 131 and from the upper source/drain region 143 by the dielectric plug cap 136 and the dielectric sidewall spacer 133. Alternatively, a gate extension contact 154 can be formed so that it extends vertically through the ILD material 138 and so that it lands on a horizontal surface of the gate extension 146. In any case, techniques for forming contacts are well known in the art and, thus, the details of those techniques have been omitted from this specification in order to allow the reader to focus on the salient aspects of the disclosed embodiments. As discussed in detail above, contact placement, particularly, with respect to the various VFETs in a VFET cell can be optimized to avoid critical design rule violations and allow for cell size scaling.
  • FIG. 14 is a flow diagram illustrating a method of forming a semiconductor structure with one or more feedback VFETs 240, 240′, each having an essentially U-shaped semiconductor body 242, as shown in FIGS. 2A-2F. For purposes of illustration, the method is described below and illustrated in the Figures with respect to the formation of a VFET cell that includes a first row 210 of feedback VFETs 240, each with a U-shaped semiconductor body 242, and a second row 220 of feedback VFETs 240′, each also with a U-shaped semiconductor body 242.
  • This method includes providing a semiconductor substrate 201 (see process 402 and FIGS. 15A-15B). The semiconductor substrate 201 can be a bulk semiconductor substrate (e.g., a bulk silicon substrate), as illustrated. Alternatively, the semiconductor substrate 201 can be a semiconductor layer of a semiconductor-on-insulator structure (e.g., a silicon layer of a silicon-on-insulator (SOI) structure).
  • Two rows of lower source/drain regions 241 and shallow trench isolation (STI) regions 235, which laterally surround the lower source/drain regions 241, can be formed in the semiconductor substrate 201 (see process 404 and FIGS. 15A-15B). Techniques for forming such lower source/drain regions and STI regions are well known in the art and, thus, the details of such techniques have been omitted from this specification in order to allow the reader to focus on the salient aspects of the disclosed methods. In any case, the lower source/drain regions 241 can be formed so as to have a first-type conductivity.
  • U-shaped semiconductor bodies 242 can then be formed on the lower source/drain regions 241, respectively (see process 406).
  • Specifically, after forming the lower source/drain regions 241, a stack 270 of six dielectric layers can be formed on the partially completed structure and, particularly, over the lower source/drain regions 241 (see process 408 and see FIGS. 15A-15B). This stack 270 can include alternating layers of a first dielectric material 271 and a second dielectric material 272. The first dielectric material 271 can be, for example, hydrogenated silicon oxycarbide, silicon boron carbon nitride, silicon oxynitride or any other suitable dielectric spacer material. Each of the layers of the first dielectric material 271 can be relatively thin and, particularly, can have thicknesses equal to desired spacer height. The second dielectric material 272 can be different from the first dielectric material 271. For example, the second dielectric material can be silicon dioxide. The layers of the second dielectric material 272 can be relatively thick as compared to the layers of first dielectric material 271 and the lower two layers of the second dielectric material 272 can have thicknesses that are equal desired heights of first and second gates, as discussed in greater detail below.
  • Sacrificial mandrels 273 (e.g., rectangular shaped bodies) can be formed on the stack 270 (see process 410 and FIGS. 16A-16B). That is, mandrel material can be deposited onto the semiconductor substrate and then lithographically patterned and etched. Mandrel sidewall spacers 274 (e.g., silicon nitride sidewall spacers) can then be formed on the mandrels 273, respectively, using conventional sidewall spacer formation techniques (see process 412 and FIGS. 16A-16B). The mandrel and mandrel sidewall spacer materials can be different so as to allow for selective etching during subsequent processing.
  • After the mandrel sidewall spacers 274 are formed, a trench can be formed (e.g., patterned and etched) so that it cuts across the mandrel-mandrel sidewall spacer structures, thereby cutting each mandrel-mandrel sidewall spacer structure in two (see process 414). Mandrel material 275 can then be redeposited and a polishing process (e.g., a chemical mechanical polishing (CMP) process) can be performed in order to expose remaining U-shaped sections of each mandrel sidewall spacer 274 (see process 416 and FIGS. 17A-17D). The remaining U-shaped sections of the mandrel sidewall spacers 274 can then be selectively removed to form U-shaped openings 276 within the mandrel material and an anisotropic etch process can be performed in order to extend those U-shaped openings 276 through the stack 270 to the lower source/drain regions 241 (see processes 418-420 and FIGS. 18A-18C). It should be noted that the lower source/drain regions 241 should be formed at process 404 such that when the U-shaped openings 276 are formed, each U-shaped opening lands on a corresponding lower source/drain region 241. The mandrel material 273, 275 can then be selectively removed.
  • Semiconductor material can then be deposited (e.g., using an epitaxial deposition process) in the U-shaped openings 276 on the top surfaces of the lower source/drain regions 241, thereby forming rows of U-shaped semiconductor bodies 242 (see process 422 and FIGS. 19A-19C). It should be noted that the deposition process should be stopped or, alternatively, an etch back process should be performed so that the tops of the U-shaped semiconductor bodies 242 are approximately level with the top surface of the uppermost layer of the first dielectric material 271 within the stack 270.
  • Additionally, it should be noted that, as illustrated, a row of mandrels formed at process 410 will result in the formation of two rows of U-shaped openings at process 418 and, thereby two rows of U-shaped semiconductor bodies at process 422. The two rows of U-shaped semiconductor bodies can be used, for example, to form two rows 210, 220 of feedback VFETs separated by a space 230. In any case, each U-shaped semiconductor body 242 formed at process 422 has three segments 242 a-242 c including two parallel segments 242 a-242 b and a connecting segment 242 c, which extends laterally between adjacent ends of the parallel segments 242 a-242 b. The parallel segments can be oriented in the Z direction and the connecting segment can be oriented in the X direction. The heights of the three segments 242 a-242 c, as measured in the Y direction, can be essentially the same such that each semiconductor body 242 is essentially U-shaped (as viewed from above) with a closed end 292 (i.e., the base of the U-shape defined by the connecting segment) and an open end 291 opposite the closed end 291.
  • Once the rows of U-shaped semiconductor bodies 242 are formed, upper source/drain regions 243 can be formed within the U-shaped openings 276 on the tops of the U-shaped semiconductor bodies 242, metal plugs 234 can be formed within the U-shaped openings 276 on the tops of the upper source/drain regions 243, and dielectric plug caps 236 can be formed within the U-shaped openings 276 on the tops of the metal plugs 234 (see processes 424-428 and FIGS. 20A-20C). Specifically, an epitaxial semiconductor material can be deposited in the U-shaped openings 276 on the tops of the U-shaped semiconductor bodies 242. This epitaxial semiconductor material can be in situ doped so as to have a second-type conductivity, which is different from the first-type conductivity. Thus, the upper source/drain regions 243 and lower source/drain regions 241 will have different type conductivities. A metal material or metal alloy material (e.g., tungsten, cobalt, aluminum or any other suitable metal plug material) can be deposited into the U-shaped openings 276 on the upper source/drain regions 243 and then recessed to form the metal plugs 234. Then, dielectric material (e.g., silicon nitride or any other suitable dielectric plug cap material) can be deposited to fill the remaining space within the U-shaped openings 276 and a polishing process (e.g., a chemical mechanical polishing (CMP) process) can be performed in order to remove any of the dielectric plug material from above the top surface of the stack 270. Since the components 242, 243, 234 and 236, described above, are all formed within the U-shaped openings, these components will all be essentially U-shaped (as viewed from above).
  • The uppermost layer of the second dielectric material 272 in the stack 270 can then be selectively removed to expose the top surface of the uppermost layer of the first dielectric material 271 as well as the sidewalls of the upper source/drain region 243, the metal plug 234 and the dielectric plug cap 236 above each U-shaped semiconductor body 242 (see process 430). Then, a dielectric sidewall spacer 233 can be formed around the exposed sidewalls (see process 432 and FIGS. 21A-21C). Specifically, a selective isotropic etch process can be used to remove the top layer of the stack 270. Then, dielectric sidewall spacers 233 can be formed (e.g., using conventional sidewall spacer formation techniques) on the exposed sidewalls of the upper source/drain region, metal plug and plug cap above each U-shaped semiconductor body. The dielectric material of the dielectric sidewall spacers 233 can be, for example, silicon nitride or any other suitable dielectric spacer material.
  • One or more selective anisotropic etch processes can be performed to etch through exposed portions of the stack 270, stopping on the lowermost layer of the first dielectric material 271 (see process 434 and FIGS. 22A-22C). As illustrated, during process 434, the plug cap and adjacent dielectric sidewall spacers above each U-shaped semiconductor body 242 as masks such that portions of the stack 270 remain positioned laterally adjacent to the vertical sidewalls of each U-shaped semiconductor body 242.
  • A selective isotropic etch process can then be performed to remove any remaining second dielectric material 272 (see process 436 and FIGS. 23A-23C). As illustrated, following process 436, lower and upper portions of each U-shaped semiconductor body 242 are exposed. Furthermore, the lowermost layer of the first dielectric material remains intact and, thus, forms a lower spacer 231. The remaining portions of the middle layer of the first dielectric material form middle spacers 239, where each middle spacer 239 laterally surrounds the center portions of a corresponding U-shaped semiconductor body 242 between the lower and upper portions. The remaining portions of the uppermost layer of the first dielectric material form upper spacers 232, where each upper spacer 232 laterally surrounds the top end of a corresponding U-shaped semiconductor body 242 between the upper portion and the upper source/drain regions 243.
  • After the second dielectric material 272 is etched away, gate materials can be conformally deposited over the partially completed structure (see process 438). The gate materials can include a gate dielectric layer (e.g., a high-K gate dielectric layer) and a gate conductor layer on the gate dielectric layer. Additionally, gate extension masks 283 can be formed on the gate materials (e.g., in the space 230 between each pairs of U-shaped semiconductor bodies 242) (see process 440). Then, an anisotropic etch process can be performed to form first gate structures 245 1, second gate structures 2452 and gate extensions 246 (see process 442 and FIGS. 24A-24C). Specifically, during this anisotropic etch process, the plug cap and adjacent dielectric sidewall spacers above each U-shaped semiconductor body 242 function as gate masks. As a result, a first gate structure 245 1 and a second gate structure 245 2 are formed so as to laterally surround a lower portion and an upper portion, respectively, of each U-shaped semiconductor body 242. The first gate structure 245 1 is stacked between the lower spacer 231 and the middle spacer 239. The second gate structure 245 2 is stacked between the middle spacer 239 and the upper spacer 232. Thus, the first gate structure 245 1 is electrically isolated from the lower source/drain region 241 by the lower spacer 231 and from the second gate structure 245 2 by the middle spacer 239. The gate extension mask is further formed so that following this anisotropic etch process, each first gate structure 245 1 is in contact with a gate extension that extends laterally into the space 230.
  • Additional processing can then be performed in order to complete the feedback VFETs 240, 240′ in the semiconductor structure 200 (see process 444 and FIGS. 2A-2F). The additional processing can include deposition of ILD material 238 (e.g., silicon dioxide or any other suitable ILD material) as well as the formation of contacts that extend through the ILD material 238 to the various VFET nodes. For each feedback VFET 240, 240′, these contacts can include a lower source/drain contact 251, which is formed such that it is adjacent to the closed end 292 of the U-shaped semiconductor body 242 and such that it extends vertically through the ILD material 238 to an edge portion of the lower source/drain region 241. These contacts can further include an upper source/drain contact 252, which is formed such that it extends vertically through the ILD material 238 and the dielectric plug cap 236 and lands on the metal plug 234. These contacts can further include a gate extension contact 254 and a gate contact 253. The gate extension contact 254 can be formed so that it extends vertically through the ILD material 238 and lands on a horizontal surface of the gate extension 246 so as to provide an electrical connection to the first gate structure 245 1. The gate contact 253 can be formed so that it extends vertically between the parallel segments 242 a-242 b of the U-shaped semiconductor body (e.g., near the open end 291), so that it lands on ILD material 238 above the level of the middle spacer 239 and so that it has opposing vertical surfaces that are in contact with vertical surfaces of the portions of the second gate structure 245 2 on the upper portions of parallel segments of the U-shaped semiconductor body, respectively. Such a gate contact 253 will be electrically isolated from the first gate structure 245 1 by the middle spacer 239 and ILD material 238 and from the upper source/drain region 243 by the dielectric plug cap 236 and the dielectric sidewall spacer 233. Techniques for forming contacts are well known in the art and, thus, the details of those techniques have been omitted from this specification in order to allow the reader to focus on the salient aspects of the disclosed embodiments. As discussed above, contact placement, particularly, with respect to the various VFETs in a VFET cell can be optimized to avoid critical design rule violations and allow for cell size scaling.
  • In the method and structures described above, a semiconductor material refers to a material whose conducting properties can be altered by doping with an impurity. Exemplary semiconductor materials include, for example, silicon-based semiconductor materials (e.g., silicon, silicon germanium, silicon germanium carbide, silicon carbide, etc.) and gallium nitride-based semiconductor materials. A pure semiconductor material and, more particularly, a semiconductor material that is not doped with an impurity for the purposes of increasing conductivity (i.e., an undoped semiconductor material) is referred to in the art as an intrinsic semiconductor. A semiconductor material that is doped with an impurity for the purposes of increasing conductivity (i.e., a doped semiconductor material) is referred to in the art as an extrinsic semiconductor and will be more conductive than an intrinsic semiconductor made of the same base material. That is, extrinsic silicon will be more conductive than intrinsic silicon; extrinsic silicon germanium will be more conductive than intrinsic silicon germanium; and so on. Furthermore, it should be understood that different impurities (i.e., different dopants) can be used to achieve different conductivity types (e.g., P-type conductivity and N-type conductivity) and that the dopants may vary depending upon the different semiconductor materials used. For example, a silicon-based semiconductor material (e.g., silicon, silicon germanium, etc.) is typically doped with a Group III dopant, such as boron (B) or indium (In), to achieve P-type conductivity, whereas a silicon-based semiconductor material is typically doped a Group V dopant, such as arsenic (As), phosphorous (P) or antimony (Sb), to achieve N-type conductivity. A gallium nitride (GaN)-based semiconductor material is typically doped with magnesium (Mg) to achieve P-type conductivity or silicon (Si) to achieve N-type conductivity. Those skilled in the art will also recognize that different conductivity levels will depend upon the relative concentration levels of the dopant(s) in a given semiconductor region.
  • Additionally, in the methods and structures described above, the materials and thicknesses of the gate materials used for the gate structures can be preselected to achieve desired work functions depending upon whether the FETs are PFETs, NFETs or feedback FETs, which are capable of functioning as either PFETs or NFETs. Exemplary high-K dielectric materials include, but are not limited to, hafnium (Hf)-based dielectrics (e.g., hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, hafnium aluminum oxide, etc.) or other suitable high-k dielectrics (e.g., aluminum oxide, tantalum oxide, zirconium oxide, etc.). The optimal work function for a gate conductor of an NFET will be, for example, between 3.9 eV and about 4.2 eV. Exemplary metals (and metal alloys) having a work function within this range include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, and alloys thereof, such as, hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide. The optimal work function for a gate conductor of a PFET will be, for example, between about 4.9 eV and about 5.2 eV. Exemplary metals (and metal alloys) having a work function within this range include, but are not limited to, ruthenium, palladium, platinum, cobalt, and nickel, as well as metal oxides (aluminum carbon oxide, aluminum titanium carbon oxide, etc.) and metal nitrides (e.g., titanium nitride, titanium silicon nitride, tantalum silicon nitride, titanium aluminum nitride, tantalum aluminum nitride, etc.).
  • It should be understood that the terminology used herein is for the purpose of describing the disclosed structures and methods and is not intended to be limiting. For example, as used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Additionally, as used herein, the terms “comprises” “comprising”, “includes” and/or “including” specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Furthermore, as used herein, terms such as “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, “upper”, “lower”, “under”, “below”, “underlying”, “over”, “overlying”, “parallel”, “perpendicular”, etc., are intended to describe relative locations as they are oriented and illustrated in the drawings (unless otherwise indicated) and terms such as “touching”, “in direct contact”, “abutting”, “directly adjacent to”, “immediately adjacent to”, etc., are intended to indicate that at least one element physically contacts another element (without other elements separating the described elements). The term “laterally” is used herein to describe the relative locations of elements and, more particularly, to indicate that an element is positioned to the side of another element as opposed to above or below the other element, as those elements are oriented and illustrated in the drawings. For example, an element that is positioned laterally adjacent to another element will be beside the other element, an element that is positioned laterally immediately adjacent to another element will be directly beside the other element, and an element that laterally surrounds another element will be adjacent to and border the outer sidewalls of the other element. The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (21)

1. A semiconductor structure comprising:
a substrate; and
a vertical field effect transistor comprising:
a lower source/drain region on the substrate;
a semiconductor body having three segments comprising:
parallel segments above and immediately adjacent to the lower source/drain region and oriented in a first direction across the lower source/drain region; and
a connecting segment above and immediately adjacent to the lower source/drain region and oriented in a second direction across the lower source/drain region, wherein the connecting segment extends laterally between and is in direct contact with adjacent ends of the parallel segments such that the semiconductor body is essentially U-shaped, wherein the three segments have essentially equal heights; and
a single upper source/drain region on the three segments of the semiconductor body.
2. The semiconductor structure of claim 1,
wherein the lower source/drain region and the upper source/drain region have a same type conductivity, and
wherein the vertical field effect transistor further comprises:
a gate structure positioned laterally adjacent to sidewalls of the three segments of the semiconductor body so as to define a single channel region within the three segments of the semiconductor body;
a lower spacer electrically isolating the gate structure from the lower source/drain region;
an upper spacer electrically isolating the gate structure from the upper source/drain region; and
a dielectric sidewall spacer positioned laterally immediately adjacent to the upper source/drain region and further above and immediately adjacent to the upper spacer wherein the dielectric sidewall spacer, the upper spacer, and the gate structure have essentially aligned vertical surfaces.
3. The semiconductor structure of claim 2,
wherein the vertical field effect transistor further comprises a gate contact between the parallel segments and having opposing sides contacting adjacent vertical surfaces, respectively, of the gate structure,
wherein the gate contact is electrically isolated from the lower source/drain region by the lower spacer and is electrically isolated from the upper source/drain region by the dielectric sidewall spacer.
4. The semiconductor structure of claim 2, wherein the vertical field effect transistor further comprises:
a gate extension in contact with the gate structure; and
a gate extension contact on the gate extension.
5. The semiconductor structure of claim 1,
wherein the lower source/drain region has a first-type conductivity,
wherein the upper source/drain region has a second-type conductivity that is different than the first-type conductivity, and
wherein the vertical field effect transistor further comprises:
a first gate structure laterally surrounding a lower portion of the three segments of the semiconductor body;
a second gate structure laterally surrounding an upper portion of the three segments of the semiconductor body;
a lower spacer electrically isolating the first gate structure from the lower source/drain region;
a middle spacer electrically isolating the first gate structure from the second gate structure; and
an upper spacer electrically isolating the second gate structure from the upper source/drain region.
6. The semiconductor structure of claim 5, wherein the vertical field effect transistor further comprises:
a gate extension in contact with the first gate structure; and
a gate extension contact on the gate extension.
7. The semiconductor structure of claim 5, wherein the vertical field effect transistor further comprises a gate contact between the parallel segments above a level of the middle spacer and contacting the second gate structure.
8. The semiconductor structure of claim 1, further comprising multiple vertical field effect transistors in adjacent rows separated by a space,
wherein all semiconductor bodies of the vertical field effect transistors in a given row are essentially U-shaped and have open ends adjacent to the space, and
wherein the semiconductor bodies of each pair of vertical field effect transistors on opposite sides of the space have aligned parallel segments.
9-20. (canceled)
21. A semiconductor structure comprising:
a substrate; and
a vertical field effect transistor comprising:
a lower source/drain region on the substrate;
a semiconductor body comprising:
parallel segments above and immediately adjacent to the lower source/drain region; and
a connecting segment above and immediately adjacent to the lower source/drain region and extending laterally between adjacent ends of the parallel segments, wherein the parallel segments and the connecting segment have essentially equal heights; and
an upper source/drain region on the semiconductor body,
wherein the lower source/drain region and the upper source/drain region have a same type conductivity,
wherein the vertical field effect transistor further comprises:
a gate structure positioned laterally adjacent to sidewalls of the semiconductor body so as to define a channel region within the semiconductor body;
a lower spacer electrically isolating the gate structure from the lower source/drain region;
an upper spacer electrically isolating the gate structure from the upper source/drain region;
a dielectric sidewall spacer on the upper source/drain region above the upper spacer;
a gate extension in contact with the gate structure; and
a gate extension contact on the gate extension.
22. The semiconductor structure of claim 21, wherein the gate extension and the gate structure comprise continuous portions of patterned gate material.
23. The semiconductor structure of claim 21, wherein the vertical field effect transistor further comprises:
a metal plug above and immediately adjacent to the upper source/drain region; and
dielectric plug cap above and immediately adjacent to the metal plug, wherein the dielectric sidewall spacer is positioned laterally immediately adjacent to opposing sidewalls of the upper source/drain region, the metal plug and the dielectric plug cap.
24. The semiconductor structure of claim 23, wherein the vertical field effect transistor further comprises an upper source/drain region contact that extends through interlayer dielectric material to the dielectric plug cap and further extends through the dielectric plug cap to the metal plug.
25. The semiconductor structure of claim 24, wherein the upper source/drain contact has an upper portion within the interlayer dielectric material above and traversing the parallel segments of the semiconductor body and lower portions that extend through the dielectric plug cap to the metal plug above the parallel segments, respectively.
26. The semiconductor structure of claim 21, further comprising multiple vertical field effect transistors in adjacent rows separated by a space,
wherein all semiconductor bodies of the vertical field effect transistors in a given row have open ends adjacent to the space, and
wherein the semiconductor bodies of each pair of vertical field effect transistors on opposite sides of the space have aligned parallel segments.
27. A semiconductor structure comprising:
a substrate; and
a vertical field effect transistor comprising:
a lower source/drain region on the substrate;
a semiconductor body comprising:
parallel segments above and immediately adjacent to the lower source/drain region; and
a connecting segment above and immediately adjacent to the lower source/drain region and extending laterally between adjacent ends of the parallel segments, wherein the parallel segments and the connecting segment have essentially equal heights; and
an upper source/drain region on the semiconductor body,
wherein the lower source/drain region has a first-type conductivity,
wherein the upper source/drain region has a second-type conductivity that is different than the first-type conductivity, and
wherein the vertical field effect transistor further comprises:
a first gate structure laterally surrounding a lower portion of the semiconductor body;
a second gate structure laterally surrounding an upper portion of the semiconductor body;
a lower spacer electrically isolating the first gate structure from the lower source/drain region;
a middle spacer electrically isolating the first gate structure from the second gate structure; and
an upper spacer electrically isolating the second gate structure from the upper source/drain region.
28. The semiconductor structure of claim 27, wherein the vertical field effect transistor further comprises:
a gate extension in contact with the first gate structure; and
a gate extension contact on the gate extension.
29. The semiconductor structure of claim 27, wherein the vertical field effect transistor further comprises a gate contact between the parallel segments above a level of the middle spacer and contacting the second gate structure.
30. The semiconductor structure of claim 27, wherein the vertical field effect transistor further comprises:
a metal plug above and immediately adjacent to the upper source/drain region;
dielectric plug cap above and immediately adjacent to the metal plug;
a dielectric sidewall spacer above the upper spacer and positioned laterally immediately adjacent to opposing sidewalls of the upper source/drain region, the metal plug and the dielectric plug cap; and
an upper source/drain region contact that extends through interlayer dielectric material and the dielectric plug cap to the metal plug.
31. The semiconductor structure of claim 30, wherein the upper source/drain contact has an upper portion within the interlayer dielectric material above and traversing the parallel segments of the semiconductor body and lower portions that extend through the dielectric plug cap to the metal plug above the parallel segments, respectively, and wherein the lower portions are physically separated by the interlayer dielectric material.
32. The semiconductor structure of claim 27, further comprising multiple vertical field effect transistors in adjacent rows separated by a space,
wherein all semiconductor bodies of the vertical field effect transistors in a given row have open ends adjacent to the space, and
wherein the semiconductor bodies of each pair of vertical field effect transistors on opposite sides of the space have aligned parallel segments.
US15/920,748 2018-03-14 2018-03-14 Vertical field effect transistors incorporating U-shaped semiconductor bodies and methods Active US10418484B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/920,748 US10418484B1 (en) 2018-03-14 2018-03-14 Vertical field effect transistors incorporating U-shaped semiconductor bodies and methods
TW108104794A TWI698998B (en) 2018-03-14 2019-02-13 Vertical field effect transistors incorporating u-shaped semiconductor bodies and methods

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/920,748 US10418484B1 (en) 2018-03-14 2018-03-14 Vertical field effect transistors incorporating U-shaped semiconductor bodies and methods

Publications (2)

Publication Number Publication Date
US10418484B1 US10418484B1 (en) 2019-09-17
US20190287863A1 true US20190287863A1 (en) 2019-09-19

Family

ID=67904155

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/920,748 Active US10418484B1 (en) 2018-03-14 2018-03-14 Vertical field effect transistors incorporating U-shaped semiconductor bodies and methods

Country Status (2)

Country Link
US (1) US10418484B1 (en)
TW (1) TWI698998B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251301B2 (en) * 2020-03-13 2022-02-15 International Business Machines Corporation Cross-bar vertical transport field effect transistors without corner rounding
US20230055297A1 (en) * 2021-08-17 2023-02-23 International Business Machines Corporation Self-aligned block for vertical fets
US11742426B2 (en) 2021-05-11 2023-08-29 International Business Machines Corporation Forming crossbar and non-crossbar transistors on the same substrate
WO2024049617A1 (en) * 2022-08-31 2024-03-07 Apple Inc. Backside contacts for signal routing

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102446403B1 (en) * 2018-06-22 2022-09-21 삼성전자주식회사 Semiconductor device, method for fabricating the same and layout design method for the same
US11615992B2 (en) * 2020-01-15 2023-03-28 International Business Machines Corporation Substrate isolated VTFET devices
US11688737B2 (en) 2020-02-05 2023-06-27 Samsung Electronics Co., Ltd. Integrated circuit devices including vertical field-effect transistors
US11177632B2 (en) 2020-03-16 2021-11-16 International Business Machines Corporation Augmented semiconductor lasers with spontaneous emissions blockage
US11456181B2 (en) 2020-07-08 2022-09-27 International Business Machines Corporation Cross-bar fin formation
US11621326B2 (en) 2020-12-17 2023-04-04 International Business Machines Corporation Vertical field effect transistor with crosslink fin arrangement

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090200604A1 (en) * 2004-01-22 2009-08-13 International Business Machines Corporation Vertical fin-fet mos devices
US8405151B2 (en) * 2010-05-28 2013-03-26 Hynix Semiconductor Inc. Protection circuit for semiconductor device
US20160063163A1 (en) * 2014-08-26 2016-03-03 Synopsys, Inc. Arrays with compact series connection for vertical nanowires realizations
US20160086970A1 (en) * 2014-09-23 2016-03-24 Haibing Peng Three-dimensional non-volatile nor-type flash memory
US9299835B1 (en) * 2014-12-04 2016-03-29 International Business Machines Corporation Vertical field effect transistors
US9716170B1 (en) * 2016-09-30 2017-07-25 International Business Machines Corporation Reduced capacitance in vertical transistors by preventing excessive overlap between the gate and the source/drain
US20170301590A1 (en) * 2016-04-15 2017-10-19 International Business Machines Corporation Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
US9799570B1 (en) * 2017-02-13 2017-10-24 International Business Machines Corporation Fabrication of vertical field effect transistors with uniform structural profiles
US9837405B1 (en) * 2016-08-02 2017-12-05 International Business Machines Corporation Fabrication of a vertical fin field effect transistor having a consistent channel width
US20170373062A1 (en) * 2016-06-23 2017-12-28 Samsung Electronics Co., Ltd. Semiconductor Device and Method for Fabricating the Same
US9876015B1 (en) * 2017-02-16 2018-01-23 International Business Machines Corporation Tight pitch inverter using vertical transistors
US20180040740A1 (en) * 2016-08-05 2018-02-08 Samsung Electronics Co., Ltd. Integrated circuit devices and method of manufacturing the same
US10069008B1 (en) * 2017-04-13 2018-09-04 International Business Machines Corporation Vertical transistor pass gate device
US20180254218A1 (en) * 2017-03-06 2018-09-06 International Business Machines Corporation Integrating metal-insulator-metal capacitors with fabrication of vertical field effect transistors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7476932B2 (en) 2006-09-29 2009-01-13 The Boeing Company U-shape metal-oxide-semiconductor (UMOS) gate structure for high power MOS-based semiconductor devices
US9087897B1 (en) 2014-01-31 2015-07-21 International Business Machines Corporation Semiconductor structures with pair(s) of vertical field effect transistors, each pair having a shared source/drain region and methods of forming the structures
KR101602911B1 (en) 2014-08-11 2016-03-11 고려대학교 산학협력단 Semiconductor device and method for fabricating the semiconductor device
US9893191B2 (en) * 2014-08-20 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET transistor with u-shaped channel
CN107546119B (en) 2016-06-24 2022-10-21 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
US9847416B1 (en) 2016-11-15 2017-12-19 Globalfoundries Inc. Performance-enhanced vertical device and method of forming thereof

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090200604A1 (en) * 2004-01-22 2009-08-13 International Business Machines Corporation Vertical fin-fet mos devices
US8405151B2 (en) * 2010-05-28 2013-03-26 Hynix Semiconductor Inc. Protection circuit for semiconductor device
US20160063163A1 (en) * 2014-08-26 2016-03-03 Synopsys, Inc. Arrays with compact series connection for vertical nanowires realizations
US20160086970A1 (en) * 2014-09-23 2016-03-24 Haibing Peng Three-dimensional non-volatile nor-type flash memory
US9299835B1 (en) * 2014-12-04 2016-03-29 International Business Machines Corporation Vertical field effect transistors
US20170301590A1 (en) * 2016-04-15 2017-10-19 International Business Machines Corporation Laterally diffused metal oxide semiconductor device integrated with vertical field effect transistor
US20170373062A1 (en) * 2016-06-23 2017-12-28 Samsung Electronics Co., Ltd. Semiconductor Device and Method for Fabricating the Same
US9837405B1 (en) * 2016-08-02 2017-12-05 International Business Machines Corporation Fabrication of a vertical fin field effect transistor having a consistent channel width
US20180040740A1 (en) * 2016-08-05 2018-02-08 Samsung Electronics Co., Ltd. Integrated circuit devices and method of manufacturing the same
US9716170B1 (en) * 2016-09-30 2017-07-25 International Business Machines Corporation Reduced capacitance in vertical transistors by preventing excessive overlap between the gate and the source/drain
US9799570B1 (en) * 2017-02-13 2017-10-24 International Business Machines Corporation Fabrication of vertical field effect transistors with uniform structural profiles
US9876015B1 (en) * 2017-02-16 2018-01-23 International Business Machines Corporation Tight pitch inverter using vertical transistors
US20180254218A1 (en) * 2017-03-06 2018-09-06 International Business Machines Corporation Integrating metal-insulator-metal capacitors with fabrication of vertical field effect transistors
US10069008B1 (en) * 2017-04-13 2018-09-04 International Business Machines Corporation Vertical transistor pass gate device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251301B2 (en) * 2020-03-13 2022-02-15 International Business Machines Corporation Cross-bar vertical transport field effect transistors without corner rounding
US11742426B2 (en) 2021-05-11 2023-08-29 International Business Machines Corporation Forming crossbar and non-crossbar transistors on the same substrate
US20230055297A1 (en) * 2021-08-17 2023-02-23 International Business Machines Corporation Self-aligned block for vertical fets
WO2024049617A1 (en) * 2022-08-31 2024-03-07 Apple Inc. Backside contacts for signal routing

Also Published As

Publication number Publication date
TW201939748A (en) 2019-10-01
TWI698998B (en) 2020-07-11
US10418484B1 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
US10418484B1 (en) Vertical field effect transistors incorporating U-shaped semiconductor bodies and methods
US10014298B1 (en) Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10177041B2 (en) Fin-type field effect transistors (FINFETS) with replacement metal gates and methods
US10431663B2 (en) Method of forming integrated circuit with gate-all-around field effect transistor and the resulting structure
US10304832B1 (en) Integrated circuit structure incorporating stacked field effect transistors and method
US10192819B1 (en) Integrated circuit structure incorporating stacked field effect transistors
US10090193B1 (en) Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10411010B2 (en) Tall single-fin FIN-type field effect transistor structures and methods
US10355101B2 (en) Vertical field effect transistor (VFET) having a self-aligned gate/gate extension structure and method
US10580897B2 (en) Methods of forming a bulk field effect transistor (FET) with sub-source/drain isolation layers and the resulting structures
US10283408B2 (en) Middle of the line (MOL) contacts with two-dimensional self-alignment
US9224837B2 (en) Semiconductor structures with pair(s) of vertical field effect transistors, each pair having a shared source/drain region and methods of forming the structures
CN108878535B (en) Fin field effect transistor with single diffusion interrupt and method
US10283621B2 (en) Method of forming vertical field effect transistors with self-aligned gates and gate extensions and the resulting structure
US10177151B1 (en) Single-diffusion break structure for fin-type field effect transistors
CN110957316A (en) Semiconductor device with a plurality of semiconductor chips
US10276689B2 (en) Method of forming a vertical field effect transistor (VFET) and a VFET structure
US10062692B1 (en) Field effect transistors with reduced parasitic resistances and method
US10014296B1 (en) Fin-type field effect transistors with single-diffusion breaks and method
US10090402B1 (en) Methods of forming field effect transistors (FETS) with gate cut isolation regions between replacement metal gates
US20200335602A1 (en) Metal gate for a field effect transistor and method
US20180226503A1 (en) Vertical pillar-type field effect transistor and method
US10644157B2 (en) Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods
US11342453B2 (en) Field effect transistor with asymmetric gate structure and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIE, RUILONG;LIEBMANN, LARS;NOWAK, EDWARD J.;AND OTHERS;SIGNING DATES FROM 20180307 TO 20180313;REEL/FRAME:045203/0382

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4