US20190123189A1 - Multi-gate semiconductor device and method for forming the same - Google Patents
Multi-gate semiconductor device and method for forming the same Download PDFInfo
- Publication number
- US20190123189A1 US20190123189A1 US15/793,521 US201715793521A US2019123189A1 US 20190123189 A1 US20190123189 A1 US 20190123189A1 US 201715793521 A US201715793521 A US 201715793521A US 2019123189 A1 US2019123189 A1 US 2019123189A1
- Authority
- US
- United States
- Prior art keywords
- gate
- spacer
- semiconductor device
- substrate
- conductive
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 200
- 238000000034 method Methods 0.000 title description 69
- 125000006850 spacer group Chemical group 0.000 claims abstract description 213
- 239000000758 substrate Substances 0.000 claims abstract description 99
- 229910052751 metal Inorganic materials 0.000 claims description 53
- 239000002184 metal Substances 0.000 claims description 53
- 230000004888 barrier function Effects 0.000 claims description 50
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 9
- 239000010703 silicon Substances 0.000 claims description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 9
- 239000004020 conductor Substances 0.000 claims description 6
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 6
- 150000004767 nitrides Chemical class 0.000 claims description 5
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 299
- 230000008569 process Effects 0.000 description 34
- 239000000463 material Substances 0.000 description 25
- 239000000203 mixture Substances 0.000 description 25
- 108091006146 Channels Proteins 0.000 description 13
- 238000005530 etching Methods 0.000 description 12
- 239000011810 insulating material Substances 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 239000002094 self assembled monolayer Substances 0.000 description 11
- 239000013545 self-assembled monolayer Substances 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 8
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 6
- 241000272470 Circus Species 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 230000035945 sensitivity Effects 0.000 description 5
- 238000000059 patterning Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 229910010038 TiAl Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910004191 HfTi Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910010041 TiAlC Inorganic materials 0.000 description 2
- 229910008484 TiSi Inorganic materials 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 150000003573 thiols Chemical group 0.000 description 2
- PYJJCSYBSYXGQQ-UHFFFAOYSA-N trichloro(octadecyl)silane Chemical compound CCCCCCCCCCCCCCCCCC[Si](Cl)(Cl)Cl PYJJCSYBSYXGQQ-UHFFFAOYSA-N 0.000 description 2
- RCHUVCPBWWSUMC-UHFFFAOYSA-N trichloro(octyl)silane Chemical compound CCCCCCCC[Si](Cl)(Cl)Cl RCHUVCPBWWSUMC-UHFFFAOYSA-N 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- 229910016570 AlCu Inorganic materials 0.000 description 1
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonium chloride Substances [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- -1 GaAsP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 235000011114 ammonium hydroxide Nutrition 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 150000002898 organic sulfur compounds Chemical class 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8221—Three dimensional integrated circuits stacked in different levels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0688—Integrated circuits having a three-dimensional layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
- H01L29/0653—Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66484—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82345—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82385—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
Definitions
- FET multi-gate field effect transistor
- FinFET fin FET
- GAA gate-all-around
- a gate electrode is adjacent to three side surfaces of a channel region with a gate dielectric layer interposed therebetween. Because the gate structure surrounds the fin on three surfaces, the transistor essentially has thee gates controlling the current through the fin or channel region. However, the fourth side that is the bottom part of the channel region is far away from gate electrode and this is not under close gate control.
- FIG. 1 shows a flow chart representing a method for forming a multi-gate semiconductor device according to aspects of the present disclosure.
- FIG. 2 shows a flow chart representing a method for forming a multi-gate semiconductor device according to aspects of the present disclosure.
- FIG. 3A through FIG. 3N illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- FIG. 4A through FIG. 4D illustrate operations for forming the gate dielectric layer according to aspects of the present disclosure in one or more embodiments.
- FIG. 5A through FIG. 5J illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- FIG. 6A through FIG. 6E are cross-sectional views of the multi-gate semiconductor device according to aspects of the present disclosure in one or more embodiments.
- FIG. 7A through FIG. 7K illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- FIG. 8A through FIG. 8K illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- FIG. 9A through FIG. 9D are cross-sectional views of the multi-gate semiconductor device according to aspects of the present disclosure in one or more embodiments.
- FIG. 10A through FIG. 10O illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- FIG. 11A through FIG. 11D are cross-sectional views of the multi-gate semiconductor device according to aspects of the present disclosure in one or more embodiments.
- FIG. 12 through FIG. 14 illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- first and second features are formed in direct contact
- additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
- present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper”, “on” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
- the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
- the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- first”, “second” and “third” describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms may be only used to distinguish one element, component, region, layer or section from another.
- the terms such as “first”, “second” and “third” when used herein do not imply a sequence or order unless clearly indicated by the context.
- the terms “approximately,” “substantially,” “substantial” and “about” are used to describe and account for small variations. When used in conjunction with an event or circumstance, the terms can refer to instances in which the event or circumstance occurs precisely as well as instances in which the event or circumstance occurs to a close approximation.
- the terms can refer to a range of variation of less than or equal to ⁇ 10% of that numerical value, such as less than or equal to ⁇ 15%, less than or equal to ⁇ 14%, less than or equal to ⁇ 3%, less than or equal to ⁇ 2%, less than or equal to ⁇ 1%, less than or equal to ⁇ 0.5%, less than or equal to ⁇ 0.1%, or less than or equal to ⁇ 0.05%.
- two numerical values can be deemed to be “substantially” the same or equal if a difference between the values is less than or equal to ⁇ 10% of an average of the values, such as less than or equal to ⁇ 5%, less than or equal to ⁇ 4%, less than or equal to ⁇ 3%, less than or equal to ⁇ 2%, less than or equal to ⁇ 1%, less than or equal to ⁇ 0.5%, less than or equal to ⁇ 0.1%, or less than or equal to ⁇ 0.05%.
- substantially parallel can refer to a range of angular variation relative to 0° that is less than or equal to ⁇ 10°, such as less than or equal to ⁇ 5°, less than or equal to ⁇ 4°, less than or equal to ⁇ 3°, less than or equal to ⁇ 2°, less than or equal to ⁇ 1°, less than or equal to ⁇ 0.5°, less than or equal to ⁇ 0.1°, or less than or equal to ⁇ 0.05°.
- substantially perpendicular can refer to a range of angular variation relative to 90° that is less than or equal to ⁇ 10°, such as less than or equal to ⁇ 5°, less than or equal to ⁇ 4°, less than or equal to ⁇ 3°, less than or equal to ⁇ 2°, less than or equal to ⁇ 1°, less than or equal to ⁇ 0.5°, less than or equal to ⁇ 0.1°, or less than or equal to ⁇ 0.05°.
- the gate all around (GAA) transistor structures may be patterned by any suitable method.
- the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes.
- double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process.
- a dummy layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned dummy layer using a self-aligned process. The dummy layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
- the present disclosure presents embodiments in the form of multi-gate transistors or fin-type multi-gate transistors referred to herein as FinFET devices.
- the FinFET devices may be GAA devices, Omega-gate (a-gate) devices, Pi-gate (H-gate) devices, dual-gate devices, tri-gate devices, bulk devices, silicon-on-insulator (SOI) devices, and/or other configurations.
- a-gate Omega-gate
- H-gate Pi-gate
- SOI silicon-on-insulator
- FIG. 1 is a flow chart representing a method for forming a multi-gate semiconductor device 10 according to aspects of the present disclosure.
- the method for forming the multi-gate semiconductor device 10 includes an operation 102 , providing a substrate including at least one fin structure.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 104 , disposing a dummy gate structure over the fin structure and the substrate.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 106 , disposing a spacer over side wails of the dummy gate structure, wherein portions of the fin structure are exposed from the dummy gate structure and the spacer.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 108 , forming a source/drain region in the portions of the fin structure exposed from the dummy gate structure and the spacer.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 110 , disposing a dielectric structure over the substrate.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 112 , removing the dummy gate structure to form a gate trench in the dielectric structure.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 114 , disposing at least a gate dielectric layer over a bottom of the gate trench after removing the dummy gate structure, wherein the spacer is exposed from sidewalls of the gate trench.
- the method for forming the multi-gate semiconductor device 10 further includes an operation 116 , disposing a gate conductive structure in the gate trench, wherein sidewalls of the gate conductive structure are in contact with the spacer.
- the method for forming the multi-gate semiconductor device 10 will be further described according to one or more embodiments. It should be noted that the operations of the method for forming the multi-gate semiconductor device 10 may be rearranged or otherwise modified within the scope of the various aspects. It is further noted that additional processes may be provided before, during, and after the method 10 , and that some other processes may only be briefly described herein. Thus other implementations are possible within the scope of the various aspects described herein.
- FIG. 2 is a flow chart representing a method for forming a multi-gate semiconductor device 20 according to aspects of the present disclosure.
- the method for forming the multi-gate semiconductor device 20 includes an operation 202 , providing a substrate including at least one fin structure.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 204 , disposing a sacrificial insulating structure over the fin structure and the substrate.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 206 , forming a first gate trench in the sacrificial insulating structure, wherein a portion of the fin structure is exposed from the first gate trench,
- the method for forming the multi-gate semiconductor device 20 further includes an operation 208 , disposing a gate dielectric layer over the fin structure and sidewalls of the first gate trench.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 210 , disposing a first dummy gate structure in the first gate trench.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 212 , removing the sacrificial insulating structure and a portion of the gate dielectric layer to exposed sidewalls of the first dummy gate structure and the fin structure.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 214 , disposing an insulating spacer over the sidewalls of the first dummy gate structure, wherein portions of the fin structure are exposed from the insulating spacer.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 216 , removing the first dummy gate structure to form a second gate trench.
- the method for forming the multi-gate semiconductor device 20 further includes an operation 218 , disposing a gate conductive structure in the second gate trench.
- the method for forming the multi-gate semiconductor device 20 will be further described according to one or more embodiments. It should be noted that the operations of the method for forming the multi-gate semiconductor device 20 may be rearranged or otherwise modified within the scope of the various aspects. It is further noted that additional processes may be provided before, during, and after the method 20 , and that some other processes may only be briefly described herein. Thus other implementations are possible within the scope of the various aspects described herein.
- FIG. 3A through FIG. 3N are drawings illustrating a multi-gate semiconductor device 300 at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments.
- a substrate 302 is provided.
- the substrate 302 may be a semiconductor substrate such as a silicon substrate.
- the substrate 302 may also include other semiconductors such as germanium (Ge), silicon carbide (SiC), silicon germanium (SiGe), or diamond.
- the substrate 302 may include a compound semiconductor and/or an alloy semiconductor.
- the substrate 302 may include various layers, including conductive or insulating layers formed on a semiconductor substrate.
- the substrate 302 may include various doping configurations depending on design requirements as is known in the art.
- n wells, p wells may be formed on the substrate 302 in regions designed for different device types (e.g., n-type field effect transistors (NFET), p-type field effect transistors (PFET)).
- the suitable doping may include ion implantation of dopants and/or diffusion processes.
- the substrate 302 typically has isolation features (e.g., shallow trench isolation (STI) features) (not shown) interposing the regions providing different device types.
- the substrate 302 may optionally include an epitaxial layer (epi-layer), may be strained for performance enhancement, may include a SOI structure, and/or have other suitable enhancement features.
- a stack including semiconductor layers are formed over the substrate 302 .
- a strain relaxed buffer (SRB) layer (not shown) can be formed over the substrate 302 .
- the SRB layer may be different in composition from the substrate 302 in order to create lattice strain at the interface with the substrate 302 .
- the substrate 302 includes silicon and is substantially free of germanium while the SRB layer includes SiGe.
- a stack including semiconductor layers are formed over the substrate 302 .
- the stack of semiconductor layers may be disposed on the SRB layer.
- the stack of semiconductor layers may include alternating layers of different compositions.
- the stack includes semiconductor layers 304 of a first composition alternating with semiconductor layers 306 of a second composition.
- growth of the layers of the stack may be performed by a molecular beam epitaxy (MBE) process, a metalorganic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes.
- MBE molecular beam epitaxy
- MOCVD metalorganic chemical vapor deposition
- the stack may include any number of layers of any suitable composition with various examples including between 2 and 10 semiconductor layer 304 and between 2 and 10 semiconductor layers 306 .
- the different compositions of the layers in the stack e.g., semiconductor layers 304 and semiconductor layers 306
- the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties.
- the semiconductor layers 304 and 306 may have thicknesses chosen based on device performance considerations. In some embodiments, the semiconductor layers 304 are substantially uniform in thickness, and the semiconductor layers 306 are substantially uniform in thickness.
- either of the semiconductor layers 304 and 306 may include Si. In some embodiments, either of the semiconductor layers 304 and 306 may include other materials such as Ge, a compound semiconductor such as SiC, gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (InSb), an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, or combinations thereof.
- a compound semiconductor such as SiC
- GaAs gallium arsenide
- GaP gallium phosphide
- InP indium phosphide
- InAs indium arsenide
- InSb indium antimonide
- an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP,
- the semiconductor layers 304 and 306 may be undoped or substantially dopant-free, where for example, no doping is performed during the epitaxial growth process.
- the semiconductor layers 306 may be doped.
- the semiconductor layers 304 or 306 may be doped with a p-type dopant such as boron (B), aluminum (Al), In, and Ga for forming a p-type channel, or an n-type dopant such as P, As, Sb, for forming an n-type channel.
- At least one fin structure 308 is formed over the substrate 302 from the stack of semiconductor layers 304 / 306 .
- the fin structure 308 may be fabricated using suitable operations including photolithography and etch operations.
- forming the fin structure 308 may further include a trim process to decrease the width and/or the height of the fin structure 308 .
- the trim process may include wet or dry etching processes.
- the height and width of the fin structure 308 may be chosen based on device performance considerations.
- the fin structure 308 can be extended along a first direction D 1 as shown in FIG. 3A . Accordingly, the substrate 302 including the at least one fin structure 308 is provided according to operation 102 . Further, in some embodiments, a liner 309 can be formed over the fin structure 308 and the substrate 302 .
- a dummy gate structure 310 is disposed over the fin structure 308 and the substrate 302 according to operation 104 .
- the dummy gate structure 310 may be replaced at a later processing stage by a high-K dielectric layer (HK) and metal gate electrode (MG) as discussed below.
- the dummy gate structure 310 is formed over the substrate 302 and extended along a second direction D 2 , which is not parallel with the first direction D 1 . Additionally, the first direction D 1 and the second direction D 2 are in the same plane.
- the dummy gate structure 310 is at least partially disposed over the fin structure 308 , and a portion of the fin structure 308 underlying the dummy gate structure 310 may be referred to as the channel region.
- the dummy gate structure 310 may also define a source/drain region of the fin structure 308 , for example, as portions of the fin structure 308 adjacent to and on opposing sides of the channel region.
- the dummy gate structure 310 can include at least a polysilicon layer and a patterned hard mask for defining the dummy gate structure.
- a spacer 320 / 322 is disposed over sidewalls of the dummy gate structure 310 , and portions of the fin structure 308 are exposed from the dummy gate structure 310 and the spacer 320 / 322 according to operation 106 .
- the spacer 320 includes a conductive material and the spacer 322 includes an insulating material.
- the spacer 320 can include metal or metal nitride.
- a conductive layer is conformally disposed over the dummy gate structure 310 , the fin structure 308 and the substrate 302 .
- a suitable etching operation such as dry etching operation is performed to remove portions of the conductive layer to form the spacer 320 .
- a suitable etching operation such as dry etching operation is performed to remove portions of the conductive layer to form the spacer 320 .
- the sidewalls of the dummy gate structure 310 is covered by the spacer 320 while the portions of the fin structure 308 and the substrate 302 are exposed from the spacer 320 .
- portions of the liner 309 can be removed after forming the spacer 320 .
- an insulating layer is conformally formed over the spacer 320 , the dummy gate structure 310 and the exposed fin structure 308 .
- the insulating layer includes one or more insulating material such as silicon nitride (SiN), silicon oxide (SiO), silicon carbide (SiC), silicon oxycarbide (SiOC), silicon oxycarbon nitride (SiOCN), other materials, or a combination thereof.
- suitable etching operation such as dry etching operation is performed to remove portions of the insulating layer to form the spacer 322 over the spacer 320 as shown in FIG. 3B .
- suitable etching operation such as dry etching operation is performed to remove portions of the insulating layer to form the spacer 322 over the spacer 320 as shown in FIG. 3B .
- the portions of the fin structure 308 are exposed from the dummy gate structure 310 and the spacer 320 / 322 according to operation 106 as shown in FIG. 3B .
- formation of the spacer 320 can be ignored. That is, the insulating spacer 322 is formed to cover the sidewalls of the dummy gate structure
- portions of the fin structure 308 exposed from the spacer 320 / 322 such as portions of the semiconductor layers 306 in the fin structure 308 exposed from the spacer 320 / 322 are removed in some embodiments. Thereby a plurality of notches 308 n is formed in the fin structure 308 as shown in FIG. 3C .
- the semiconductor layers 304 are exposed from a top and a bottom of the notch 308 n while the semiconductor layer 306 is exposed from a sidewall of the notch 308 n.
- another insulating layer 324 is formed over the substrate 302 .
- the insulating layer 324 includes one or more insulating material such as SiN, SiO, SiC, SiOC, SiOCN, other materials, or a combination thereof. Further, the insulating material is different from the insulating material for forming the spacer 322 in some embodiments.
- a suitable etching operation is performed to remove portions of the insulating layer 324 , and thus a plurality of inner spacers 326 is formed in the notches 308 n as shown in FIG. 3E .
- the portions of the fin structure 308 are exposed again from the top and the bottom of the notches 308 n while the inner spacers 326 are exposed from the sidewalls of the notches 308 n.
- the semiconductor layers 306 are enclosed by the semiconductor layers 304 and the inner spacers 326 while the semiconductor layers 304 are exposed.
- a source/drain region 330 is formed in the portions of the fin structure 308 exposed from the dummy gate structure 310 and the spacers 320 / 322 / 326 according to operation 108 . As shown in FIG. 3F , the source/drain region 330 wraps the exposed portions of the fin structure 308 , that are the exposed portions of the semiconductor layers 304 . In some embodiments, the source/drawn regions 330 may be formed by performing an epitaxial growth operation that provides an epitaxy material wrapping the exposed portions of the semiconductor layer 304 of the fin structure 308 .
- the source/drain regions 330 may include Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP, or other suitable material.
- the source/drain regions 330 may be in-situ doped during the epi process.
- the source/drain regions 330 may be doped with boron.
- the source/drain regions 330 may be doped with carbon to form Si:C source/drain regions, phosphorous to form Si:P source/drain regions, or both carbon and phosphorous to form SiCP source/drain regions.
- the source/drain regions 330 are not in-situ doped, and instead an implantation process is performed to dope the source/drain regions 330 .
- a dielectric structure 340 is disposed over the substrate 302 according to operation 110 .
- the dielectric structure 340 can include an etch-stop layer (e.g., a contact etch stop layer (CESL)) 342 and various dielectric layers (e.g., an inter-layer dielectric (ILD) layer) 344 formed on the substrate 302 after forming the source/drain regions 310 .
- the CESL 342 includes a SiN layer, a SiCN layer, a SiON layer, and/or other materials known in the art.
- the ILD layer 344 includes materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials.
- a planarization process such as a chemical mechanical planarization (CMP) operation, may be performed to form the dielectric structure 340 and to expose a top surface of the dummy gate structure 310 as shown in FIG. 3G .
- the planarization is performed to expose at least a top surface of the polysilicon layer of the dummy gate structure 310 .
- the dummy gate structure 310 is then removed to form a gate trench 312 in the dielectric structure 340 according to operation 112 .
- the spacer 320 is exposed from sidewalls of the gate trench 312
- the fin structure 308 is exposed from the gate trench 312 .
- the liner layer 309 disposed over the fin structure 308 is removed subsequently.
- the semiconductor layers 306 exposed from the gate trench 312 are removed. Accordingly, a plurality of wires including the semiconductor layers 304 is obtained as shown in FIG. 3H .
- the wires including the semiconductor layers 304 serves as channel regions.
- the wires can be slightly etched to obtain various desirable dimensions and shapes, and the various desired dimensions and shapes may be chosen based on device performance considerations.
- a barrier layer 314 such as a self-assembled monolayer (SAM) is formed over the sidewalls of the gate trench 312 .
- the SAM includes a head group, which is one end of the molecule. Selection of the head group will depend on the application of the SAM.
- the SAM over the spacer 320 including conductive material may include the head group having organosulfur compound.
- the SAM over the spacer 320 may include thiol head group such as mono(di)thiols.
- the SAM over the inner spacer 326 including insulating material may include silane head group such as octyltrichlorosilane (OTS) or n-octadecyltrichlorosilane (ODTS).
- the SAM over the inner spacer 326 may include Hexamethyldisilazane (HMDS).
- HMDS Hexamethyldisilazane
- the material of the barrier layer 314 can be chosen such that the harrier layer 314 is selectively formed to cover the spacer 320 exposed from the gate trench 312 while the inner spacer 326 and at least a portion of fin structure 308 , such as a portion of each semiconductor layer 304 , are exposed from the gate trench 312 .
- the insulating spacer 322 and the inner spacer 326 may be exposed from the gate trench 312 .
- the material of the barrier layer 314 can be chosen such that the barrier layer 314 is selectively formed to cover the spacer 322 and the inner spacer 326 exposed from the gate trench 312 while the at least a portion of fin structure 308 is exposed from the gate trench 312 , as shown in FIG. 12 .
- an interfacial layer (IL) 316 is formed over the semiconductor layers 304 exposed from the barrier layer 314 .
- the IL 316 may include an oxide-containing material such as SiO or SiON.
- the IL 316 wraps around the exposed semiconductor layers 304 . More importantly, since the spacer 320 is covered by the barrier layer 314 , a surface not suitable for forming dielectric material is rendered by the barrier layer 314 . Accordingly, the IL 316 wraps around the exposed semiconductor layers 304 while the barrier layer 314 sill still exposed from the gate trench 312 after forming the IL 316 .
- a gate dielectric layer 318 is formed.
- the gate dielectric layer 318 wraps around the exposed semiconductor layers 304 and over the IL 316 while the barrier layer 314 sill still exposed from the gate trench 312 after forming the gate dielectric layer 318 .
- the gate dielectric layer 318 includes a high-k dielectric material having a high dielectric constant, for example, greater than that of thermal silicon oxide ( ⁇ 3.9).
- the high-k dielectric material may include hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), lanthanum oxide (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), titanium oxide (TiO 2 ), yttrium oxide (Y 2 O 3 ), strontium titanate (SrTiO 3 ), hafnium oxynitride (HfOxNy), other suitable metal-oxides, or combinations thereof.
- the spacer 320 is ignored, the IL 316 and the gate dielectric layer 318 are formed over the fin structures 304 exposed from the gate trench 312 .
- the spacer 322 and the inner spacer 326 are covered by the barrier layer 314 , a surface not suitable for forming the dielectric material is rendered by the barrier layer 314 as shown in FIG. 13 .
- the barrier layer 314 is removed from the gate trench 312 .
- the barrier layer 314 can be removed by, for example but not limited to, tetramethylammonium hydroxide (TMAH), ammonia solution, or cyclic oxidation-DHF clean. Consequently, the gate dielectric layer 318 is disposed over a bottom of the gate trench 312 and the semiconductor layers 304 , while the spacer 320 is exposed again from the sidewalls of the gate trench 312 according to operation 114 .
- the spacer 320 can be removed after removing the barrier layer 314 , but the disclosure is not limited to this.
- a gate conductive structure 350 is then disposed in the gate trench 312 according to operation 116 .
- the gate conductive structure 350 is formed on the gate dielectric layer 318 . More importantly, sidewalls of the gate conductive structure 350 are in contact with the spacer 320 as shown in FIG. 3L .
- the gate conductive structure 350 can include at least a harrier metal layer 352 , a work functional metal layer 354 and a gap-filling metal layer 356 .
- the barrier metal layer 352 can include, for example hut not limited to, TiN.
- the work function metal layer 354 can include a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials, but not limited to this.
- n-channel FET one or more of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as the work function metal layer 354
- the p-channel FET one or more of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC and Co is used as the work function metal layer 354 .
- the gap-filling metal layer 326 layers of conductive material such as Al, Cu, AlCu, or W, but is not limited by the above-mentioned materials. Accordingly, the multi-gate semiconductor device 300 is obtained as shown in FIG. 3L .
- the spacer 322 can be removed after disposing the gate conductive structure 350 , and thus a spacer trench 328 is formed between the spacer 320 and the dielectric structure 340 as shown in FIG. 3M . Subsequently, the spacer trench 328 can be sealed to form an air spacer 360 as shown in FIG. 3N . It should be noted that the air spacer 360 can be selectively formed to further reduce the Miller capacitance between the gate electrodes and drain areas of the FET.
- the spacer 322 is exposed in the gate trench 312 after removing barrier layer 314 .
- the gate conductive structure 350 is then formed in the gate trench 312 . Accordingly, the gate conductive structure 350 , such as the barrier metal layer 352 is contact with the spacer 322 as shown in FIG. 14 .
- FIG. 4A through FIG. 4D depict operations for forming the gate dielectric layer according aspects of the present disclosure in one or more embodiments. It should be noted that elements the same in FIGS. 3A-3N and FIGS. 4A-4D are designated by the same numerals and formed by similar operations. Further, elements the same in FIGS. 3A-3N and FIGS. 4A-4D can include same materials. Therefore, details for forming those elements are omitted in the interest of brevity, and the differences are provided as following description. Additionally, FIGS. 4A-4G are cross-sectional views of a semiconductor device 300 ′ taken along the first direction D 1 .
- semiconductor re-growth operation can be performed. Consequently, semiconductor layers 305 are formed over the exposed semiconductor layers 304 .
- the semiconductor layers 304 and the semiconductor layers 305 can include the same semiconductor material.
- the semiconductor layers 304 and the semiconductor layers 305 can include different semiconductor materials.
- the spacer 320 and the inner spacer 326 are exposed from the sidewalls of the gate trench 312 , as shown in FIG. 4A .
- a barrier layer 314 such as a SAM is formed over the spacer 320 . Consequently, the spacer 320 that is exposed from the sidewalls of the gate trench 312 is now covered by the harrier layer 314 while the inner spacer 326 is still exposed.
- the semiconductor layers 305 are removed after forming the barrier layer 314 . Consequently, the semiconductor layers 304 of the fin structure 308 are exposed again as shown in FIG. 4C .
- an interfacial layer (IL) 316 and a gate dielectric layer 318 are then sequentially formed over the exposed semiconductor layers 304 .
- the IL 316 and the gate dielectric layer 318 are formed to wrap the semiconductor layers 304 but not the sidewalls of the gate trench 312 because the barrier layer 314 provides a surface less suitable for forming dielectric material.
- the barrier layer 314 is removed from the gate trench 312 .
- the gate dielectric layer 318 is disposed over a bottom of the gate trench 312 and the semiconductor layers 304 , while the spacer 320 / 326 are exposed from the sidewalls of the gate trench 312 according to operation 114 .
- operations such as 116 can be performed to form the gate conductive 350 structure as mentioned above.
- FIG. 5A through FIG. 5J illustrates a multi-gate semiconductor device 400 at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same in FIGS. 3A-3N and FIGS. 5A-5J are designated by the same numerals. Further, elements the same in FIGS. 3A-3N and FIGS. 5A-5J can include same materials, thus those details for the materials are omitted in the interest of brevity.
- a substrate 402 is provided.
- a SRB layer (not shown) can be formed over the substrate 402 .
- a fin structure 408 including semiconductor layers is formed over the SRB layer or the substrate 402 .
- the fin structure 408 may include alternating layers of different compositions.
- the fin structure 408 includes semiconductor layers 404 of a first composition alternating with semiconductor layers 406 of a second composition.
- the different compositions of the layers in the fin structure 408 e.g., semiconductor layers 404 and semiconductor layers 406
- the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties.
- the semiconductor layers 404 and 406 may have thicknesses chosen based on device performance considerations. As mentioned above, height and width of the fin structure 408 may be chosen based on device performance considerations. Further, the fin structure 408 can be extended along a first direction D 1 as shown in FIG. 5A . Accordingly, the substrate 402 including the at least one fin structure 408 is provided according to operation 102 . Further, in some embodiments, a liner 409 can be formed over the fin structure 408 and the substrate 402 as shown in FIG. 5A .
- a dummy gate structure 410 is disposed over the fin structure 408 and the substrate 402 according to operation 104 .
- the dummy gate structure 410 is formed over the substrate 402 and is extended along a second direction D 2 , which is not parallel with the first direction D 1 . Additionally, the first direction D 1 and the second direction D 2 are in the same plane.
- the dummy gate structure 410 is at least partially disposed over the fin structure 408 .
- the dummy gate structure 410 can include at least a polysilicon layer and a patterned hard mask for defining the dummy gate structure.
- a spacer 420 / 422 is disposed over sidewalls of the dummy gate structure 410 , and portions of the fin structure 408 are exposed from the dummy gate structure 410 and the spacer 420 / 422 according to operation 106 .
- both of the spacer 420 and the spacer 422 include an insulating materials.
- the spacer 420 and the spacer 422 include insulating materials different from each other.
- the spacer 420 includes SiO while the spacer 422 include SiN, but not limited to this.
- an insulating layer is conformally disposed over the dummy gate structure 410 , the fin structure 408 and the substrate 402 .
- a suitable etching operation such as dry etching operation is performed to remove portions of the insulating layer to form the spacer 420 .
- a suitable etching operation such as dry etching operation is performed to remove portions of the insulating layer to form the spacer 420 .
- the sidewalls of the dummy gate structure 410 is covered by the spacer 420 while portions of the fin structure 408 and the substrate 402 are exposed from the dummy gate structure 410 and the spacer 420 .
- liner 409 is exposed from the dummy gate structure 410 and the spacer 420 .
- FIG. 5B after forming the spacer 420 , another insulating layer is conformally formed over the spacer 420 , the dummy gate structure 410 and the exposed fin structure 408 and followed by suitable etching operation such as dry etching operation. Consequently, portions of the insulating layer are removed to form the spacer 422 .
- the spacer 420 over the sidewalls of the dummy gate structure 410 is now covered by the spacer 422 while the portions of the fin structure 408 and the substrate 402 are exposed from the spacer 422 .
- the liner 409 can be removed during or after forming the spacer 422 .
- the portions of the fin structure 408 are exposed from the dummy gate structure 410 and the spacer 420 / 422 according to operation 106 as shown in FIG. 5B .
- the portions of the fin structure 408 exposed from the spacer 420 / 422 , that are portions of the semiconductor layers 406 in the fin structure 408 exposed from the spacer 420 / 422 are removed in some embodiments. Thereby a plurality of notches (not shown) is formed in the fin structure 408 as shown in FIG. 3C . In some embodiments, a plurality of inner spacers 424 is respectively disposed in the notches. Since the operations for forming the inner spacers 424 are similar to those shown in FIGS. 3C-3D , details are omitted for brevity.
- a source/drain region 430 is formed in the portions of the fin structure 408 exposed from the dummy gate structure 410 and the spacers 420 / 422 / 424 according to operation 108 . As shown in FIG. 5C , the source/drain region 430 wraps the exposed portions of the fin structure 408 , that are the exposed portions of the semiconductor layers 404 .
- a dielectric structure 440 is then disposed over the substrate 402 according to operation 110 .
- the dielectric structure 440 can include an etch-stop layer (e.g., CESL 442 ) and various dielectric layers (e.g., an ILD layer) 444 .
- a planarization operation such as a CMP operation, may be performed to form the dielectric structure 440 and to expose a top surface of the dummy gate structure 410 as shown in FIG. 5D .
- the planarization operation is performed to expose at least a top surface of the polysilicon layer of the dummy gate structure.
- the spacer 420 is removed to form a spacer trench 426 and followed by forming a spacer 428 in the spacer trench 426 in some embodiments.
- the spacer 428 includes a conductive material.
- the spacer 428 can include metal or metal nitride.
- the insulating spacer 420 is replaced with the conductive spacer 428 in some embodiments.
- the dummy gate structure 410 is removed to form a gate trench 412 in the dielectric structure 440 after forming the spacer 428 according to operation 112 .
- the spacer 428 is exposed from sidewalls of the gate trench 412
- the fin structure 408 is exposed from the gate trench 412 .
- the liner 409 disposed over the fin structure 408 can be removed subsequently.
- the semiconductor layers 406 exposed from the gate trench 412 are removed. Accordingly, a plurality of wires including the semiconductor layers 404 is obtained as shown in FIG. 5G .
- the wires including the semiconductor layers 404 serves as channel regions.
- the wires can be slightly etched to obtain various desirable dimensions and shapes, and the various desired dimensions and shapes may be chosen based on device performance considerations.
- a barrier layer such as the aforementioned SAM can be formed over the sidewalls of the gate trench 412 , and followed by sequentially forming an IL 416 and a gate dielectric layer 418 . Since operations for forming the barrier layer, the IL 416 and the gate dielectric later 418 are similar to those shown in FIGS. 3I-3K or FIGS. 4A-4D , those details are omitted for brevity. And the barrier layer is removed after forming the gate dielectric layer 418 .
- a gate conductive structure 450 is then disposed in the gate trench 412 according to operation 116 .
- the gate conductive structure 450 is formed on the gate dielectric layer 418 to surround each semiconductor layers 404 .
- the gate conductive structure 450 can include at least a barrier metal layer 452 , a work functional metal layer 454 and a gap-filling layer 456 . Accordingly, the multi-gate semiconductor device 400 is obtained as shown in FIG. 5I . More importantly, sidewalls of the gate conductive structure 450 are in contact with the spacer 428 .
- the spacer 422 can be removed after disposing the gate conductive structure 450 , and thus a spacer trench (not shown) is formed between the spacer 428 and the dielectric structure 440 . Subsequently, the spacer trench can be sealed to form an air spacer 460 as shown in FIG. 5J . As mentioned above, the air spacer 460 can be selectively formed to further reduce the Miller capacitance between the gate electrodes and drain areas of the FET.
- FIG. 6A through FIG. 6E are cross-sectional views of the multi-gate semiconductor device 300 , 300 ′ and 400 according to aspects of the present disclosure in one or more embodiments.
- FIG. 6A is a cross-sectional views of the semiconductor device 300 , 300 ′ and 400 taken along the first direction D 1
- FIG. 6B is a cross-sectional view taken along line A 1 -A 1 ′ of FIG. 6A
- FIG. 6C is a cross-sectional view taken along line B 1 -B 1 ′ of FIG. 6A
- FIG. 6D is a cross-sectional view taken along line C 1 -C 1 ′ of FIG. 6A
- FIG. 6A is a cross-sectional views of the semiconductor device 300 , 300 ′ and 400 according to aspects of the present disclosure in one or more embodiments.
- FIG. 6A is a cross-sectional views of the semiconductor device 300 , 300 ′ and 400 taken along the first direction D 1
- FIG. 6B is a cross-sectional view
- FIGS. 6E is a cross-sectional view taken along line D 1 -D 1 ′ of FIG. 6A .
- a multi-gate semiconductor device 300 , 300 ′ and 400 can be provided.
- the multi-gate semiconductor device 300 , 300 ′ and 400 includes the substrate 302 / 402 , the fin structure 308 / 408 such as the stacked wire structure disposed over the substrate 302 / 402 , a gate wrapping the stacked wire structure, and spacer disposed over two sidewalls of the gate. As shown in FIGS.
- the gate includes the gate conductive structure 350 / 450 and the gate dielectric layer 318 / 418 sandwiched between the gate conductive structure 350 / 450 and the stacked wire structure.
- portions of the semiconductor layers 304 / 404 are sequentially wrapped by the IL 316 / 416 , the gate dielectric layer 318 / 418 , and the barrier metal layer 352 / 452 of the gate conductive structure 350 / 450 .
- FIGS. 6C-6D another portions of the semiconductor layers 304 / 404 are spaced apart from each other by the inner spacer 326 / 424 .
- still another portions of the 304 / 404 are wrapped by the source/drain region 330 / 430 .
- the sidewalls of the gate conductive structure 350 / 450 are in contact with the spacer 320 / 428 , and the spacer 320 / 428 includes the conductive material as shown in FIGS. 6A and 6B .
- the multi-gate semiconductor device 300 / 300 ′/ 400 further includes the insulating spacer 322 / 422 , and the conductive spacer 320 / 428 is sandwiched between the insulating spacer 322 / 422 and the gate conductive structure 350 / 450 .
- the multi-pate semiconductor device 300 / 300 ′/ 400 further includes the air spacer 360 / 460 for further reducing Miller capacitance.
- FIG. 7A through FIG. 7K illustrates a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same in FIGS. 3A-3N and FIGS. 7A-7K can include same materials, thus those details for the materials are omitted in the interest of brevity.
- a substrate 502 is provided.
- a SRB layer (not shown) can be formed over the substrate 502 .
- a fin structure 508 including semiconductor layers is formed over the substrate 502 or the SRB layer.
- the fin structure 508 may include alternating layers of different compositions.
- the fin structure 508 includes semiconductor layers 504 of a first composition alternating with semiconductor layers 506 of a second composition.
- the different compositions of the layers in the fin structure 508 e.g., semiconductor layers 504 and semiconductor layers 506
- the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties.
- the fin structure 508 can be extended along a first direction D 1 as shown in FIG. 7A . Accordingly, the substrate 502 including the at least one fin structure 508 is provided according to operation 202 . Further, in some embodiments, a liner (not shown) can be formed over the fin structure 508 and the substrate 502 .
- a dummy gate structure 510 a is disposed over the fin structure 508 and the substrate 502 .
- the dummy gate structure 510 a is formed over the substrate 502 and is extended along a second direction D 2 , which is not parallel with the first direction D 1 . Additionally, the first direction D 1 and the second direction D 2 are in the same plane.
- the dummy gate structure 510 a at least partially disposed over the fin structure 508 , and thus portions of the fin structure 508 are exposed from the dummy gate structure 510 a. Thereafter, an etching rate modification is performed.
- portions of the fin structure 508 exposed from the dummy gate structure 510 a are doped, and thus doped regions 508 d as shown in FIG. 7A .
- the doped regions 508 d include an etching rate lower than the rest portion of the fin structures 508 .
- a concentration of the doped regions 508 d can be ranged from about 1.8E19 to about 8.16E15, but not limited to this.
- the concentration of the doped regions 508 d is inwardly reduced from a surface of the fin structure 508 .
- a sacrificial insulating structure 540 is disposed over the fin structure 508 and the substrate 502 according to operation 204 .
- the sacrificial insulating structure 540 is formed over the substrate 502 and followed by a planarization process, such as a CMP, thus a top surface of the dummy gate structure 510 a is exposed as shown in FIG. 7B .
- the dummy gate structure 510 a is removed to form a gate trench 512 a. Further, the semiconductor layers 506 exposed from the gate trench 512 a are then removed. Since the etching rate of the doped regions 508 d is modified to be lower as mentioned above, removal of the semiconductor layers 506 can be stopped at the doped regions 508 d, as shown in FIG. 7C . Further, the liner disposed over the fin structure 508 can be removed subsequently. Accordingly, a plurality of wires including the semiconductor layers 504 is obtained and exposed from the gate trench 512 a as shown in FIG. 7C . In other words, the gate trench 512 a is formed in the sacrificial insulating structure 520 with a portion of the fin structure 508 being exposed from the gate trench 512 a according to operation 206 .
- an IL 516 is formed over the semiconductor layers 504 and followed by forming a gate dielectric layer 518 over the fin structure 508 and sidewalls of the gate trench 512 a according to operation 208 .
- the IL 516 can be formed over surfaces of the semiconductor layers 504 and 506 in some embodiments.
- the gate dielectric layer 518 is formed to cover all surfaces exposed from the gate trench 512 a.
- the gate dielectric layer 518 can include high-k dielectric material as mentioned above.
- a dummy gate structure 510 b is then formed in the gate trench 512 a according to operation 210 and followed by removing the sacrificial insulating structure 540 according to operation 212 .
- the dummy gate structure 510 b is formed to fill the gate trench 512 a, and a planarization process, such as a CMP can be performed to remove superfluous materials. Further, sidewalls of the dummy gate structure 510 b are in contact with the gate dielectric layer 518 .
- a planarization process such as a CMP can be performed to remove superfluous materials.
- sidewalls of the dummy gate structure 510 b are in contact with the gate dielectric layer 518 .
- at least a portion of the sacrificial insulating structure 540 is removed.
- the gate dielectric layer 518 over the sidewalls of the dummy gate structure 510 b is then removed. Consequently, the sidewalls of the dummy gate structure 510 b are exposed as shown in FIG. 7F . Further, portions of the fin structure 508 are exposed from the dummy gate structure 510 b while another portions of the fin structure 508 is overlapped by the dummy gate structure 510 b. As mentioned above, the portions of the fin structure 508 underlying the dummy gate structure 510 b may be referred to as the channel region.
- portions of the fin structure 508 such as portions of the semiconductor layers 506 exposed from the dummy gate structure 510 b are removed, thereby a plurality of notches 508 n is formed in the fin structure 508 as shown in FIG. 7G .
- the semiconductor layers 504 are exposed from a top and a bottom of the notches 508 n while the IL 518 is exposed from a sidewall of the notches 508 n.
- inner spacers 520 are then formed in the notches 508 n.
- the inner spacers 520 are formed not only in the notches 508 n, but also over the sidewalls of the dummy gate structure 510 b.
- a spacer 522 is formed over the inner spacer 520 .
- the inner spacer 520 and the spacer 522 include the same insulating material.
- the inner spacer 520 and the spacer 522 include different insulating materials.
- insulating spacer (including the inner spacer 520 and the spacer 522 ) is disposed over the sidewalls of the dummy gate structure 510 b while portions of the fin structure 508 are exposed from the insulating spacer 520 / 522 according to operation 214 .
- a source/drain region 530 is formed in the exposed portions of the fin structure 508 , such as the exposed portions of the semiconductor layers 504 .
- a dielectric structure 542 is disposed over the substrate 502 .
- the dielectric structure 542 can include an etch-stop layer (e.g., CESL) (not shown) and various dielectric layers (e.g., an ILD layer) (not shown).
- a planarization process such as a CMP operation, may be performed to the dielectric structure 542 and to expose a top surface of the dummy gate structure 510 b. Still referring to FIG.
- the dummy gate structure 510 b is removed to form a gate trench 512 b in the dielectric structure 542 after forming the dielectric structure 542 according to operation 216 .
- the insulating spacer such as the inner spacer 520 is exposed from sidewalls of the gate trench 512 b.
- the gate dielectric layer 518 is exposed from the gate trench 512 b.
- a gate conductive structure 550 is then disposed in the gate trench 512 b according to operation 218 .
- the gate conductive structure 550 is formed over the gate dielectric layer 518 . More importantly, sidewalls of the gate conductive structure 550 are in contact with the spacer 520 as shown in FIG. 7K .
- the gate structure 550 can include at least a barrier metal layer 552 , a work functional metal layer 554 and a gap-filling metal layer 556 . Accordingly, the multi-gate semiconductor device 500 is obtained as shown in FIG. 7K . More importantly, sidewalls of the gate conductive structure 550 are in contact with the spacer 520 .
- FIG. 8A through FIG. 8K illustrates a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same in FIGS. 3A-3N and FIGS. 8A-8K can include same materials, thus those details for the materials are omitted in the interest of brevity.
- a substrate 602 is provided.
- a SRB layer (not shown) can be formed over the substrate 602 .
- a fin structure 608 including semiconductor layers is formed over the substrate 602 or the SRB layer.
- the fin structure 608 may include alternating layers of different compositions.
- the fin structure 608 includes semiconductor layers 604 of a first composition alternating with semiconductor layers 606 of a second composition.
- the semiconductor layers 604 and the semiconductor layers 606 may be used to selectively process some of the layers. Accordingly, the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties.
- the fin structure 608 can be extended along a first direction D 1 as shown in FIG. 8A .
- the substrate 602 including the at least one fin structure 808 is provided according to operation 202 .
- a liner (not shown) can be formed over the fin structure 608 and the substrate 602 .
- a dummy gate structure 610 a is disposed over the fin structure 608 and the substrate 602 .
- the dummy gate structure 610 a is formed over the substrate 602 and is extended along a second direction D 2 , which is not parallel with the first direction D 1 . Additionally, the first direction D 1 and the second direction D 2 are in the same plane.
- the dummy gate structure 610 a at least partially disposed over the fin structure 608 , and thus portions of the fin structure 608 are exposed from the dummy gate structure 610 a. Thereafter, an etching rate modification is performed.
- doped regions 608 d including an etching rate lower than the rest portions of the fin structure 608 are formed.
- a conductive spacer 620 is then formed over the substrate 602 .
- a conductive layer is conformally disposed over the substrate 602 , and followed by a suitable etching operation such as dry etching operation. Thus portions of the conductive layer are removed to form the spacer 620 .
- the sidewalls of the dummy gate structure 610 a is covered by the spacer 620 while portions of the fin structure 608 and the substrate 602 are exposed from the spacer 620 .
- a sacrificial insulating structure 640 is disposed over the fin structure 608 and the substrate 602 according to operation 204 .
- the sacrificial insulating structure 640 is formed over the substrate 602 and followed by a planarization process, such as a CMP, thus a top surface of the dummy gate structure 610 a is exposed as shown in FIG. 8B .
- the dummy gate structure 610 a is removed to form a gate trench 612 a.
- the liner disposed over the fin structure 608 can be removed subsequently.
- the semiconductor layers 606 exposed from the gate trench 612 a are then removed.
- the etching rate of the doped regions 608 d is modified to be lower as mentioned above, removal of the semiconductor layers 606 can be stopped at the doped regions 608 d, as shown in FIG. 8C . Accordingly, a plurality of wires including the semiconductor layers 604 is obtained and exposed from the gate trench 612 a as shown in FIG. 8C .
- the gate trench 612 a is formed in the sacrificial insulating structure 620 with a portion of the fin structure 608 being exposed from the gate trench 612 a according to operation 206 .
- an IL 616 is formed over the semiconductor layers 604 and followed by forming a gate dielectric layer 618 over the fin structure 608 according to operation 208 .
- a barrier layer (not shown) such as the aforementioned SAM can be formed over the sidewalls of the gate trench 612 a, and followed by sequentially forming the IL 616 and the gate dielectric layer 618 . Since operations for forming the barrier layer, the IL 616 and the gate dielectric later 618 are similar to those shown in FIGS. 3I-3K or FIGS. 4A-4D , those details are omitted for brevity. Accordingly, the gate dielectric layer 618 is formed over the semiconductor layers 604 while the spacer 620 is still exposed from the sidewalls of the gate trench 612 a as shown in FIG. 8D .
- a dummy gate structure 610 b is then formed in the gate trench 612 a according to operation 210 and followed by removing the sacrificial insulating structure 640 according to operation 212 .
- the dummy gate structure 610 b is formed to fill the gate trench 612 a, and a planarization process, such as a CMP can be performed to remove superfluous materials. Sidewalls of the dummy gate structure 610 b are in contact with the spacer 620 .
- a planarization process such as a CMP can be performed to remove superfluous materials.
- Sidewalls of the dummy gate structure 610 b are in contact with the spacer 620 .
- at least a portion of the sacrificial insulating structure 640 is removed. More importantly, the spacer 620 is removed after removing the dummy insulating structure 640 .
- portions of the fin structure 608 are exposed from the dummy gate structure 610 b while another portions of the fin structure 608 is overlapped by the dummy gate structure 610 b.
- the portions of the fin structure 608 underlying the dummy gate structure 610 b may be referred to as the channel region.
- the portions of the fin structure 608 such as the portions of the semiconductor layers 606 exposed from the dummy gate structure 610 b are removed, thereby a plurality of notch 608 n is formed in the fin structure 608 .
- the semiconductor layers 604 are exposed from a top and a bottom of the notch 608 n while the IL 618 is exposed from a sidewall of the notches 608 n.
- inner spacers 622 are then formed in the notches 608 n.
- the inner spacers 622 are formed not only in the notches 608 n, but also over the sidewalls of the dummy gate structure 610 b.
- a spacer 624 is formed over the inner spacer 622 .
- the inner spacer 622 and the spacer 624 include the same insulating material, in some embodiments, the inner spacer 622 and the spacer 624 include different insulating materials.
- insulating spacer (including the inner spacer 622 and the spacer 624 ) is disposed over the sidewalls of the dummy gate structure 610 b while portions of the fin structure 608 are exposed from the insulating spacer 622 / 624 according to operation 214 .
- a source/drain region 630 is formed in the portions of the fin structure 608 exposed from the dummy gate structure 610 b and the insulating spacers 622 / 624 . As shown in FIG. 8H , the source/drain region 630 wraps the exposed portions of the fin structure 608 , such as the exposed portions of the semiconductor layers 604 .
- a dielectric structure 642 is disposed over the substrate 642 .
- the dielectric structure 642 can include an etch-stop layer (e.g., CESL) (not shown) and various dielectric layers (e.g., an ILD layer) (not shown).
- a planarization process such as a CMP operation, may be performed to the dielectric structure 642 and to expose a top surface of the dummy gate structure 610 b.
- the dummy gate structure 610 b is removed to form a gate trench 612 b in the dielectric structure 642 after forming the dielectric structure 642 according to operation 216 .
- the insulating spacer such as the inner spacer 622 is exposed from sidewalls of the gate trench 612 b.
- a gate conductive structure 650 is then disposed in the gate trench 612 b according to operation 218 .
- the gate conductive structure 650 is formed on the gate dielectric layer 618 . More importantly, sidewalls of the gate conductive structure 650 are in contact with the spacer 622 as shown in FIG. 8K .
- the gate structure 650 can include at least a barrier layer 652 , a work functional metal layer 654 and a gap-filling layer 656 . Accordingly, the multi-gate semiconductor device 600 is obtained as shown in FIG. 8K . More importantly, sidewalls of the gate conductive structure 650 are in contact with the spacer 622 .
- FIG. 9A through FIG. 9D are cross-sectional views of the multi-gate semiconductor device 500 and 600 according to aspects of the present disclosure in one or more embodiments.
- FIG. 9A is a cross-sectional view of the semiconductor device 500 and 600 taken along the first direction D 1
- FIG. 9B is a cross-sectional view taken along line A 2 -A 2 ′ of FIG. 9A
- FIG. 9C is a cross-sectional view taken along line B 2 -B 2 ′ of FIG. 9A
- FIG. 9D is a cross-sectional view taken along line C 2 -C 2 ′ of FIG. 9A .
- a multi-gate semiconductor device 500 and 600 can be provided.
- the multi-gate semiconductor device 500 / 600 includes the substrate 502 / 602 , the fin structure 508 / 608 such as the stacked wire structure 508 / 608 disposed over the substrate 502 / 602 , a gate wrapping the stacked wire structure, and spacer disposed over two sidewalls of the gate.
- the gate includes the gate conductive structure 550 / 650 and the gate dielectric layer 518 / 618 sandwiched between the gate conductive structure 550 / 650 and the stacked wire structure. As shown in FIG.
- portions of the semiconductor layers 504 / 604 are sequentially wrapped by the IL 516 / 616 , the gate dielectric layer 518 / 618 , and the harrier metal layer 552 / 652 of the gate conductive structure 550 / 650 .
- FIG. 9C another portions of the stacked wire structure are wrapped by the insulating spacer 520 / 622 .
- still another portions of the 504 / 604 are wrapped by the source//drain region 530 / 630 .
- the sidewalls of the gate conductive structure 550 / 650 such as the barrier metal layer 552 / 652 , are in contact with the insulating spacer 520 / 622 .
- FIG. 10A through FIG. 10O illustrates a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same in FIGS. 3A-3N and FIGS. 10A-10O can include same materials, thus those details for the materials are omitted in the interest of brevity.
- a substrate 702 is provided. Fin structures 708 including semiconductor layers is formed over the substrate 702 or a SRB layer.
- the fin structure 708 may include alternating layers of different compositions.
- the fin structure 708 includes semiconductor layers 704 of a first composition alternating with semiconductor layers 706 of a second composition.
- the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties.
- the fin structure 708 can be extended along a first direction D 1 as shown in FIG. 10A .
- the substrate 702 including the at least one fin structure 708 is provided according to operation 202 .
- a sacrificial insulating structure 740 is disposed over the fin structures 708 and the substrate 702 according to operation 204 .
- FIGS. 10C and 10D a gate trench 712 a is formed in the sacrificial insulating structure 740 according to operation 206 . Additionally, FIGS. 10D-10F are cut views corresponding line X-X′ of FIG. 10C . As shown in FIG. 10D , a portion of the fin structures 708 is exposed from the gate trench 712 a. In some embodiments, the gate trench 712 a is formed correspondingly to region where channels are to be formed.
- the semiconductor layers 706 exposed from the gate trench 712 a are then removed. Accordingly, a plurality of wires including the semiconductor layers 704 is obtained and exposed from the gate trench 712 a as shown in FIG. 10E .
- an IL 716 is formed over the semiconductor layers 704 and followed by forming a gate dielectric layer 718 over the semiconductor layers 708 and sidewalls of the gate trench 712 a according to operation 208 .
- a barrier metal layer 752 can be formed over the gate dielectric layer as shown in FIG. 10F .
- the barrier metal layer 752 can be a part of a gate conductive structure in some embodiments.
- a dummy gate structure 710 b is then formed in the gate trench 712 a according to operation 210 and followed by removing the sacrificial insulating structure 740 according to operation 212 .
- the dummy gate structure 710 b is formed to fill the gate trench 712 a, and sidewalls of the dummy gate structure 710 b are in contact, with the barrier metal layer 752 .
- at least a portion of the sacrificial insulating structure 740 is removed.
- the barrier metal layer 752 and the gate dielectric layer 718 over the sidewalls of the dummy gate structure 710 b are both removed. Consequently, the sidewalls of the dummy gate structure 710 b are exposed as shown in FIG. 10H . Further, portions of the fin structure 708 are exposed from the dummy gate structure 710 b while another portions of the fin structures 708 is overlapped by the dummy gate structure 710 b. As mentioned above, the portions of the fin structures 708 underlying the dummy gate structure 710 b may be referred to as the channel region.
- a spacer 720 is then formed over the substrate 702 .
- the spacer 720 covers the sidewalls of the dummy gate structure 710 b and exposes the portions of the fin structures 708 .
- a source/drain region 730 and a source/drain region 732 are formed in the portions of the fin structures 708 exposed from the dummy gate structure 710 b and the insulating spacers 720 .
- the multi-gate semiconductor device 700 is a CMOS device, therefore the source/drain region 730 can be formed for a pFET and the source/drain region 732 can be formed for an nFET.
- a dielectric structure 742 is disposed over the substrate 702 .
- the dielectric structure 742 can include an etch-stop layer (e.g., CESL) (not shown) and various dielectric layers (e.g., an ILD layer) (not shown).
- a planarization process such as a CMP operation, may be performed to the dielectric structure 742 and to expose a top surface of the dummy gate structure 710 b as shown in FIG. 10K .
- FIGS. 10L-10N wherein FIGS. 10M-10N are cut views corresponding line X-X′ of FIG. 10L , the dummy gate structure 710 b is removed to form a gate trench 712 b in the dielectric structure 742 after forming the dielectric structure 742 according to operation 216 . Since the barrier metal layer 752 is removed from the sidewalls of the gate trench 712 b as mentioned above, the insulating spacer 720 is exposed from the sidewalls of the gate trench 712 b as shown in FIGS. 10L and 10M .
- a gate conductive structure 750 is disposed in the gate trench 712 b according to operation 218 .
- a work function metal layer 754 can be formed in the gate trench 712 b, and the work function metal layer 754 is in contact with the spacer 720 .
- a gap-filling metal layer 756 is then formed to fill the gate trench 712 b and followed by a planarization. Accordingly, the gate conductive structure 750 is obtained as shown in FIG. 10O . More importantly, sidewalls of the gate conductive structure 750 , that is the work function metal layer 754 , are in contact with the spacer 720 as shown in FIG. 10O .
- FIG. 11A through FIG. 11D are cross-sectional views of the multi-gate semiconductor device 700 according to aspects of the present disclosure in one or more embodiments.
- FIG. 11A is a cross-sectional view of the semiconductor device 700 taken along the first direction D 1
- FIG. 11B is a cross-sectional view taken along line A 3 -A 3 ′ of FIG. 11A
- FIG. 11C is a cross-sectional view taken along line B 3 -B 3 ′ of FIG. 11A
- FIG. 11D is a cross-sectional view taken along line C 3 -C 3 ′ of FIG. 11A .
- a multi-gate semiconductor device 700 can be provided.
- the multi-gate semiconductor device 700 includes the substrate 702 , the fin structure 708 such as the stacked wire structure 708 disposed over the substrate 702 , a gate wrapping the stacked wire structure, and spacer disposed over two sidewalls of the gate.
- the gate includes the gate conductive structure 750 and the gate dielectric layer 718 sandwiched between the gate conductive structure 750 and the stacked wire structure.
- portions of the semiconductor layers 704 are sequentially wrapped by the IL 716 , the gate dielectric layer 718 , and the barrier metal layer 752 of the gate conductive structure 750 .
- FIG. 11A-11D the gate includes the gate conductive structure 750 and the gate dielectric layer 718 sandwiched between the gate conductive structure 750 and the stacked wire structure.
- portions of the semiconductor layers 704 are sequentially wrapped by the IL 716 , the gate dielectric layer 718 , and the barrier metal layer 752 of the gate conductive structure 750 .
- FIG. 11C another portions of the stacked wire structure are wrapped by the insulating spacer 720 .
- FIG. 11D still another portions of the 704 are wrapped by the source//drain region 730 .
- the sidewalls of the gate conductive structure 750 such as the work function metal layer 754 , are in contact with the insulating spacer 720 .
- a multi-gate semiconductor device includes a substrate, a stacked wire structure disposed over the substrate, a gate over the stacked wire structure, and at least a first spacer disposed over two sidewalls of the gate.
- the gate further includes a gate conductive structure wrapping the stacked wire structure and a gate dielectric layer sandwiched between the gate conductive structure and the stacked wire structure. Further, sidewalls of the gate conductive structure are in contact with the first spacer.
- a method for forming a multi-gate semiconductor device includes following operations.
- a substrate includes at least a fin structure is provided.
- a dummy gate structure is disposed over the fin structure and the substrate.
- a spacer is disposed over sidewalls of the sacrificial gate structure. Portions of the fin structure are exposed from the dummy gate structure and the spacer.
- a source/drain region is formed in the portions of the fin structure exposed from the sacrificial gate structure and the spacer and followed by disposing a dielectric structure over the substrate.
- the dummy gate structure is then removed to form a gate trench in the dielectric structure.
- At least a gate dielectric layer is disposed over a bottom of the gate trench after removing the sacrificial gate structure.
- the spacer is exposed from sidewalls of the gate trench.
- a gate conductive structure is disposed in the gate trench. More importantly, sidewalls of the gate conductive structure are in contact with the spacer.
- a method for forming a multi-gate semiconductor device includes following operations.
- a substrate including at least one tin structure is provided.
- a sacrificial insulating structure is disposed over the fin structure and the substrate.
- a first gate trench is formed in the sacrificial insulating structure, and a portion of the fin structure is exposed from the first gate trench.
- a gate dielectric layer is disposed over the fin structure and followed by disposing a first dummy gate structure in the first gate trench.
- the sacrificial insulating structure is removed to expose sidewalls of the first dummy gate structure and portions of the fin structure.
- An insulating spacer is disposed over the sidewalls of the first dummy gate structure, and the portions of the fin structure are exposed from the insulating spacer.
- the first dummy gate structure is removed to form a second gate trench, and a gate conductive structure is disposed in the second gate trench.
Abstract
Description
- As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs, such as multi-gate field effect transistor (FET) including a fin FET (FinFET) and a gate-all-around (GAA) FET. In a FinFET, a gate electrode is adjacent to three side surfaces of a channel region with a gate dielectric layer interposed therebetween. Because the gate structure surrounds the fin on three surfaces, the transistor essentially has thee gates controlling the current through the fin or channel region. However, the fourth side that is the bottom part of the channel region is far away from gate electrode and this is not under close gate control. Different from FinFET, in a GAA FET all side surfaces of the channel region are surrounded by the gate electrode, which allows for fuller depletion in the channel region and results in less short-channel effects due to a steeper sub-threshold current swing (SS) and smaller drain induced barrier lower (DIBL).
- Although existing GAA FET devices and methods of fabricating GAA FET devices have been generally adequate for their intended purpose, they have not been entirely satisfactory in all aspects.
- Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
-
FIG. 1 shows a flow chart representing a method for forming a multi-gate semiconductor device according to aspects of the present disclosure. -
FIG. 2 shows a flow chart representing a method for forming a multi-gate semiconductor device according to aspects of the present disclosure. -
FIG. 3A throughFIG. 3N illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. -
FIG. 4A throughFIG. 4D illustrate operations for forming the gate dielectric layer according to aspects of the present disclosure in one or more embodiments. -
FIG. 5A throughFIG. 5J illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. -
FIG. 6A throughFIG. 6E are cross-sectional views of the multi-gate semiconductor device according to aspects of the present disclosure in one or more embodiments. -
FIG. 7A throughFIG. 7K illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. -
FIG. 8A throughFIG. 8K illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. -
FIG. 9A throughFIG. 9D are cross-sectional views of the multi-gate semiconductor device according to aspects of the present disclosure in one or more embodiments. -
FIG. 10A throughFIG. 10O illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. -
FIG. 11A throughFIG. 11D are cross-sectional views of the multi-gate semiconductor device according to aspects of the present disclosure in one or more embodiments. -
FIG. 12 throughFIG. 14 illustrate a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. - The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper”, “on” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- As used herein, the terms such as “first”, “second” and “third” describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms may be only used to distinguish one element, component, region, layer or section from another. The terms such as “first”, “second” and “third” when used herein do not imply a sequence or order unless clearly indicated by the context.
- As used herein, the terms “approximately,” “substantially,” “substantial” and “about” are used to describe and account for small variations. When used in conjunction with an event or circumstance, the terms can refer to instances in which the event or circumstance occurs precisely as well as instances in which the event or circumstance occurs to a close approximation. For example, when used in conjunction with a numerical value, the terms can refer to a range of variation of less than or equal to ±10% of that numerical value, such as less than or equal to ±15%, less than or equal to ±14%, less than or equal to ±3%, less than or equal to ±2%, less than or equal to ±1%, less than or equal to ±0.5%, less than or equal to ±0.1%, or less than or equal to ±0.05%. For example, two numerical values can be deemed to be “substantially” the same or equal if a difference between the values is less than or equal to ±10% of an average of the values, such as less than or equal to ±5%, less than or equal to ±4%, less than or equal to ±3%, less than or equal to ±2%, less than or equal to ±1%, less than or equal to ±0.5%, less than or equal to ±0.1%, or less than or equal to ±0.05%. For example, “substantially” parallel can refer to a range of angular variation relative to 0° that is less than or equal to ±10°, such as less than or equal to ±5°, less than or equal to ±4°, less than or equal to ±3°, less than or equal to ±2°, less than or equal to ±1°, less than or equal to ±0.5°, less than or equal to ±0.1°, or less than or equal to ±0.05°. For example, “substantially” perpendicular can refer to a range of angular variation relative to 90° that is less than or equal to ±10°, such as less than or equal to ±5°, less than or equal to ±4°, less than or equal to ±3°, less than or equal to ±2°, less than or equal to ±1°, less than or equal to ±0.5°, less than or equal to ±0.1°, or less than or equal to ±0.05°.
- The gate all around (GAA) transistor structures may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a dummy layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned dummy layer using a self-aligned process. The dummy layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
- It is also noted that the present disclosure presents embodiments in the form of multi-gate transistors or fin-type multi-gate transistors referred to herein as FinFET devices. The FinFET devices may be GAA devices, Omega-gate (a-gate) devices, Pi-gate (H-gate) devices, dual-gate devices, tri-gate devices, bulk devices, silicon-on-insulator (SOI) devices, and/or other configurations. One of ordinary skill may recognize other examples of semiconductor devices that may benefit from aspects of the present disclosure.
-
FIG. 1 is a flow chart representing a method for forming amulti-gate semiconductor device 10 according to aspects of the present disclosure. The method for forming themulti-gate semiconductor device 10 includes anoperation 102, providing a substrate including at least one fin structure. The method for forming themulti-gate semiconductor device 10 further includes anoperation 104, disposing a dummy gate structure over the fin structure and the substrate. The method for forming themulti-gate semiconductor device 10 further includes anoperation 106, disposing a spacer over side wails of the dummy gate structure, wherein portions of the fin structure are exposed from the dummy gate structure and the spacer. The method for forming themulti-gate semiconductor device 10 further includes anoperation 108, forming a source/drain region in the portions of the fin structure exposed from the dummy gate structure and the spacer. The method for forming themulti-gate semiconductor device 10 further includes anoperation 110, disposing a dielectric structure over the substrate. The method for forming themulti-gate semiconductor device 10 further includes anoperation 112, removing the dummy gate structure to form a gate trench in the dielectric structure. The method for forming themulti-gate semiconductor device 10 further includes anoperation 114, disposing at least a gate dielectric layer over a bottom of the gate trench after removing the dummy gate structure, wherein the spacer is exposed from sidewalls of the gate trench. The method for forming themulti-gate semiconductor device 10 further includes anoperation 116, disposing a gate conductive structure in the gate trench, wherein sidewalls of the gate conductive structure are in contact with the spacer. The method for forming themulti-gate semiconductor device 10 will be further described according to one or more embodiments. It should be noted that the operations of the method for forming themulti-gate semiconductor device 10 may be rearranged or otherwise modified within the scope of the various aspects. It is further noted that additional processes may be provided before, during, and after themethod 10, and that some other processes may only be briefly described herein. Thus other implementations are possible within the scope of the various aspects described herein. -
FIG. 2 is a flow chart representing a method for forming amulti-gate semiconductor device 20 according to aspects of the present disclosure. The method for forming themulti-gate semiconductor device 20 includes anoperation 202, providing a substrate including at least one fin structure. The method for forming themulti-gate semiconductor device 20 further includes anoperation 204, disposing a sacrificial insulating structure over the fin structure and the substrate. The method for forming themulti-gate semiconductor device 20 further includes anoperation 206, forming a first gate trench in the sacrificial insulating structure, wherein a portion of the fin structure is exposed from the first gate trench, The method for forming themulti-gate semiconductor device 20 further includes anoperation 208, disposing a gate dielectric layer over the fin structure and sidewalls of the first gate trench. The method for forming themulti-gate semiconductor device 20 further includes anoperation 210, disposing a first dummy gate structure in the first gate trench. The method for forming themulti-gate semiconductor device 20 further includes anoperation 212, removing the sacrificial insulating structure and a portion of the gate dielectric layer to exposed sidewalls of the first dummy gate structure and the fin structure. The method for forming themulti-gate semiconductor device 20 further includes anoperation 214, disposing an insulating spacer over the sidewalls of the first dummy gate structure, wherein portions of the fin structure are exposed from the insulating spacer. The method for forming themulti-gate semiconductor device 20 further includes anoperation 216, removing the first dummy gate structure to form a second gate trench. The method for forming themulti-gate semiconductor device 20 further includes anoperation 218, disposing a gate conductive structure in the second gate trench. The method for forming themulti-gate semiconductor device 20 will be further described according to one or more embodiments. It should be noted that the operations of the method for forming themulti-gate semiconductor device 20 may be rearranged or otherwise modified within the scope of the various aspects. It is further noted that additional processes may be provided before, during, and after themethod 20, and that some other processes may only be briefly described herein. Thus other implementations are possible within the scope of the various aspects described herein. -
FIG. 3A throughFIG. 3N are drawings illustrating amulti-gate semiconductor device 300 at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. Referring toFIG. 3A , asubstrate 302 is provided. In some embodiments, thesubstrate 302 may be a semiconductor substrate such as a silicon substrate. Thesubstrate 302 may also include other semiconductors such as germanium (Ge), silicon carbide (SiC), silicon germanium (SiGe), or diamond. Alternatively, thesubstrate 302 may include a compound semiconductor and/or an alloy semiconductor. Thesubstrate 302 may include various layers, including conductive or insulating layers formed on a semiconductor substrate. Thesubstrate 302 may include various doping configurations depending on design requirements as is known in the art. For example, different doping profiles (e.g., n wells, p wells) may be formed on thesubstrate 302 in regions designed for different device types (e.g., n-type field effect transistors (NFET), p-type field effect transistors (PFET)). The suitable doping may include ion implantation of dopants and/or diffusion processes. Thesubstrate 302 typically has isolation features (e.g., shallow trench isolation (STI) features) (not shown) interposing the regions providing different device types. Further, thesubstrate 302 may optionally include an epitaxial layer (epi-layer), may be strained for performance enhancement, may include a SOI structure, and/or have other suitable enhancement features. A stack including semiconductor layers are formed over thesubstrate 302. In some embodiments, a strain relaxed buffer (SRB) layer (not shown) can be formed over thesubstrate 302. The SRB layer may be different in composition from thesubstrate 302 in order to create lattice strain at the interface with thesubstrate 302. For example, in some embodiments, thesubstrate 302 includes silicon and is substantially free of germanium while the SRB layer includes SiGe. - Still referring to
FIG. 3A , a stack including semiconductor layers are formed over thesubstrate 302. In embodiments that include an SRB layer disposed on thesubstrate 302, the stack of semiconductor layers may be disposed on the SRB layer. The stack of semiconductor layers may include alternating layers of different compositions. For example, in some embodiments, the stack includes semiconductor layers 304 of a first composition alternating withsemiconductor layers 306 of a second composition. By way of example, growth of the layers of the stack may be performed by a molecular beam epitaxy (MBE) process, a metalorganic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes. Although fivesemiconductor layers 304 and fivesemiconductor layers 306 are shown, it is understood that the stack may include any number of layers of any suitable composition with various examples including between 2 and 10semiconductor layer 304 and between 2 and 10 semiconductor layers 306. As explained below, the different compositions of the layers in the stack (e.g., semiconductor layers 304 and semiconductor layers 306) may be used to selectively process some of the layers. Accordingly, the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties. The semiconductor layers 304 and 306 may have thicknesses chosen based on device performance considerations. In some embodiments, the semiconductor layers 304 are substantially uniform in thickness, and the semiconductor layers 306 are substantially uniform in thickness. - In some embodiments, either of the semiconductor layers 304 and 306 may include Si. In some embodiments, either of the semiconductor layers 304 and 306 may include other materials such as Ge, a compound semiconductor such as SiC, gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (InSb), an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP, and/or GaInAsP, or combinations thereof. In some embodiments, the semiconductor layers 304 and 306 may be undoped or substantially dopant-free, where for example, no doping is performed during the epitaxial growth process. Alternatively, the semiconductor layers 306 may be doped. For example, the semiconductor layers 304 or 306 may be doped with a p-type dopant such as boron (B), aluminum (Al), In, and Ga for forming a p-type channel, or an n-type dopant such as P, As, Sb, for forming an n-type channel.
- Referring back to
FIG. 3A , at least onefin structure 308 is formed over thesubstrate 302 from the stack ofsemiconductor layers 304/306. Thefin structure 308 may be fabricated using suitable operations including photolithography and etch operations. In some embodiments, forming thefin structure 308 may further include a trim process to decrease the width and/or the height of thefin structure 308. The trim process may include wet or dry etching processes. The height and width of thefin structure 308 may be chosen based on device performance considerations. Further, thefin structure 308 can be extended along a first direction D1 as shown inFIG. 3A . Accordingly, thesubstrate 302 including the at least onefin structure 308 is provided according tooperation 102. Further, in some embodiments, aliner 309 can be formed over thefin structure 308 and thesubstrate 302. - Still referring to
FIG. 3A , adummy gate structure 310 is disposed over thefin structure 308 and thesubstrate 302 according tooperation 104. Thedummy gate structure 310 may be replaced at a later processing stage by a high-K dielectric layer (HK) and metal gate electrode (MG) as discussed below. In some embodiments, thedummy gate structure 310 is formed over thesubstrate 302 and extended along a second direction D2, which is not parallel with the first direction D1. Additionally, the first direction D1 and the second direction D2 are in the same plane. Thedummy gate structure 310 is at least partially disposed over thefin structure 308, and a portion of thefin structure 308 underlying thedummy gate structure 310 may be referred to as the channel region. Thedummy gate structure 310 may also define a source/drain region of thefin structure 308, for example, as portions of thefin structure 308 adjacent to and on opposing sides of the channel region. In some embodiments, thedummy gate structure 310 can include at least a polysilicon layer and a patterned hard mask for defining the dummy gate structure. - Referring to
FIGS. 3A and 3B , aspacer 320/322 is disposed over sidewalls of thedummy gate structure 310, and portions of thefin structure 308 are exposed from thedummy gate structure 310 and thespacer 320/322 according tooperation 106. In some embodiments, thespacer 320 includes a conductive material and thespacer 322 includes an insulating material. For example but not limited to, thespacer 320 can include metal or metal nitride. In some embodiments, a conductive layer is conformally disposed over thedummy gate structure 310, thefin structure 308 and thesubstrate 302. And a suitable etching operation such as dry etching operation is performed to remove portions of the conductive layer to form thespacer 320. As shown inFIG. 3A , the sidewalls of thedummy gate structure 310 is covered by thespacer 320 while the portions of thefin structure 308 and thesubstrate 302 are exposed from thespacer 320. In some embodiments, portions of theliner 309 can be removed after forming thespacer 320. Next, an insulating layer is conformally formed over thespacer 320, thedummy gate structure 310 and the exposedfin structure 308. In some embodiments, the insulating layer includes one or more insulating material such as silicon nitride (SiN), silicon oxide (SiO), silicon carbide (SiC), silicon oxycarbide (SiOC), silicon oxycarbon nitride (SiOCN), other materials, or a combination thereof. And suitable etching operation such as dry etching operation is performed to remove portions of the insulating layer to form thespacer 322 over thespacer 320 as shown inFIG. 3B . Briefly speaking, the portions of thefin structure 308 are exposed from thedummy gate structure 310 and thespacer 320/322 according tooperation 106 as shown inFIG. 3B . However, in some embodiments, formation of thespacer 320 can be ignored. That is, the insulatingspacer 322 is formed to cover the sidewalls of thedummy gate structure 310. - Referring to
FIG. 3C , then, portions of thefin structure 308 exposed from thespacer 320/322, such as portions of the semiconductor layers 306 in thefin structure 308 exposed from thespacer 320/322 are removed in some embodiments. Thereby a plurality ofnotches 308 n is formed in thefin structure 308 as shown inFIG. 3C . In some embodiments, the semiconductor layers 304 are exposed from a top and a bottom of thenotch 308 n while thesemiconductor layer 306 is exposed from a sidewall of thenotch 308 n. Referring toFIG. 3D , another insulatinglayer 324 is formed over thesubstrate 302. In some embodiments, the insulatinglayer 324 includes one or more insulating material such as SiN, SiO, SiC, SiOC, SiOCN, other materials, or a combination thereof. Further, the insulating material is different from the insulating material for forming thespacer 322 in some embodiments. - Subsequently, a suitable etching operation is performed to remove portions of the insulating
layer 324, and thus a plurality ofinner spacers 326 is formed in thenotches 308 n as shown inFIG. 3E . And the portions of thefin structure 308 are exposed again from the top and the bottom of thenotches 308 n while theinner spacers 326 are exposed from the sidewalls of thenotches 308 n. In other words, the semiconductor layers 306 are enclosed by the semiconductor layers 304 and theinner spacers 326 while the semiconductor layers 304 are exposed. - Referring to
FIG. 3F , a source/drain region 330 is formed in the portions of thefin structure 308 exposed from thedummy gate structure 310 and thespacers 320/322/326 according tooperation 108. As shown inFIG. 3F , the source/drain region 330 wraps the exposed portions of thefin structure 308, that are the exposed portions of the semiconductor layers 304. In some embodiments, the source/drawnregions 330 may be formed by performing an epitaxial growth operation that provides an epitaxy material wrapping the exposed portions of thesemiconductor layer 304 of thefin structure 308. In various embodiments, the source/drain regions 330 may include Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP, or other suitable material. In some embodiments, the source/drain regions 330 may be in-situ doped during the epi process. For example, in some embodiments, the source/drain regions 330 may be doped with boron. In some embodiments, the source/drain regions 330 may be doped with carbon to form Si:C source/drain regions, phosphorous to form Si:P source/drain regions, or both carbon and phosphorous to form SiCP source/drain regions. In some embodiments, the source/drain regions 330 are not in-situ doped, and instead an implantation process is performed to dope the source/drain regions 330. - Referring to
FIG. 3G , adielectric structure 340 is disposed over thesubstrate 302 according tooperation 110. In some embodiments, thedielectric structure 340 can include an etch-stop layer (e.g., a contact etch stop layer (CESL)) 342 and various dielectric layers (e.g., an inter-layer dielectric (ILD) layer) 344 formed on thesubstrate 302 after forming the source/drain regions 310. In some embodiments, theCESL 342 includes a SiN layer, a SiCN layer, a SiON layer, and/or other materials known in the art. In some embodiments, theILD layer 344 includes materials such as tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. In some embodiments, in an embodiment, after theCESL 342 and the ILL)layer 344 are deposited, a planarization process, such as a chemical mechanical planarization (CMP) operation, may be performed to form thedielectric structure 340 and to expose a top surface of thedummy gate structure 310 as shown inFIG. 3G . In some embodiments, the planarization is performed to expose at least a top surface of the polysilicon layer of thedummy gate structure 310. - Referring to
FIG. 3H , thedummy gate structure 310 is then removed to form agate trench 312 in thedielectric structure 340 according tooperation 112. As shown inFIG. 3H , thespacer 320 is exposed from sidewalls of thegate trench 312, and thefin structure 308 is exposed from thegate trench 312. Further, theliner layer 309 disposed over thefin structure 308 is removed subsequently. Next, the semiconductor layers 306 exposed from thegate trench 312 are removed. Accordingly, a plurality of wires including the semiconductor layers 304 is obtained as shown inFIG. 3H . In some embodiments, the wires including the semiconductor layers 304 serves as channel regions. In some embodiments, the wires can be slightly etched to obtain various desirable dimensions and shapes, and the various desired dimensions and shapes may be chosen based on device performance considerations. - Referring to
FIG. 3I , abarrier layer 314, such as a self-assembled monolayer (SAM) is formed over the sidewalls of thegate trench 312. The SAM includes a head group, which is one end of the molecule. Selection of the head group will depend on the application of the SAM. In some embodiment, the SAM over thespacer 320 including conductive material may include the head group having organosulfur compound. In some embodiment, the SAM over thespacer 320 may include thiol head group such as mono(di)thiols. In some embodiment, the SAM over theinner spacer 326 including insulating material may include silane head group such as octyltrichlorosilane (OTS) or n-octadecyltrichlorosilane (ODTS). In some embodiment, the SAM over theinner spacer 326 may include Hexamethyldisilazane (HMDS). As shown inFIG. 3I , the material of thebarrier layer 314 can be chosen such that theharrier layer 314 is selectively formed to cover thespacer 320 exposed from thegate trench 312 while theinner spacer 326 and at least a portion offin structure 308, such as a portion of eachsemiconductor layer 304, are exposed from thegate trench 312. However, in those embodiments that thespacer 320 is ignored, the insulatingspacer 322 and theinner spacer 326 may be exposed from thegate trench 312. In those embodiments, the material of thebarrier layer 314 can be chosen such that thebarrier layer 314 is selectively formed to cover thespacer 322 and theinner spacer 326 exposed from thegate trench 312 while the at least a portion offin structure 308 is exposed from thegate trench 312, as shown inFIG. 12 . - Referring to
FIG. 3J , an interfacial layer (IL) 316 is formed over the semiconductor layers 304 exposed from thebarrier layer 314. In some embodiments, theIL 316 may include an oxide-containing material such as SiO or SiON. In some embodiments, theIL 316 wraps around the exposed semiconductor layers 304. More importantly, since thespacer 320 is covered by thebarrier layer 314, a surface not suitable for forming dielectric material is rendered by thebarrier layer 314. Accordingly, theIL 316 wraps around the exposedsemiconductor layers 304 while thebarrier layer 314 sill still exposed from thegate trench 312 after forming theIL 316. - Still referring to
FIG. 3J , after forming theIL 316, agate dielectric layer 318 is formed. As mentioned above, since thespacer 320 is covered by thebarrier layer 314, a surface not suitable for forming the dielectric material is rendered by thebarrier layer 314. Accordingly, thegate dielectric layer 318 wraps around the exposedsemiconductor layers 304 and over theIL 316 while thebarrier layer 314 sill still exposed from thegate trench 312 after forming thegate dielectric layer 318. In some embodiments, thegate dielectric layer 318 includes a high-k dielectric material having a high dielectric constant, for example, greater than that of thermal silicon oxide (˜3.9). The high-k dielectric material may include hafnium oxide (HfO2), zirconium oxide (ZrO2), lanthanum oxide (La2O3), aluminum oxide (Al2O3), titanium oxide (TiO2), yttrium oxide (Y2O3), strontium titanate (SrTiO3), hafnium oxynitride (HfOxNy), other suitable metal-oxides, or combinations thereof. - However, in those embodiments that the
spacer 320 is ignored, theIL 316 and thegate dielectric layer 318 are formed over thefin structures 304 exposed from thegate trench 312. As mentioned above, since thespacer 322 and theinner spacer 326 are covered by thebarrier layer 314, a surface not suitable for forming the dielectric material is rendered by thebarrier layer 314 as shown inFIG. 13 . - Referring to
FIG. 3K , after forming thegate dielectric layer 318, thebarrier layer 314 is removed from thegate trench 312. In some embodiments, thebarrier layer 314 can be removed by, for example but not limited to, tetramethylammonium hydroxide (TMAH), ammonia solution, or cyclic oxidation-DHF clean. Consequently, thegate dielectric layer 318 is disposed over a bottom of thegate trench 312 and the semiconductor layers 304, while thespacer 320 is exposed again from the sidewalls of thegate trench 312 according tooperation 114. In some embodiments, thespacer 320 can be removed after removing thebarrier layer 314, but the disclosure is not limited to this. - Referring to
FIG. 3L , a gateconductive structure 350 is then disposed in thegate trench 312 according tooperation 116. In some embodiments, the gateconductive structure 350 is formed on thegate dielectric layer 318. More importantly, sidewalls of the gateconductive structure 350 are in contact with thespacer 320 as shown inFIG. 3L . In some embodiments, the gateconductive structure 350 can include at least aharrier metal layer 352, a workfunctional metal layer 354 and a gap-fillingmetal layer 356. Thebarrier metal layer 352 can include, for example hut not limited to, TiN. The workfunction metal layer 354 can include a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC, or a multilayer of two or more of these materials, but not limited to this. For the n-channel FET, one or more of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as the workfunction metal layer 354, and for the p-channel FET, one or more of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC and Co is used as the workfunction metal layer 354. In some embodiments, the gap-fillingmetal layer 326 layers of conductive material, such as Al, Cu, AlCu, or W, but is not limited by the above-mentioned materials. Accordingly, themulti-gate semiconductor device 300 is obtained as shown inFIG. 3L . - In some embodiments, the
spacer 322 can be removed after disposing the gateconductive structure 350, and thus aspacer trench 328 is formed between thespacer 320 and thedielectric structure 340 as shown inFIG. 3M . Subsequently, thespacer trench 328 can be sealed to form anair spacer 360 as shown inFIG. 3N . It should be noted that theair spacer 360 can be selectively formed to further reduce the Miller capacitance between the gate electrodes and drain areas of the FET. - In some embodiments that the
spacer 320 is ignored, thespacer 322 is exposed in thegate trench 312 after removingbarrier layer 314. The gateconductive structure 350 is then formed in thegate trench 312. Accordingly, the gateconductive structure 350, such as thebarrier metal layer 352 is contact with thespacer 322 as shown inFIG. 14 . -
FIG. 4A throughFIG. 4D depict operations for forming the gate dielectric layer according aspects of the present disclosure in one or more embodiments. It should be noted that elements the same inFIGS. 3A-3N andFIGS. 4A-4D are designated by the same numerals and formed by similar operations. Further, elements the same inFIGS. 3A-3N andFIGS. 4A-4D can include same materials. Therefore, details for forming those elements are omitted in the interest of brevity, and the differences are provided as following description. Additionally,FIGS. 4A-4G are cross-sectional views of asemiconductor device 300′ taken along the first direction D1. - As shown in
FIG. 4A , after removing thedummy gate structure 310 to form thegate trench 312 in thedielectric structure 340 according tooperation 112, and after removing the semiconductor layers 306 to form the wires, semiconductor re-growth operation can be performed. Consequently, semiconductor layers 305 are formed over the exposed semiconductor layers 304. The semiconductor layers 305 wrapping the wires/the semiconductor layers 304 respectively, as shown inFIG. 4A . In some embodiments, the semiconductor layers 304 and the semiconductor layers 305 can include the same semiconductor material. In some embodiments, the semiconductor layers 304 and the semiconductor layers 305 can include different semiconductor materials. Additionally, thespacer 320 and theinner spacer 326 are exposed from the sidewalls of thegate trench 312, as shown inFIG. 4A . - Referring to
FIG. 4B , abarrier layer 314 such as a SAM is formed over thespacer 320. Consequently, thespacer 320 that is exposed from the sidewalls of thegate trench 312 is now covered by theharrier layer 314 while theinner spacer 326 is still exposed. Referring toFIG. 4C , the semiconductor layers 305 are removed after forming thebarrier layer 314. Consequently, the semiconductor layers 304 of thefin structure 308 are exposed again as shown inFIG. 4C . - Referring to
FIG. 4D , an interfacial layer (IL) 316 and agate dielectric layer 318 are then sequentially formed over the exposed semiconductor layers 304. TheIL 316 and thegate dielectric layer 318 are formed to wrap the semiconductor layers 304 but not the sidewalls of thegate trench 312 because thebarrier layer 314 provides a surface less suitable for forming dielectric material. Thereafter, thebarrier layer 314 is removed from thegate trench 312. Accordingly, thegate dielectric layer 318 is disposed over a bottom of thegate trench 312 and the semiconductor layers 304, while thespacer 320/326 are exposed from the sidewalls of thegate trench 312 according tooperation 114. And operations such as 116 can be performed to form the gate conductive 350 structure as mentioned above. -
FIG. 5A throughFIG. 5J illustrates amulti-gate semiconductor device 400 at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same inFIGS. 3A-3N andFIGS. 5A-5J are designated by the same numerals. Further, elements the same inFIGS. 3A-3N andFIGS. 5A-5J can include same materials, thus those details for the materials are omitted in the interest of brevity. - Referring to
FIG. 5A , asubstrate 402 is provided. In some embodiments, a SRB layer (not shown) can be formed over thesubstrate 402. Afin structure 408 including semiconductor layers is formed over the SRB layer or thesubstrate 402. Thefin structure 408 may include alternating layers of different compositions. For example, in some embodiments, thefin structure 408 includes semiconductor layers 404 of a first composition alternating withsemiconductor layers 406 of a second composition. As mentioned above, the different compositions of the layers in the fin structure 408 (e.g., semiconductor layers 404 and semiconductor layers 406) may be used to selectively process some of the layers. Accordingly, the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties. The semiconductor layers 404 and 406 may have thicknesses chosen based on device performance considerations. As mentioned above, height and width of thefin structure 408 may be chosen based on device performance considerations. Further, thefin structure 408 can be extended along a first direction D1 as shown inFIG. 5A . Accordingly, thesubstrate 402 including the at least onefin structure 408 is provided according tooperation 102. Further, in some embodiments, aliner 409 can be formed over thefin structure 408 and thesubstrate 402 as shown inFIG. 5A . - Referring to
FIGS. 5A and 5B , adummy gate structure 410 is disposed over thefin structure 408 and thesubstrate 402 according tooperation 104. In some embodiments, thedummy gate structure 410 is formed over thesubstrate 402 and is extended along a second direction D2, which is not parallel with the first direction D1. Additionally, the first direction D1 and the second direction D2 are in the same plane. Thedummy gate structure 410 is at least partially disposed over thefin structure 408. As mentioned above, thedummy gate structure 410 can include at least a polysilicon layer and a patterned hard mask for defining the dummy gate structure. Thereafter, aspacer 420/422 is disposed over sidewalls of thedummy gate structure 410, and portions of thefin structure 408 are exposed from thedummy gate structure 410 and thespacer 420/422 according tooperation 106. In some embodiments, both of thespacer 420 and thespacer 422 include an insulating materials. However, thespacer 420 and thespacer 422 include insulating materials different from each other. For example but not limited to, thespacer 420 includes SiO while thespacer 422 include SiN, but not limited to this. In some embodiments, an insulating layer is conformally disposed over thedummy gate structure 410, thefin structure 408 and thesubstrate 402. And a suitable etching operation such as dry etching operation is performed to remove portions of the insulating layer to form thespacer 420. As shown inFIG. 5A , the sidewalls of thedummy gate structure 410 is covered by thespacer 420 while portions of thefin structure 408 and thesubstrate 402 are exposed from thedummy gate structure 410 and thespacer 420. Further,liner 409 is exposed from thedummy gate structure 410 and thespacer 420. - Referring to
FIG. 5B , after forming thespacer 420, another insulating layer is conformally formed over thespacer 420, thedummy gate structure 410 and the exposedfin structure 408 and followed by suitable etching operation such as dry etching operation. Consequently, portions of the insulating layer are removed to form thespacer 422. As shown inFIG. 5B , thespacer 420 over the sidewalls of thedummy gate structure 410 is now covered by thespacer 422 while the portions of thefin structure 408 and thesubstrate 402 are exposed from thespacer 422. In some embodiments, theliner 409 can be removed during or after forming thespacer 422. Briefly speaking, the portions of thefin structure 408 are exposed from thedummy gate structure 410 and thespacer 420/422 according tooperation 106 as shown inFIG. 5B . - Referring to
FIG. 5C , the portions of thefin structure 408 exposed from thespacer 420/422, that are portions of the semiconductor layers 406 in thefin structure 408 exposed from thespacer 420/422 are removed in some embodiments. Thereby a plurality of notches (not shown) is formed in thefin structure 408 as shown inFIG. 3C . In some embodiments, a plurality ofinner spacers 424 is respectively disposed in the notches. Since the operations for forming theinner spacers 424 are similar to those shown inFIGS. 3C-3D , details are omitted for brevity. Next, a source/drain region 430 is formed in the portions of thefin structure 408 exposed from thedummy gate structure 410 and thespacers 420/422/424 according tooperation 108. As shown inFIG. 5C , the source/drain region 430 wraps the exposed portions of thefin structure 408, that are the exposed portions of the semiconductor layers 404. - Referring to
FIG. 5D , adielectric structure 440 is then disposed over thesubstrate 402 according tooperation 110. As mentioned above, thedielectric structure 440 can include an etch-stop layer (e.g., CESL 442) and various dielectric layers (e.g., an ILD layer) 444. In some embodiments, after theCESL 442 and theILD layer 444 are deposited, a planarization operation, such as a CMP operation, may be performed to form thedielectric structure 440 and to expose a top surface of thedummy gate structure 410 as shown inFIG. 5D . In some embodiments, the planarization operation is performed to expose at least a top surface of the polysilicon layer of the dummy gate structure. - Referring to
FIGS. 5E-5F , thespacer 420 is removed to form aspacer trench 426 and followed by forming aspacer 428 in thespacer trench 426 in some embodiments. Thespacer 428 includes a conductive material. For example but not limited to, thespacer 428 can include metal or metal nitride. In other words, the insulatingspacer 420 is replaced with theconductive spacer 428 in some embodiments. - Referring to
FIG. 5G , thedummy gate structure 410 is removed to form agate trench 412 in thedielectric structure 440 after forming thespacer 428 according tooperation 112. As shown inFIG. 5G , thespacer 428 is exposed from sidewalls of thegate trench 412, and thefin structure 408 is exposed from thegate trench 412. Further, theliner 409 disposed over thefin structure 408 can be removed subsequently. Next, the semiconductor layers 406 exposed from thegate trench 412 are removed. Accordingly, a plurality of wires including the semiconductor layers 404 is obtained as shown inFIG. 5G . In some embodiments, the wires including the semiconductor layers 404 serves as channel regions. In some embodiments, the wires can be slightly etched to obtain various desirable dimensions and shapes, and the various desired dimensions and shapes may be chosen based on device performance considerations. - Referring to
FIG. 5H , a barrier layer such as the aforementioned SAM can be formed over the sidewalls of thegate trench 412, and followed by sequentially forming anIL 416 and agate dielectric layer 418. Since operations for forming the barrier layer, theIL 416 and the gate dielectric later 418 are similar to those shown inFIGS. 3I-3K orFIGS. 4A-4D , those details are omitted for brevity. And the barrier layer is removed after forming thegate dielectric layer 418. - Referring to
FIG. 5I , a gateconductive structure 450 is then disposed in thegate trench 412 according tooperation 116. In some embodiments, the gateconductive structure 450 is formed on thegate dielectric layer 418 to surround each semiconductor layers 404. In some embodiments, the gateconductive structure 450 can include at least abarrier metal layer 452, a workfunctional metal layer 454 and a gap-fillinglayer 456. Accordingly, themulti-gate semiconductor device 400 is obtained as shown inFIG. 5I . More importantly, sidewalls of the gateconductive structure 450 are in contact with thespacer 428. - In some embodiments, the
spacer 422 can be removed after disposing the gateconductive structure 450, and thus a spacer trench (not shown) is formed between thespacer 428 and thedielectric structure 440. Subsequently, the spacer trench can be sealed to form anair spacer 460 as shown inFIG. 5J . As mentioned above, theair spacer 460 can be selectively formed to further reduce the Miller capacitance between the gate electrodes and drain areas of the FET. -
FIG. 6A throughFIG. 6E are cross-sectional views of themulti-gate semiconductor device FIG. 6A is a cross-sectional views of thesemiconductor device FIG. 6B is a cross-sectional view taken along line A1-A1′ ofFIG. 6A ,FIG. 6C is a cross-sectional view taken along line B1-B1′ ofFIG. 6A ,FIG. 6D is a cross-sectional view taken along line C1-C1′ ofFIG. 6A , andFIG. 6E is a cross-sectional view taken along line D1-D1′ ofFIG. 6A . Referring toFIGS. 6A-6E , amulti-gate semiconductor device multi-gate semiconductor device substrate 302/402, thefin structure 308/408 such as the stacked wire structure disposed over thesubstrate 302/402, a gate wrapping the stacked wire structure, and spacer disposed over two sidewalls of the gate. As shown inFIGS. 6A-6E , the gate includes the gateconductive structure 350/450 and thegate dielectric layer 318/418 sandwiched between the gateconductive structure 350/450 and the stacked wire structure. As shown inFIG. 6B , portions of the semiconductor layers 304/404 are sequentially wrapped by theIL 316/416, thegate dielectric layer 318/418, and thebarrier metal layer 352/452 of the gateconductive structure 350/450. As shown inFIGS. 6C-6D , another portions of the semiconductor layers 304/404 are spaced apart from each other by theinner spacer 326/424. As shown inFIG. 6E , still another portions of the 304/404 are wrapped by the source/drain region 330/430. - More importantly, the sidewalls of the gate
conductive structure 350/450, such as thebarrier metal layer 352/452, are in contact with thespacer 320/428, and thespacer 320/428 includes the conductive material as shown inFIGS. 6A and 6B . In some embodiments, themulti-gate semiconductor device 300/300′/400 further includes the insulatingspacer 322/422, and theconductive spacer 320/428 is sandwiched between the insulatingspacer 322/422 and the gateconductive structure 350/450. In some embodiments, themulti-pate semiconductor device 300/300′/400 further includes theair spacer 360/460 for further reducing Miller capacitance. -
FIG. 7A throughFIG. 7K illustrates a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same inFIGS. 3A-3N andFIGS. 7A-7K can include same materials, thus those details for the materials are omitted in the interest of brevity. - Referring to
FIG. 7A , asubstrate 502 is provided. In some embodiments, a SRB layer (not shown) can be formed over thesubstrate 502. Afin structure 508 including semiconductor layers is formed over thesubstrate 502 or the SRB layer. Thefin structure 508 may include alternating layers of different compositions. For example, in some embodiments, thefin structure 508 includes semiconductor layers 504 of a first composition alternating withsemiconductor layers 506 of a second composition. As mentioned above, the different compositions of the layers in the fin structure 508 (e.g., semiconductor layers 504 and semiconductor layers 506) may be used to selectively process some of the layers. Accordingly, the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties. Further, thefin structure 508 can be extended along a first direction D1 as shown inFIG. 7A . Accordingly, thesubstrate 502 including the at least onefin structure 508 is provided according tooperation 202. Further, in some embodiments, a liner (not shown) can be formed over thefin structure 508 and thesubstrate 502. - Still referring to
FIG. 7A , adummy gate structure 510 a is disposed over thefin structure 508 and thesubstrate 502. In some embodiments, thedummy gate structure 510 a is formed over thesubstrate 502 and is extended along a second direction D2, which is not parallel with the first direction D1. Additionally, the first direction D1 and the second direction D2 are in the same plane. Thedummy gate structure 510 a at least partially disposed over thefin structure 508, and thus portions of thefin structure 508 are exposed from thedummy gate structure 510 a. Thereafter, an etching rate modification is performed. In some embodiments, portions of thefin structure 508 exposed from thedummy gate structure 510 a are doped, and thus dopedregions 508 d as shown inFIG. 7A . The dopedregions 508 d include an etching rate lower than the rest portion of thefin structures 508. In some embodiments, a concentration of the dopedregions 508 d can be ranged from about 1.8E19 to about 8.16E15, but not limited to this. In some embodiments, the concentration of the dopedregions 508 d is inwardly reduced from a surface of thefin structure 508. - Referring to
FIGS. 7B-7C , a sacrificialinsulating structure 540 is disposed over thefin structure 508 and thesubstrate 502 according tooperation 204. In some embodiments, the sacrificialinsulating structure 540 is formed over thesubstrate 502 and followed by a planarization process, such as a CMP, thus a top surface of thedummy gate structure 510 a is exposed as shown inFIG. 7B . - Next, the
dummy gate structure 510 a is removed to form agate trench 512 a. Further, the semiconductor layers 506 exposed from thegate trench 512 a are then removed. Since the etching rate of the dopedregions 508 d is modified to be lower as mentioned above, removal of the semiconductor layers 506 can be stopped at thedoped regions 508 d, as shown inFIG. 7C . Further, the liner disposed over thefin structure 508 can be removed subsequently. Accordingly, a plurality of wires including the semiconductor layers 504 is obtained and exposed from thegate trench 512 a as shown inFIG. 7C . In other words, thegate trench 512 a is formed in the sacrificialinsulating structure 520 with a portion of thefin structure 508 being exposed from thegate trench 512 a according tooperation 206. - Referring to
FIG. 7D , anIL 516 is formed over the semiconductor layers 504 and followed by forming agate dielectric layer 518 over thefin structure 508 and sidewalls of thegate trench 512 a according tooperation 208. As shown inFIG. 7D , theIL 516 can be formed over surfaces of the semiconductor layers 504 and 506 in some embodiments. More importantly, thegate dielectric layer 518 is formed to cover all surfaces exposed from thegate trench 512 a. Further, thegate dielectric layer 518 can include high-k dielectric material as mentioned above. - Referring to
FIGS. 7E-7F , adummy gate structure 510 b is then formed in thegate trench 512 a according tooperation 210 and followed by removing the sacrificialinsulating structure 540 according tooperation 212. As shown inFIG. 7E , thedummy gate structure 510 b is formed to fill thegate trench 512 a, and a planarization process, such as a CMP can be performed to remove superfluous materials. Further, sidewalls of thedummy gate structure 510 b are in contact with thegate dielectric layer 518. Next, at least a portion of the sacrificialinsulating structure 540 is removed. More importantly, thegate dielectric layer 518 over the sidewalls of thedummy gate structure 510 b is then removed. Consequently, the sidewalls of thedummy gate structure 510 b are exposed as shown inFIG. 7F . Further, portions of thefin structure 508 are exposed from thedummy gate structure 510 b while another portions of thefin structure 508 is overlapped by thedummy gate structure 510 b. As mentioned above, the portions of thefin structure 508 underlying thedummy gate structure 510 b may be referred to as the channel region. - Referring to
FIG. 7G , portions of thefin structure 508 such as portions of the semiconductor layers 506 exposed from thedummy gate structure 510 b are removed, thereby a plurality ofnotches 508 n is formed in thefin structure 508 as shown inFIG. 7G . In some embodiments, the semiconductor layers 504 are exposed from a top and a bottom of thenotches 508 n while theIL 518 is exposed from a sidewall of thenotches 508 n. - Referring to
FIG. 7H ,inner spacers 520 are then formed in thenotches 508 n. Theinner spacers 520 are formed not only in thenotches 508 n, but also over the sidewalls of thedummy gate structure 510 b. Next, aspacer 522 is formed over theinner spacer 520. In some embodiments, theinner spacer 520 and thespacer 522 include the same insulating material. In some embodiments, theinner spacer 520 and thespacer 522 include different insulating materials. Briefly speaking, insulating spacer (including theinner spacer 520 and the spacer 522) is disposed over the sidewalls of thedummy gate structure 510 b while portions of thefin structure 508 are exposed from the insulatingspacer 520/522 according tooperation 214. - Referring to
FIG. 7I , a source/drain region 530 is formed in the exposed portions of thefin structure 508, such as the exposed portions of the semiconductor layers 504. Referring toFIG. 7J , adielectric structure 542 is disposed over thesubstrate 502. As mentioned above, thedielectric structure 542 can include an etch-stop layer (e.g., CESL) (not shown) and various dielectric layers (e.g., an ILD layer) (not shown). In some embodiments, after the CESL and the ILD layer are deposited, a planarization process, such as a CMP operation, may be performed to thedielectric structure 542 and to expose a top surface of thedummy gate structure 510 b. Still referring toFIG. 7J , thedummy gate structure 510 b is removed to form agate trench 512 b in thedielectric structure 542 after forming thedielectric structure 542 according tooperation 216. As shown inFIG. 7J , the insulating spacer, such as theinner spacer 520 is exposed from sidewalls of thegate trench 512 b. Also, thegate dielectric layer 518 is exposed from thegate trench 512 b. - Referring to
FIG. 7K , a gateconductive structure 550 is then disposed in thegate trench 512 b according tooperation 218. In some embodiments, the gateconductive structure 550 is formed over thegate dielectric layer 518. More importantly, sidewalls of the gateconductive structure 550 are in contact with thespacer 520 as shown inFIG. 7K . In some embodiments, thegate structure 550 can include at least abarrier metal layer 552, a workfunctional metal layer 554 and a gap-fillingmetal layer 556. Accordingly, themulti-gate semiconductor device 500 is obtained as shown inFIG. 7K . More importantly, sidewalls of the gateconductive structure 550 are in contact with thespacer 520. -
FIG. 8A throughFIG. 8K illustrates a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same inFIGS. 3A-3N andFIGS. 8A-8K can include same materials, thus those details for the materials are omitted in the interest of brevity. - Referring to
FIG. 8A , asubstrate 602 is provided. In some embodiments, a SRB layer (not shown) can be formed over thesubstrate 602. Afin structure 608 including semiconductor layers is formed over thesubstrate 602 or the SRB layer. Thefin structure 608 may include alternating layers of different compositions. For example, in some embodiments, thefin structure 608 includes semiconductor layers 604 of a first composition alternating withsemiconductor layers 606 of a second composition. As mentioned above, the semiconductor layers 604 and the semiconductor layers 606 may be used to selectively process some of the layers. Accordingly, the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties. Further, thefin structure 608 can be extended along a first direction D1 as shown inFIG. 8A . Accordingly, thesubstrate 602 including the at least one fin structure 808 is provided according tooperation 202. Further, in some embodiments, a liner (not shown) can be formed over thefin structure 608 and thesubstrate 602. - Still referring to
FIG. 8A , adummy gate structure 610 a is disposed over thefin structure 608 and thesubstrate 602. In some embodiments, thedummy gate structure 610 a is formed over thesubstrate 602 and is extended along a second direction D2, which is not parallel with the first direction D1. Additionally, the first direction D1 and the second direction D2 are in the same plane. Thedummy gate structure 610 a at least partially disposed over thefin structure 608, and thus portions of thefin structure 608 are exposed from thedummy gate structure 610 a. Thereafter, an etching rate modification is performed. In some embodiments,doped regions 608 d including an etching rate lower than the rest portions of thefin structure 608 are formed. Aconductive spacer 620 is then formed over thesubstrate 602. In some embodiments, a conductive layer is conformally disposed over thesubstrate 602, and followed by a suitable etching operation such as dry etching operation. Thus portions of the conductive layer are removed to form thespacer 620. As shown inFIG. 8A , the sidewalls of thedummy gate structure 610 a is covered by thespacer 620 while portions of thefin structure 608 and thesubstrate 602 are exposed from thespacer 620. - Referring to
FIGS. 8B-8C , after forming thespacer 620, a sacrificialinsulating structure 640 is disposed over thefin structure 608 and thesubstrate 602 according tooperation 204. In some embodiments, the sacrificialinsulating structure 640 is formed over thesubstrate 602 and followed by a planarization process, such as a CMP, thus a top surface of thedummy gate structure 610 a is exposed as shown inFIG. 8B . Next, thedummy gate structure 610 a is removed to form agate trench 612 a. Further, the liner disposed over thefin structure 608 can be removed subsequently. Next, the semiconductor layers 606 exposed from thegate trench 612 a are then removed. Since the etching rate of the dopedregions 608 d is modified to be lower as mentioned above, removal of the semiconductor layers 606 can be stopped at thedoped regions 608 d, as shown inFIG. 8C . Accordingly, a plurality of wires including the semiconductor layers 604 is obtained and exposed from thegate trench 612 a as shown inFIG. 8C . In other words, thegate trench 612 a is formed in the sacrificialinsulating structure 620 with a portion of thefin structure 608 being exposed from thegate trench 612 a according tooperation 206. - Referring to
FIG. 8D , anIL 616 is formed over the semiconductor layers 604 and followed by forming agate dielectric layer 618 over thefin structure 608 according tooperation 208. In some embodiments, a barrier layer (not shown) such as the aforementioned SAM can be formed over the sidewalls of thegate trench 612 a, and followed by sequentially forming theIL 616 and thegate dielectric layer 618. Since operations for forming the barrier layer, theIL 616 and the gate dielectric later 618 are similar to those shown inFIGS. 3I-3K orFIGS. 4A-4D , those details are omitted for brevity. Accordingly, thegate dielectric layer 618 is formed over the semiconductor layers 604 while thespacer 620 is still exposed from the sidewalls of thegate trench 612 a as shown inFIG. 8D . - Referring to
FIGS. 8E-8F , adummy gate structure 610 b is then formed in thegate trench 612 a according tooperation 210 and followed by removing the sacrificialinsulating structure 640 according tooperation 212. As shown inFIG. 8E , thedummy gate structure 610 b is formed to fill thegate trench 612 a, and a planarization process, such as a CMP can be performed to remove superfluous materials. Sidewalls of thedummy gate structure 610 b are in contact with thespacer 620. Next, at least a portion of the sacrificialinsulating structure 640 is removed. More importantly, thespacer 620 is removed after removing thedummy insulating structure 640. Consequently, sidewalls of thedummy gate structure 610 b are exposed as shown inFIG. 8F . Further, portions of thefin structure 608 are exposed from thedummy gate structure 610 b while another portions of thefin structure 608 is overlapped by thedummy gate structure 610 b. As mentioned above, the portions of thefin structure 608 underlying thedummy gate structure 610 b may be referred to as the channel region. Still referring to Referring toFIG. 8F , the portions of thefin structure 608 such as the portions of the semiconductor layers 606 exposed from thedummy gate structure 610 b are removed, thereby a plurality ofnotch 608 n is formed in thefin structure 608. In some embodiments, the semiconductor layers 604 are exposed from a top and a bottom of thenotch 608 n while theIL 618 is exposed from a sidewall of thenotches 608 n. - Referring to
FIG. 8G ,inner spacers 622 are then formed in thenotches 608 n. Theinner spacers 622 are formed not only in thenotches 608 n, but also over the sidewalls of thedummy gate structure 610 b. Next, aspacer 624 is formed over theinner spacer 622. In some embodiments, theinner spacer 622 and thespacer 624 include the same insulating material, in some embodiments, theinner spacer 622 and thespacer 624 include different insulating materials. Briefly speaking, insulating spacer (including theinner spacer 622 and the spacer 624) is disposed over the sidewalls of thedummy gate structure 610 b while portions of thefin structure 608 are exposed from the insulatingspacer 622/624 according tooperation 214. - Referring to
FIG. 8H , a source/drain region 630 is formed in the portions of thefin structure 608 exposed from thedummy gate structure 610 b and the insulatingspacers 622/624. As shown inFIG. 8H , the source/drain region 630 wraps the exposed portions of thefin structure 608, such as the exposed portions of the semiconductor layers 604. - Referring to
FIG. 8I , adielectric structure 642 is disposed over thesubstrate 642. As mentioned above, thedielectric structure 642 can include an etch-stop layer (e.g., CESL) (not shown) and various dielectric layers (e.g., an ILD layer) (not shown). In some embodiments, after the CESL and the HD layer are deposited, a planarization process, such as a CMP operation, may be performed to thedielectric structure 642 and to expose a top surface of thedummy gate structure 610 b. Referring toFIG. 8J , thedummy gate structure 610 b is removed to form agate trench 612 b in thedielectric structure 642 after forming thedielectric structure 642 according tooperation 216. As shown inFIG. 8J , the insulating spacer, such as theinner spacer 622 is exposed from sidewalls of thegate trench 612 b. - Referring to
FIG. 8K , a gateconductive structure 650 is then disposed in thegate trench 612 b according tooperation 218. In some embodiments, the gateconductive structure 650 is formed on thegate dielectric layer 618. More importantly, sidewalls of the gateconductive structure 650 are in contact with thespacer 622 as shown inFIG. 8K . In some embodiments, thegate structure 650 can include at least abarrier layer 652, a workfunctional metal layer 654 and a gap-fillinglayer 656. Accordingly, themulti-gate semiconductor device 600 is obtained as shown inFIG. 8K . More importantly, sidewalls of the gateconductive structure 650 are in contact with thespacer 622. -
FIG. 9A throughFIG. 9D are cross-sectional views of themulti-gate semiconductor device FIG. 9A is a cross-sectional view of thesemiconductor device FIG. 9B is a cross-sectional view taken along line A2-A2′ ofFIG. 9A ,FIG. 9C is a cross-sectional view taken along line B2-B2′ ofFIG. 9A , andFIG. 9D is a cross-sectional view taken along line C2-C2′ ofFIG. 9A . Referring toFIGS. 9A-9D , amulti-gate semiconductor device multi-gate semiconductor device 500/600 includes thesubstrate 502/602, thefin structure 508/608 such as thestacked wire structure 508/608 disposed over thesubstrate 502/602, a gate wrapping the stacked wire structure, and spacer disposed over two sidewalls of the gate. As shown inFIGS. 9A-9D , the gate includes the gateconductive structure 550/650 and thegate dielectric layer 518/618 sandwiched between the gateconductive structure 550/650 and the stacked wire structure. As shown inFIG. 9B , portions of the semiconductor layers 504/604 (thesemiconductors 504/604) are sequentially wrapped by theIL 516/616, thegate dielectric layer 518/618, and theharrier metal layer 552/652 of the gateconductive structure 550/650. As shown inFIG. 9C , another portions of the stacked wire structure are wrapped by the insulatingspacer 520/622. As shown inFIG. 9D , still another portions of the 504/604 are wrapped by the source//drain region 530/630. More importantly, the sidewalls of the gateconductive structure 550/650, such as thebarrier metal layer 552/652, are in contact with the insulatingspacer 520/622. -
FIG. 10A throughFIG. 10O illustrates a multi-gate semiconductor device at various fabrication stages constructed according to aspects of the present disclosure in one or more embodiments. It should be noted that elements the same inFIGS. 3A-3N andFIGS. 10A-10O can include same materials, thus those details for the materials are omitted in the interest of brevity. - Referring to
FIG. 10A , asubstrate 702 is provided.Fin structures 708 including semiconductor layers is formed over thesubstrate 702 or a SRB layer. Thefin structure 708 may include alternating layers of different compositions. For example, in some embodiments, thefin structure 708 includes semiconductor layers 704 of a first composition alternating withsemiconductor layers 706 of a second composition. As mentioned above, the compositions may have different oxidation rates, etchant sensitivity, and/or other differing properties. Further, thefin structure 708 can be extended along a first direction D1 as shown inFIG. 10A . Accordingly, thesubstrate 702 including the at least onefin structure 708 is provided according tooperation 202. Referring toFIG. 10B , a sacrificialinsulating structure 740 is disposed over thefin structures 708 and thesubstrate 702 according tooperation 204. - Referring to
FIGS. 10C and 10D , agate trench 712 a is formed in the sacrificialinsulating structure 740 according tooperation 206. Additionally,FIGS. 10D-10F are cut views corresponding line X-X′ ofFIG. 10C . As shown inFIG. 10D , a portion of thefin structures 708 is exposed from thegate trench 712 a. In some embodiments, thegate trench 712 a is formed correspondingly to region where channels are to be formed. - Referring to
FIG. 10E , the semiconductor layers 706 exposed from thegate trench 712 a are then removed. Accordingly, a plurality of wires including the semiconductor layers 704 is obtained and exposed from thegate trench 712 a as shown inFIG. 10E . Referring toFIG. 10F , anIL 716 is formed over the semiconductor layers 704 and followed by forming agate dielectric layer 718 over the semiconductor layers 708 and sidewalls of thegate trench 712 a according tooperation 208. In some embodiments, abarrier metal layer 752 can be formed over the gate dielectric layer as shown inFIG. 10F . Thebarrier metal layer 752 can be a part of a gate conductive structure in some embodiments. - Referring to
FIGS. 10G-10H , after forming thebarrier metal layer 752, adummy gate structure 710 b is then formed in thegate trench 712 a according tooperation 210 and followed by removing the sacrificialinsulating structure 740 according tooperation 212. As shown inFIG. 10G , thedummy gate structure 710 b is formed to fill thegate trench 712 a, and sidewalls of thedummy gate structure 710 b are in contact, with thebarrier metal layer 752. Next, at least a portion of the sacrificialinsulating structure 740 is removed. More importantly, thebarrier metal layer 752 and thegate dielectric layer 718 over the sidewalls of thedummy gate structure 710 b are both removed. Consequently, the sidewalls of thedummy gate structure 710 b are exposed as shown inFIG. 10H . Further, portions of thefin structure 708 are exposed from thedummy gate structure 710 b while another portions of thefin structures 708 is overlapped by thedummy gate structure 710 b. As mentioned above, the portions of thefin structures 708 underlying thedummy gate structure 710 b may be referred to as the channel region. - Referring to
FIG. 10I , aspacer 720 is then formed over thesubstrate 702. As shown inFIG. 10I , thespacer 720 covers the sidewalls of thedummy gate structure 710 b and exposes the portions of thefin structures 708. Referring toFIG. 10I , a source/drain region 730 and a source/drain region 732 are formed in the portions of thefin structures 708 exposed from thedummy gate structure 710 b and the insulatingspacers 720. In some embodiments of the present disclosure, themulti-gate semiconductor device 700 is a CMOS device, therefore the source/drain region 730 can be formed for a pFET and the source/drain region 732 can be formed for an nFET. - Referring to
FIG. 10K , adielectric structure 742 is disposed over thesubstrate 702. As mentioned above, thedielectric structure 742 can include an etch-stop layer (e.g., CESL) (not shown) and various dielectric layers (e.g., an ILD layer) (not shown). In some embodiments, after the CESL and the ILD layer are deposited, a planarization process, such as a CMP operation, may be performed to thedielectric structure 742 and to expose a top surface of thedummy gate structure 710 b as shown inFIG. 10K . - Referring to
FIGS. 10L-10N , whereinFIGS. 10M-10N are cut views corresponding line X-X′ ofFIG. 10L , thedummy gate structure 710 b is removed to form agate trench 712 b in thedielectric structure 742 after forming thedielectric structure 742 according tooperation 216. Since thebarrier metal layer 752 is removed from the sidewalls of thegate trench 712 b as mentioned above, the insulatingspacer 720 is exposed from the sidewalls of thegate trench 712 b as shown inFIGS. 10L and 10M . It is noted that the semiconductor layers 704 wrapped by theIL 716, thegate dielectric layer 718 and theharrier metal layer 752 are exposed in thegate trench 712 b as shown inFIG. 10M . Next, a gateconductive structure 750 is disposed in thegate trench 712 b according tooperation 218. As shown inFIG. 10N , a workfunction metal layer 754 can be formed in thegate trench 712 b, and the workfunction metal layer 754 is in contact with thespacer 720. A gap-fillingmetal layer 756 is then formed to fill thegate trench 712 b and followed by a planarization. Accordingly, the gateconductive structure 750 is obtained as shown inFIG. 10O . More importantly, sidewalls of the gateconductive structure 750, that is the workfunction metal layer 754, are in contact with thespacer 720 as shown inFIG. 10O . -
FIG. 11A throughFIG. 11D are cross-sectional views of themulti-gate semiconductor device 700 according to aspects of the present disclosure in one or more embodiments.FIG. 11A is a cross-sectional view of thesemiconductor device 700 taken along the first direction D1,FIG. 11B is a cross-sectional view taken along line A3-A3′ ofFIG. 11A ,FIG. 11C is a cross-sectional view taken along line B3-B3′ ofFIG. 11A , andFIG. 11D is a cross-sectional view taken along line C3-C3′ ofFIG. 11A . Referring toFIGS. 11A-11D , amulti-gate semiconductor device 700 can be provided. Themulti-gate semiconductor device 700 includes thesubstrate 702, thefin structure 708 such as thestacked wire structure 708 disposed over thesubstrate 702, a gate wrapping the stacked wire structure, and spacer disposed over two sidewalls of the gate. As shown inFIGS. 11A-11D , the gate includes the gateconductive structure 750 and thegate dielectric layer 718 sandwiched between the gateconductive structure 750 and the stacked wire structure. As shown inFIG. 11B , portions of the semiconductor layers 704 are sequentially wrapped by theIL 716, thegate dielectric layer 718, and thebarrier metal layer 752 of the gateconductive structure 750. As shown inFIG. 11C , another portions of the stacked wire structure are wrapped by the insulatingspacer 720. As shown inFIG. 11D , still another portions of the 704 are wrapped by the source//drain region 730. More importantly, the sidewalls of the gateconductive structure 750, such as the workfunction metal layer 754, are in contact with the insulatingspacer 720. - It will be appreciated that in the forgoing method, operations are taken to form a bottom-up gate dielectric layer. In other words, sidewalls of the gate conductive structure are in contact with the insulating or conductive spacers instead of the gate dielectric layer. Since the gate dielectric layer is not formed over the sidewalls of the gate trench, the gate trench is wider and thus it is easier to form the barrier metal layer, the work function metal layer and the gap-filling metal layer in the gate trench. Consequently, the gate trench filling result is improved even the gate length is scaled down, and device performance can be further improved.
- According to one embodiment of the present disclosure, a multi-gate semiconductor device is provided. The multi-gate semiconductor device includes a substrate, a stacked wire structure disposed over the substrate, a gate over the stacked wire structure, and at least a first spacer disposed over two sidewalls of the gate. The gate further includes a gate conductive structure wrapping the stacked wire structure and a gate dielectric layer sandwiched between the gate conductive structure and the stacked wire structure. Further, sidewalls of the gate conductive structure are in contact with the first spacer.
- According to another embodiment, a method for forming a multi-gate semiconductor device is provided. The method includes following operations. A substrate includes at least a fin structure is provided. A dummy gate structure is disposed over the fin structure and the substrate. A spacer is disposed over sidewalls of the sacrificial gate structure. Portions of the fin structure are exposed from the dummy gate structure and the spacer. Subsequently, a source/drain region is formed in the portions of the fin structure exposed from the sacrificial gate structure and the spacer and followed by disposing a dielectric structure over the substrate. The dummy gate structure is then removed to form a gate trench in the dielectric structure. At least a gate dielectric layer is disposed over a bottom of the gate trench after removing the sacrificial gate structure. The spacer is exposed from sidewalls of the gate trench. And a gate conductive structure is disposed in the gate trench. More importantly, sidewalls of the gate conductive structure are in contact with the spacer.
- According to one embodiment of the present disclosure, a method for forming a multi-gate semiconductor device is provided. The method includes following operations. A substrate including at least one tin structure is provided. A sacrificial insulating structure is disposed over the fin structure and the substrate. A first gate trench is formed in the sacrificial insulating structure, and a portion of the fin structure is exposed from the first gate trench. Next, a gate dielectric layer is disposed over the fin structure and followed by disposing a first dummy gate structure in the first gate trench. Then, the sacrificial insulating structure is removed to expose sidewalls of the first dummy gate structure and portions of the fin structure. An insulating spacer is disposed over the sidewalls of the first dummy gate structure, and the portions of the fin structure are exposed from the insulating spacer. The first dummy gate structure is removed to form a second gate trench, and a gate conductive structure is disposed in the second gate trench.
- The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims (24)
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/793,521 US10269965B1 (en) | 2017-10-25 | 2017-10-25 | Multi-gate semiconductor device and method for forming the same |
US16/380,135 US10770592B2 (en) | 2017-10-25 | 2019-04-10 | Multi-gate semiconductor device and method for forming the same |
US17/011,274 US11575046B2 (en) | 2017-10-25 | 2020-09-03 | Multi-gate semiconductor device and method for forming the same |
US18/164,965 US20230187552A1 (en) | 2017-10-25 | 2023-02-06 | Multi-gate semiconductor device and method for forming the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/793,521 US10269965B1 (en) | 2017-10-25 | 2017-10-25 | Multi-gate semiconductor device and method for forming the same |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/380,135 Division US10770592B2 (en) | 2017-10-25 | 2019-04-10 | Multi-gate semiconductor device and method for forming the same |
Publications (2)
Publication Number | Publication Date |
---|---|
US10269965B1 US10269965B1 (en) | 2019-04-23 |
US20190123189A1 true US20190123189A1 (en) | 2019-04-25 |
Family
ID=66169568
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US15/793,521 Active 2037-10-29 US10269965B1 (en) | 2017-10-25 | 2017-10-25 | Multi-gate semiconductor device and method for forming the same |
US16/380,135 Active US10770592B2 (en) | 2017-10-25 | 2019-04-10 | Multi-gate semiconductor device and method for forming the same |
US17/011,274 Active 2038-09-24 US11575046B2 (en) | 2017-10-25 | 2020-09-03 | Multi-gate semiconductor device and method for forming the same |
US18/164,965 Pending US20230187552A1 (en) | 2017-10-25 | 2023-02-06 | Multi-gate semiconductor device and method for forming the same |
Family Applications After (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/380,135 Active US10770592B2 (en) | 2017-10-25 | 2019-04-10 | Multi-gate semiconductor device and method for forming the same |
US17/011,274 Active 2038-09-24 US11575046B2 (en) | 2017-10-25 | 2020-09-03 | Multi-gate semiconductor device and method for forming the same |
US18/164,965 Pending US20230187552A1 (en) | 2017-10-25 | 2023-02-06 | Multi-gate semiconductor device and method for forming the same |
Country Status (1)
Country | Link |
---|---|
US (4) | US10269965B1 (en) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP3767664A1 (en) * | 2019-07-18 | 2021-01-20 | Imec VZW | Self-aligned layer patterning |
US11158728B2 (en) * | 2019-05-30 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11177181B2 (en) | 2020-01-15 | 2021-11-16 | International Business Machines Corporation | Scalable device for FINFET technology |
US20220180283A1 (en) * | 2019-11-18 | 2022-06-09 | Rockwell Automation Technologies, Inc. | Generating visualizations for instructional procedures |
US11733667B2 (en) | 2019-11-18 | 2023-08-22 | Rockwell Automation Technologies, Inc. | Remote support via visualizations of instructional procedures |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11245024B2 (en) * | 2020-04-09 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20220037496A1 (en) * | 2020-07-31 | 2022-02-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multigate device with air gap spacer and backside rail contact and method of fabricating thereof |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US9006829B2 (en) | 2012-08-24 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Aligned gate-all-around structure |
US9209247B2 (en) | 2013-05-10 | 2015-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned wrapped-around structure |
US9614053B2 (en) * | 2013-12-05 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacers with rectangular profile and methods of forming the same |
US9136332B2 (en) | 2013-12-10 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company Limited | Method for forming a nanowire field effect transistor device having a replacement gate |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9608116B2 (en) | 2014-06-27 | 2017-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFETs with wrap-around silicide and method forming the same |
US9412817B2 (en) | 2014-12-19 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide regions in vertical gate all around (VGAA) devices and methods of forming same |
US9536738B2 (en) | 2015-02-13 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Vertical gate all around (VGAA) devices and methods of manufacturing the same |
KR102406947B1 (en) * | 2015-10-08 | 2022-06-10 | 삼성전자주식회사 | Semiconductor Devices |
US9502265B1 (en) | 2015-11-04 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Vertical gate all around (VGAA) transistors and methods of forming the same |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9899387B2 (en) * | 2015-11-16 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9653289B1 (en) * | 2016-09-19 | 2017-05-16 | International Business Machines Corporation | Fabrication of nano-sheet transistors with different threshold voltages |
-
2017
- 2017-10-25 US US15/793,521 patent/US10269965B1/en active Active
-
2019
- 2019-04-10 US US16/380,135 patent/US10770592B2/en active Active
-
2020
- 2020-09-03 US US17/011,274 patent/US11575046B2/en active Active
-
2023
- 2023-02-06 US US18/164,965 patent/US20230187552A1/en active Pending
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11158728B2 (en) * | 2019-05-30 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
EP3767664A1 (en) * | 2019-07-18 | 2021-01-20 | Imec VZW | Self-aligned layer patterning |
US11488826B2 (en) | 2019-07-18 | 2022-11-01 | Imec Vzw | Self-aligned layer patterning |
US20220180283A1 (en) * | 2019-11-18 | 2022-06-09 | Rockwell Automation Technologies, Inc. | Generating visualizations for instructional procedures |
US11556875B2 (en) * | 2019-11-18 | 2023-01-17 | Rockwell Automation Technologies, Inc. | Generating visualizations for instructional procedures |
US11733667B2 (en) | 2019-11-18 | 2023-08-22 | Rockwell Automation Technologies, Inc. | Remote support via visualizations of instructional procedures |
US11177181B2 (en) | 2020-01-15 | 2021-11-16 | International Business Machines Corporation | Scalable device for FINFET technology |
US11631617B2 (en) | 2020-01-15 | 2023-04-18 | International Business Machines Corporation | Scalable device for FINFET technology |
Also Published As
Publication number | Publication date |
---|---|
US10770592B2 (en) | 2020-09-08 |
US20190237573A1 (en) | 2019-08-01 |
US20200403095A1 (en) | 2020-12-24 |
US11575046B2 (en) | 2023-02-07 |
US20230187552A1 (en) | 2023-06-15 |
US10269965B1 (en) | 2019-04-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11239367B2 (en) | Semiconductor device and manufacturing method thereof | |
US10770592B2 (en) | Multi-gate semiconductor device and method for forming the same | |
US20240047526A1 (en) | Semiconductor structure with nanostructure | |
US11855151B2 (en) | Multi-gate device and method of fabrication thereof | |
US11007005B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US20180151564A1 (en) | Method of manufacturing a semiconductor device with separated merged source/drain structure | |
US10950693B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US11923413B2 (en) | Semiconductor structure with extended contact structure | |
US11387362B2 (en) | Semiconductor device and manufacturing method thereof | |
KR102291303B1 (en) | Semiconductor device and manufacturing method thereof | |
TWI807619B (en) | Methods for manufacturing semiconductor devices | |
US20220336654A1 (en) | Semiconductor device and manufacturing method thereof | |
US20220310806A1 (en) | Semiconductor device and method of manufacturing thereof | |
US20230420567A1 (en) | Method for forming multi-gate semiconductor structure | |
CN112750782A (en) | Method of manufacturing semiconductor device and semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., T Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, I-SHENG;CHEN, TZU-CHIANG;WU, CHENG-HSIEN;AND OTHERS;SIGNING DATES FROM 20171011 TO 20171017;REEL/FRAME:043948/0802 |
|
FEPP | Fee payment procedure |
Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 4 |