US20190123104A1 - Semiconductor structure and the method of making the same - Google Patents

Semiconductor structure and the method of making the same Download PDF

Info

Publication number
US20190123104A1
US20190123104A1 US15/818,673 US201715818673A US2019123104A1 US 20190123104 A1 US20190123104 A1 US 20190123104A1 US 201715818673 A US201715818673 A US 201715818673A US 2019123104 A1 US2019123104 A1 US 2019123104A1
Authority
US
United States
Prior art keywords
fin
semiconductor structure
layer
source
rram
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/818,673
Other versions
US10269868B1 (en
Inventor
Yu-Ru Yang
Chih-Chien Liu
Chao-Ching Hsieh
Hsiao-Pang Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOU, HSIAO-PANG, HSIEH, CHAO-CHING, LIU, CHIH-CHIEN, YANG, YU-RU
Application granted granted Critical
Publication of US10269868B1 publication Critical patent/US10269868B1/en
Publication of US20190123104A1 publication Critical patent/US20190123104A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H01L27/2436
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • H01L45/085
    • H01L45/1233
    • H01L45/1253
    • H01L45/146
    • H01L45/1683
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • Embodiments of the invention generally relate to a semiconductor structure, and more particularly, to a fin transistor (fin filed effect transistor, fin-FET) and a resistive random access memory (hereinafter abbreviated as RRAM) structure and the manufacturing method thereof.
  • fin-FET fin filed effect transistor
  • RRAM resistive random access memory
  • Resistive random access memory has a simple structure, low operating voltage, high-speed, good endurance, and CMOS process compatibility. RRAM is the most promising alternative to provide a downsized replacement for traditional flash memory. RRAM is finding wide application in devices such as optical disks and non-volatile memory arrays.
  • An RRAM cell stores data within a layer of material that can be induced to undergo a phase change.
  • the phase change can be induced within all or part of the layer to switch between a high resistance state and a low resistance state.
  • the resistance state can be queried and interpreted as representing either a “0” or a “1”.
  • the data storage layer includes an amorphous metal oxide.
  • a metallic bridge is induced to form across the data storage layer, which results in the low resistance state.
  • the metallic bridge can be disrupted and the high resistance state restored by applying a short high current density pulse that melts or otherwise breaks down all or part of the metallic structure.
  • the data storage layer quickly cools and remains in the high resistance state until the low resistance state is induced again.
  • the present invention provides a semiconductor structure, the semiconductor structure includes a fin transistor (fin filed effect transistor, fin-FET) located on a substrate, wherein the fin transistor comprising a gate structure crossing over a fin structure, and at least one source/drain region, and a resistive random access memory (RRAM) comprising a lower electrode, a resistive switching layer and an upper electrode sequentially located on the source/drain region and electrically connected to the fin transistor.
  • fin transistor fin filed effect transistor, fin-FET
  • RRAM resistive random access memory
  • the present invention is characterized in that a fin transistor and a RRAM are combined with each other, and in particular, the RRAM is directly fabricated on the fin structure or on the epitaxial layer of the fin transistor, electrically connected to the source/drain regions of the fin transistor. Since the fin transistor has three-dimensional structure, the overall size of the semiconductor device can be reduced by integrating the RRAM and the fin transistor.
  • FIG. 1 illustrates the schematic diagram of a semiconductor structure according to the first preferred embodiment of the present invention.
  • FIG. 2 is a schematic cross-section diagram along the cross-section line A-A′ in FIG. 1 .
  • FIG. 3 illustrates the schematic diagram of a semiconductor structure according to the second preferred embodiment of the present invention.
  • FIG. 4 and FIG. 5 illustrate the schematic diagrams of a semiconductor structure according to the third preferred embodiment of the present invention.
  • FIG. 6 illustrates the schematic diagram of a semiconductor structure according to the fourth preferred embodiment of the present invention.
  • FIG. 7 illustrates the schematic diagram of a semiconductor structure of the first preferred embodiment of the present invention connecting to slot contact structures.
  • FIG. 1 shows a schematic diagram of a semiconductor structure according to a first preferred embodiment of the present invention
  • FIG. 2 is a schematic cross-section diagram along the cross-section line A-A′ in FIG. 1
  • a semiconductor device having a substrate 10 and a plurality of fin structures 12 disposed thereon is provided.
  • the main surface 10 a of the substrate may have a specific orientation and the long axial direction of each fin structure 12 is aligned with a predetermined direction.
  • the main surface 10 a of the substrate may have an orientation (100) and the long axial direction of each fin structure 12 is aligned with a direction (110), but not limited thereto.
  • the substrate 10 may be chosen from another semiconductor substrate such as a silicon containing substrate, a III-V semiconductor-on-silicon (such as GaAs-on-silicon) substrate, a graphene-on-silicon substrate, a silicon-on-insulator (SOI) substrate, a silicon dioxide substrate, an aluminum oxide substrate, a sapphire substrate, a germanium containing substrate or an alloy of silicon and germanium substrate.
  • a silicon containing substrate such as a silicon containing substrate, a III-V semiconductor-on-silicon (such as GaAs-on-silicon) substrate, a graphene-on-silicon substrate, a silicon-on-insulator (SOI) substrate, a silicon dioxide substrate, an aluminum oxide substrate, a sapphire substrate, a germanium containing substrate or an alloy of silicon and germanium substrate.
  • a III-V semiconductor-on-silicon such as GaAs-on-silicon
  • SOI silicon-on-insulator
  • the method for fabricating the fin structures 12 may include the following processes, but not limited thereto. First, a bulk substrate (not shown) is provided and a hard mask layer (not shown) is formed thereon. The hard mask layer is then patterned through a photolithographic and an etching process, so as to define the location for forming fin structures 12 in the bulk substrate. Afterwards, an etching process is performed to form fin structures 12 in the bulk substrate. After the above processes, the fabrication method for the fin structures 12 is complete. In this case, the fin structures 12 may be regarded as protruding from the surface 10 a of the substrate 10 and the compositions of the fin structures 12 and the substrate 10 may be the same, such as monocrystalline silicon.
  • the main compositions of the fin-shaped structures may be the same as that of the III-V semiconductor and differ from that of the underlying substrate.
  • an insulating layer 14 is disposed between every two adjacent fin structures 12 , such as a shallow trench isolation (STI), which can be formed through a STI process or others suitable processes, it is a well-known technology and will not be redundantly described here.
  • STI shallow trench isolation
  • a gate structure 16 is formed on the substrate 10 , to cross over the fin structure 12 and partially covering the fin structure 12 .
  • the method of forming the gate structure 16 may include the following steps: firstly, forming agate dielectric material layer (not shown), a gate conductive material layer (not shown) and a cap material layer (not shown) sequentially. Then, a patterned photoresist or a patterned mask is used for a protection layer, an etching step is performed to pattern the gate dielectric material layer, the gate conductive material layer and the cap material layer to form a gate structure 16 .
  • the gate structure 16 includes a gate dielectric layer 18 , a gate conductive layer 20 , and a cap layer 22 .
  • the material of the gate dielectric layer 18 may include silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), or a dielectric material having a dielectric constant (k value) larger than 4, such as hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), zirconium oxide (ZrO 2 ) , strontium titanate oxide (SrTiO 3 ) , zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), strontium bismuth tantalate (SrBi 2 Ta2O 9 ,
  • the material of the gate conductive layer 20 may include undoped polysilicon, heavily doped polysilicon, or one or a plurality of metal layers such as a work function metal layer, a barrier layer and a low-resistance metal layer, etc.
  • the cap layer 22 may include a single-layer structure or multi-layer structure made of dielectric materials such as silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon carbonitride (SiCN), silicon oxynitride (SiON) or a combination thereof.
  • the present invention may further include a spacer (not shown) on both sides of the gate structure. For the sake of simplicity, the spacer is not shown in FIG. 1 .
  • the gate dielectric layer 18 of the gate structure 16 is made of silicon oxide
  • the gate conductive layer 20 is made of doped polysilicon
  • the cap layer 22 includes a stacking structure having a silicon nitride layer and a silicon oxide layer, but not limited thereto.
  • the present invention may also combine with different kinds of metal gate processes such as gate-first process, high-k first process and gate-last process.
  • the current gate structure 16 is made of polysilicon, and the polysilicon layer can also be replaced by a metal layer by a subsequent replacement metal gate (RMG) process.
  • RMG replacement metal gate
  • the source/drain regions 24 are formed by ion doping process in the fin structure 12 not covered by the gate structure 16 .
  • a portion of the fin structure 12 is firstly removed, an epitaxial layer (not shown) is formed by an epitaxial process or the like, used as the source/drain regions of the fin transistor.
  • the ions are directly doped into parts of fin structure 12 , so the source/drain region 24 of the fin structure 12 has a flat top surface 24 a.
  • the fin structure 12 , the gate structure 16 and the source/drain regions 24 constitute a fin transistor 1 .
  • the present invention is characterized in that a resistive random access memory (RRAM) 30 is formed, and electrically connected to a source/drain region 24 of the fin transistor 1 , as shown in FIG. 1 and FIG. 2 . Since the fin transistor 1 has a three-dimensional structure, the combination of the RRAM and the fin transistor can achieve the purpose of reducing the area of the element.
  • the RRAM 30 includes a lower electrode layer 32 , a resistance switching layer 34 and an upper electrode layer 36 .
  • the material of the lower electrode layer 32 and the upper electrode layer 36 is, for example, titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), or the like.
  • the lower electrode layer 32 or the upper electrode layer 36 is doped polysilicon, such as P+ doped polysilicon or N+ doped polysilicon.
  • the material of the lower electrode layer 32 and the upper electrode layer 36 may be the same or different, and the present invention is not limited thereto.
  • the material of the resistance switching layer 34 includes transition metal oxide.
  • the materials that can be used as the resistance switching layer 34 include titanium oxide (TiO), nickel oxide (NiO), tungsten oxide (WO 3 ), zirconium oxide (ZrO), copper oxide (CuO), hafnium oxide (HfO), tantalum oxide (TaO), zinc oxide (ZnO), aluminum oxide (Al 2 O 3 ), molybdenum oxide (MoO), and the like, but are not limited thereto.
  • the thickness of the resistance switching layer 34 is between 20 angstroms to 100 angstroms. In some embodiments, the thickness of the resistance switching layer 34 is from 30 angstroms to 70 angstroms, such as 50 angstroms.
  • the material of the resistance switching layer 34 can be the same as that of the gate dielectric layer 18 of the gate structure 16 . That is, during the manufacturing process, the gate dielectric layer 18 and the resistance switching layer 34 can be made in the same process to save process steps.
  • the present invention is not limited thereto.
  • the source/drain region 24 since the source/drain region 24 is conductive, the source/drain region 24 may be used instead of the lower electrode of the RRAM 30 . In other words, the lower electrode layer 32 of the RRAM 30 may be omitted. It should also be within the scope of the present invention.
  • FIG. 3 illustrates a cross-sectional view of a semiconductor structure according to a second preferred embodiment of the present invention.
  • a fin transistor and a RRAM are also formed.
  • part of the fin structure is replaced by an epitaxial layer, and the epitaxial layer is used as the source/drain region of the fin transistor.
  • FIG. 2 after the gate structure is completed, a portion of the fin structures not covered by the gate structure is removed to form recesses on both sides of the gate structure, and then an epitaxial process is performed, to form an epitaxial layer 40 in each recess.
  • the epitaxial layer 40 may comprise a silicon germanium epitaxial layer, which is used fora PMOS transistor, or the epitaxial layer 40 may comprise a silicon carbon epitaxial layer, which used for an NMOS transistor.
  • An ion implantation process is then performed to implant the appropriate ions, or the implantation process may be performed during the epitaxial process.
  • the epitaxial layer 40 can be used as a source/drain region.
  • a silicide process (or a salicide process, not shown) may be performed to form silicide in the source/drain region, the silicide process may include a pre-clean process, a metal depositing process, an annealing process, a selective etching process, or a test process, etc.
  • the epitaxial layer 40 will grow along the lattice plane, and may have a polygonal cross-sectional structure.
  • the epitaxial layer 40 in this embodiment includes an upper inclined surface 40 a and a lower inclined surface 40 b.
  • the upper inclined surface 40 a is disposed along the [111] plane
  • the lower inclined surface 40 b is also disposed along the [111] plane.
  • the RRAM 30 is formed on the epitaxial layer 40 .
  • the RRAM 30 is formed along the surface of the epitaxial layer 40 .
  • the RRAM 30 also includes the lower electrode layer 32 , the resistance switching layer 34 and the upper electrode layer 36 .
  • the material of each material layer is the same as that described in the first preferred embodiment, which will not be redundantly described herein.
  • FIG. 4 and FIG. 5 illustrate the cross-sectional views of the semiconductor structure of the third preferred embodiment of the present invention.
  • the fin structure 12 is remained to use as the source/drain region 24 of the fin transistor.
  • a dielectric layer 50 is formed to cover the fin transistor, and then a contact hole 52 is formed in the dielectric layer 50 , to expose a portion of the source/drain region 24 .
  • a lower electrode layer 32 ′, a resistance switching layer 34 ′ and an upper electrode layer 36 ′ are sequentially formed in the contact hole 52 .
  • the lower electrode layer 32 ′, the resistance switching layer 34 ′ and the upper electrode layer 36 ′ constitute a RRAM 30 ′.
  • the RRAM 30 ′in the present embodiment is formed in the contact hole 52 .
  • the material of the lower electrode layer 32 ′ the material of the resistance switching layer 34 ′ and the material of the upper electrode layer 36 ′ are the same as that of the lower electrode layer 32 , the resistance switching layer 34 and the upper electrode layer 36 described in the above first preferred embodiment respectively, and it will not be described again here.
  • FIG. 6 illustrates a cross-sectional view of a semiconductor structure of a fourth preferred embodiment of the present invention.
  • This embodiment is similar to the above mentioned third preferred embodiment, the RRAM is also formed in the contact hole.
  • the difference between this embodiment and the above third preferred embodiment is that a portion of the fin structure is replaced by the epitaxial layer 40 , and the epitaxial layer 40 is used as the source/drain regions of the fin transistor.
  • the contact hole exposes the epitaxial layer 40 , and then the RRAM 30 ′ is then formed in the contact hole, the epitaxial layer 40 has a non-planar top surface, and the RRAM 30 ′ directly contacts the non-planar top surface of the epitaxial layer 40 .
  • the other features are the same as those in the other embodiments described above, which will not be repeated here.
  • FIG. 7 is a schematic diagram of the semiconductor structure connecting a slot contact structure according to the first preferred embodiment of the present invention.
  • slot contact structures 62 are formed to cross the RRAM 30 and a portion of the fin structure 12 .
  • the slot contact structure 62 may further cross other fin structure (not shown) adjacent to the fin structure 12 .
  • a pole contact structure may be used to replace the slot contact to electrically connect the RRAM or the fin transistor.
  • the present invention is characterized in that a fin transistor and a RRAM are combined with each other, and in particular, the RRAM is directly fabricated on the fin structure or on the epitaxial layer of the fin transistor, electrically connected to the source/drain regions of the fin transistor. Since the fin transistor has three-dimensional structure, the overall size of the semiconductor device can be reduced by integrating the RRAM and the fin transistor.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

The present invention provides a semiconductor structure, the semiconductor structure includes a fin transistor (fin filed effect transistor, finFET) located on a substrate, the fin transistor includes a gate structure crossing over a fin structure, and at least one source/drain region. And a resistive random access memory (RRAM) includes a lower electrode, a resistance switching layer and a top electrode being sequentially located on the source/drain region and electrically connected to the fin transistor.

Description

    BACKGROUND OF THE INVENTION 1. Field of the Invention
  • Embodiments of the invention generally relate to a semiconductor structure, and more particularly, to a fin transistor (fin filed effect transistor, fin-FET) and a resistive random access memory (hereinafter abbreviated as RRAM) structure and the manufacturing method thereof.
  • 2. Description of the Prior Art
  • Resistive random access memory (RRAM) has a simple structure, low operating voltage, high-speed, good endurance, and CMOS process compatibility. RRAM is the most promising alternative to provide a downsized replacement for traditional flash memory. RRAM is finding wide application in devices such as optical disks and non-volatile memory arrays.
  • An RRAM cell stores data within a layer of material that can be induced to undergo a phase change. The phase change can be induced within all or part of the layer to switch between a high resistance state and a low resistance state. The resistance state can be queried and interpreted as representing either a “0” or a “1”. In a typical RRAM cell, the data storage layer includes an amorphous metal oxide. Upon application of a sufficient voltage, a metallic bridge is induced to form across the data storage layer, which results in the low resistance state. The metallic bridge can be disrupted and the high resistance state restored by applying a short high current density pulse that melts or otherwise breaks down all or part of the metallic structure. The data storage layer quickly cools and remains in the high resistance state until the low resistance state is induced again.
  • SUMMARY OF THE INVENTION
  • The present invention provides a semiconductor structure, the semiconductor structure includes a fin transistor (fin filed effect transistor, fin-FET) located on a substrate, wherein the fin transistor comprising a gate structure crossing over a fin structure, and at least one source/drain region, and a resistive random access memory (RRAM) comprising a lower electrode, a resistive switching layer and an upper electrode sequentially located on the source/drain region and electrically connected to the fin transistor.
  • The present invention is characterized in that a fin transistor and a RRAM are combined with each other, and in particular, the RRAM is directly fabricated on the fin structure or on the epitaxial layer of the fin transistor, electrically connected to the source/drain regions of the fin transistor. Since the fin transistor has three-dimensional structure, the overall size of the semiconductor device can be reduced by integrating the RRAM and the fin transistor.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates the schematic diagram of a semiconductor structure according to the first preferred embodiment of the present invention.
  • FIG. 2 is a schematic cross-section diagram along the cross-section line A-A′ in FIG. 1.
  • FIG. 3 illustrates the schematic diagram of a semiconductor structure according to the second preferred embodiment of the present invention.
  • FIG. 4 and FIG. 5 illustrate the schematic diagrams of a semiconductor structure according to the third preferred embodiment of the present invention.
  • FIG. 6 illustrates the schematic diagram of a semiconductor structure according to the fourth preferred embodiment of the present invention.
  • FIG. 7 illustrates the schematic diagram of a semiconductor structure of the first preferred embodiment of the present invention connecting to slot contact structures.
  • DETAILED DESCRIPTION
  • To provide a better understanding of the present invention to users skilled in the technology of the present invention, preferred embodiments are detailed as follows. The preferred embodiments of the present invention are illustrated in the accompanying drawings with numbered elements to clarify the contents and the effects to be achieved.
  • Please note that the figures are only for illustration and the figures may not be to scale. The scale may be further modified according to different design considerations. When referring to the words “up” or “down” that describe the relationship between components in the text, it is well known in the art and should be clearly understood that these words refer to relative positions that can be inverted to obtain a similar structure, and these structures should therefore not be precluded from the scope of the claims in the present invention.
  • FIG. 1 shows a schematic diagram of a semiconductor structure according to a first preferred embodiment of the present invention, FIG. 2 is a schematic cross-section diagram along the cross-section line A-A′ in FIG. 1. As shown in FIG. 1. A semiconductor device having a substrate 10 and a plurality of fin structures 12 disposed thereon is provided. The main surface 10 a of the substrate may have a specific orientation and the long axial direction of each fin structure 12 is aligned with a predetermined direction. For example, for a bulk silicon substrate, the main surface 10 a of the substrate may have an orientation (100) and the long axial direction of each fin structure 12 is aligned with a direction (110), but not limited thereto. In addition to the bulk silicon substrate, the substrate 10 may be chosen from another semiconductor substrate such as a silicon containing substrate, a III-V semiconductor-on-silicon (such as GaAs-on-silicon) substrate, a graphene-on-silicon substrate, a silicon-on-insulator (SOI) substrate, a silicon dioxide substrate, an aluminum oxide substrate, a sapphire substrate, a germanium containing substrate or an alloy of silicon and germanium substrate.
  • More precisely, the method for fabricating the fin structures 12 may include the following processes, but not limited thereto. First, a bulk substrate (not shown) is provided and a hard mask layer (not shown) is formed thereon. The hard mask layer is then patterned through a photolithographic and an etching process, so as to define the location for forming fin structures 12 in the bulk substrate. Afterwards, an etching process is performed to form fin structures 12 in the bulk substrate. After the above processes, the fabrication method for the fin structures 12 is complete. In this case, the fin structures 12 may be regarded as protruding from the surface 10 a of the substrate 10 and the compositions of the fin structures 12 and the substrate 10 may be the same, such as monocrystalline silicon. In another case, when the substrate is chosen from a III-V semiconductor-on-silicon substrate rather than the above-mentioned bulk silicon substrate, the main compositions of the fin-shaped structures may be the same as that of the III-V semiconductor and differ from that of the underlying substrate.
  • In this embodiment, an insulating layer 14 is disposed between every two adjacent fin structures 12, such as a shallow trench isolation (STI), which can be formed through a STI process or others suitable processes, it is a well-known technology and will not be redundantly described here.
  • Next, a gate structure 16 is formed on the substrate 10, to cross over the fin structure 12 and partially covering the fin structure 12. The method of forming the gate structure 16 may include the following steps: firstly, forming agate dielectric material layer (not shown), a gate conductive material layer (not shown) and a cap material layer (not shown) sequentially. Then, a patterned photoresist or a patterned mask is used for a protection layer, an etching step is performed to pattern the gate dielectric material layer, the gate conductive material layer and the cap material layer to form a gate structure 16. The gate structure 16 includes a gate dielectric layer 18, a gate conductive layer 20, and a cap layer 22. The patterned photoresist or the patterned mask is then removed. The material of the gate dielectric layer 18 may include silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), or a dielectric material having a dielectric constant (k value) larger than 4, such as hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2) , strontium titanate oxide (SrTiO3) , zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), barium strontium titanate (BaxSr1-xTiO3, BST) or a combination thereof. The material of the gate conductive layer 20 may include undoped polysilicon, heavily doped polysilicon, or one or a plurality of metal layers such as a work function metal layer, a barrier layer and a low-resistance metal layer, etc. The cap layer 22 may include a single-layer structure or multi-layer structure made of dielectric materials such as silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon carbonitride (SiCN), silicon oxynitride (SiON) or a combination thereof. In addition, the present invention may further include a spacer (not shown) on both sides of the gate structure. For the sake of simplicity, the spacer is not shown in FIG. 1.
  • In this embodiment, the gate dielectric layer 18 of the gate structure 16 is made of silicon oxide, the gate conductive layer 20 is made of doped polysilicon, and the cap layer 22 includes a stacking structure having a silicon nitride layer and a silicon oxide layer, but not limited thereto. The present invention may also combine with different kinds of metal gate processes such as gate-first process, high-k first process and gate-last process. In addition, the current gate structure 16 is made of polysilicon, and the polysilicon layer can also be replaced by a metal layer by a subsequent replacement metal gate (RMG) process.
  • In addition, the source/drain regions 24 are formed by ion doping process in the fin structure 12 not covered by the gate structure 16. In other embodiments, a portion of the fin structure 12 is firstly removed, an epitaxial layer (not shown) is formed by an epitaxial process or the like, used as the source/drain regions of the fin transistor. In this embodiment, the ions are directly doped into parts of fin structure 12, so the source/drain region 24 of the fin structure 12 has a flat top surface 24 a. The fin structure 12, the gate structure 16 and the source/drain regions 24 constitute a fin transistor 1.
  • The present invention is characterized in that a resistive random access memory (RRAM) 30 is formed, and electrically connected to a source/drain region 24 of the fin transistor 1, as shown in FIG. 1 and FIG. 2. Since the fin transistor 1 has a three-dimensional structure, the combination of the RRAM and the fin transistor can achieve the purpose of reducing the area of the element. In the first embodiment of the present invention, the RRAM 30 includes a lower electrode layer 32, a resistance switching layer 34 and an upper electrode layer 36. The material of the lower electrode layer 32 and the upper electrode layer 36 is, for example, titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), or the like. In some embodiments, the lower electrode layer 32 or the upper electrode layer 36 is doped polysilicon, such as P+ doped polysilicon or N+ doped polysilicon. In addition, the material of the lower electrode layer 32 and the upper electrode layer 36 may be the same or different, and the present invention is not limited thereto. The material of the resistance switching layer 34 includes transition metal oxide. The materials that can be used as the resistance switching layer 34 include titanium oxide (TiO), nickel oxide (NiO), tungsten oxide (WO3), zirconium oxide (ZrO), copper oxide (CuO), hafnium oxide (HfO), tantalum oxide (TaO), zinc oxide (ZnO), aluminum oxide (Al2O3), molybdenum oxide (MoO), and the like, but are not limited thereto. Inmost embodiments, the thickness of the resistance switching layer 34 is between 20 angstroms to 100 angstroms. In some embodiments, the thickness of the resistance switching layer 34 is from 30 angstroms to 70 angstroms, such as 50 angstroms. It should be noted that the material of the resistance switching layer 34 can be the same as that of the gate dielectric layer 18 of the gate structure 16. That is, during the manufacturing process, the gate dielectric layer 18 and the resistance switching layer 34 can be made in the same process to save process steps. However, the present invention is not limited thereto.
  • In addition, in some embodiments, since the source/drain region 24 is conductive, the source/drain region 24 may be used instead of the lower electrode of the RRAM 30. In other words, the lower electrode layer 32 of the RRAM 30 may be omitted. It should also be within the scope of the present invention.
  • The following description will detail the different embodiments of the semiconductor structure and the manufacturing method of the present invention. To simplify the description, the following description will detail the dissimilarities among the different embodiments and the identical features will not be redundantly described. In order to compare the differences between the embodiments easily, the identical components in each of the following embodiments are marked with identical symbols.
  • Please refer to FIG. 3, which illustrates a cross-sectional view of a semiconductor structure according to a second preferred embodiment of the present invention. In this embodiment, a fin transistor and a RRAM are also formed. The difference between this embodiment and the embodiment mentioned above is that in this embodiment, part of the fin structure is replaced by an epitaxial layer, and the epitaxial layer is used as the source/drain region of the fin transistor. More precisely, as shown in FIG. 2, after the gate structure is completed, a portion of the fin structures not covered by the gate structure is removed to form recesses on both sides of the gate structure, and then an epitaxial process is performed, to form an epitaxial layer 40 in each recess. According to different embodiments, the epitaxial layer 40 may comprise a silicon germanium epitaxial layer, which is used fora PMOS transistor, or the epitaxial layer 40 may comprise a silicon carbon epitaxial layer, which used for an NMOS transistor. An ion implantation process is then performed to implant the appropriate ions, or the implantation process may be performed during the epitaxial process. Thus, the epitaxial layer 40 can be used as a source/drain region. After the epitaxial layer 40 is formed, a silicide process (or a salicide process, not shown) may be performed to form silicide in the source/drain region, the silicide process may include a pre-clean process, a metal depositing process, an annealing process, a selective etching process, or a test process, etc.
  • The epitaxial layer 40 will grow along the lattice plane, and may have a polygonal cross-sectional structure. For example, the epitaxial layer 40 in this embodiment includes an upper inclined surface 40 a and a lower inclined surface 40 b. The upper inclined surface 40 a is disposed along the [111] plane, and the lower inclined surface 40 b is also disposed along the [111] plane. After the epitaxial layer 40 is formed, the RRAM 30 is formed on the epitaxial layer 40. In this embodiment, the RRAM 30 is formed along the surface of the epitaxial layer 40. The RRAM 30 also includes the lower electrode layer 32, the resistance switching layer 34 and the upper electrode layer 36. The material of each material layer is the same as that described in the first preferred embodiment, which will not be redundantly described herein.
  • Please refer to FIG. 4 and FIG. 5, which illustrate the cross-sectional views of the semiconductor structure of the third preferred embodiment of the present invention. In this embodiment, the fin structure 12 is remained to use as the source/drain region 24 of the fin transistor. However, after the source/drain region 24 is completed, as shown in FIG. 4, a dielectric layer 50 is formed to cover the fin transistor, and then a contact hole 52 is formed in the dielectric layer 50, to expose a portion of the source/drain region 24. Next, as shown in FIG. 5, a lower electrode layer 32′, a resistance switching layer 34′ and an upper electrode layer 36′ are sequentially formed in the contact hole 52. The lower electrode layer 32′, the resistance switching layer 34′ and the upper electrode layer 36′ constitute a RRAM 30′. In other words, the RRAM 30′in the present embodiment is formed in the contact hole 52. Regarding the material of the lower electrode layer 32′, the material of the resistance switching layer 34′ and the material of the upper electrode layer 36′ are the same as that of the lower electrode layer 32, the resistance switching layer 34 and the upper electrode layer 36 described in the above first preferred embodiment respectively, and it will not be described again here.
  • Please refer to FIG. 6, which illustrates a cross-sectional view of a semiconductor structure of a fourth preferred embodiment of the present invention. This embodiment is similar to the above mentioned third preferred embodiment, the RRAM is also formed in the contact hole. The difference between this embodiment and the above third preferred embodiment is that a portion of the fin structure is replaced by the epitaxial layer 40, and the epitaxial layer 40 is used as the source/drain regions of the fin transistor. The contact hole exposes the epitaxial layer 40, and then the RRAM 30′ is then formed in the contact hole, the epitaxial layer 40 has a non-planar top surface, and the RRAM 30′ directly contacts the non-planar top surface of the epitaxial layer 40. The other features are the same as those in the other embodiments described above, which will not be repeated here.
  • In subsequent steps, a contact structure, such as a pole contact structure or a slot contact structure, may be formed to electrically connect the RRAM and the fin transistor. FIG. 7 is a schematic diagram of the semiconductor structure connecting a slot contact structure according to the first preferred embodiment of the present invention. As shown in FIG. 7, after the RRAM and the fin transistor are completed (refer to FIG. 1), slot contact structures 62 are formed to cross the RRAM 30 and a portion of the fin structure 12. Besides, the slot contact structure 62 may further cross other fin structure (not shown) adjacent to the fin structure 12. In addition, in other embodiments, a pole contact structure may be used to replace the slot contact to electrically connect the RRAM or the fin transistor.
  • The present invention is characterized in that a fin transistor and a RRAM are combined with each other, and in particular, the RRAM is directly fabricated on the fin structure or on the epitaxial layer of the fin transistor, electrically connected to the source/drain regions of the fin transistor. Since the fin transistor has three-dimensional structure, the overall size of the semiconductor device can be reduced by integrating the RRAM and the fin transistor.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (12)

1. A semiconductor structure comprising:
a fin transistor (fin filed effect transistor, fin-FET) located on a substrate, wherein the fin transistor comprising a gate structure crossing over a fin structure, and at least one source/drain region; and
a resistive random access memory (RRAM) comprising a lower electrode, a resistive switching layer and an upper electrode sequentially located on the source/drain region and electrically connected to the fin transistor, wherein the lower electrode of the RRAM contacts a top surface and two sidewalls of the fin structure directly.
2. The semiconductor structure of claim 1, wherein the source/drain region has a flat top surface.
3. The semiconductor structure of claim 1, wherein the fin structure comprises an epitaxial region, the source/drain region is located in the epitaxial region, and the resistive random access memory crosses over the epitaxial region.
4. The semiconductor structure of claim 3, wherein the resistive random access memory directly contacts a portion of the epitaxial region.
5. The semiconductor structure of claim 3, wherein the epitaxial region has a non-planar top surface.
6. The semiconductor structure of claim 3, wherein the epitaxial region has at least one upper inclined surface on the [111] plane, and at least one lower inclined surface on the [111] plane.
7. The semiconductor structure of claim 3, wherein the epitaxial region has a polygonal cross-sectional structure.
8. The semiconductor structure of claim 1, further comprising a dielectric layer disposed on the substrate, and the dielectric layer includes a contact hole disposed therein, the contact hole exposes a portion of the fin structure.
9. The semiconductor structure of claim 8, wherein the resistive random access memory is located in the contact hole.
10. The semiconductor structure of claim 8, wherein the fin structure comprises an epitaxial region, and the contact hole exposes a portion of the epitaxial region.
11. The semiconductor structure of claim 10, wherein the resistive random access memory is located in the contact hole.
12. The semiconductor structure of claim 1, wherein the resistive random access memory directly contacts the source/drain region.
US15/818,673 2017-10-20 2017-11-20 Semiconductor structure and the method of making the same Active US10269868B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201710998624.0 2017-10-20
CN201710998624 2017-10-20
CN201710998624.0A CN109698213A (en) 2017-10-20 2017-10-20 Semiconductor structure and preparation method thereof

Publications (2)

Publication Number Publication Date
US10269868B1 US10269868B1 (en) 2019-04-23
US20190123104A1 true US20190123104A1 (en) 2019-04-25

Family

ID=66170068

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/818,673 Active US10269868B1 (en) 2017-10-20 2017-11-20 Semiconductor structure and the method of making the same

Country Status (2)

Country Link
US (1) US10269868B1 (en)
CN (1) CN109698213A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
US10964750B2 (en) * 2018-02-12 2021-03-30 International Business Machines Corporation Steep-switch field effect transistor with integrated bi-stable resistive system
US20210151504A1 (en) * 2019-11-14 2021-05-20 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same
US11189661B2 (en) * 2019-09-05 2021-11-30 International Business Machines Corporation FinFET 2T2R RRAM

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11751404B2 (en) * 2018-09-25 2023-09-05 Intel Corporation FinFET transistor based resistive random access memory
US10651378B1 (en) 2018-10-25 2020-05-12 International Business Machines Corporation Resistive random-access memory
US11683941B2 (en) * 2019-12-03 2023-06-20 International Business Machines Corporation Resistive random access memory integrated with vertical transport field effect transistors
CN114021505B (en) * 2022-01-06 2022-05-17 青岛展诚科技有限公司 Method and system for generating integrated circuit FinFET complex three-dimensional structure description file
CN115867123A (en) * 2022-12-07 2023-03-28 厦门半导体工业技术研发有限公司 Semiconductor device and manufacturing method thereof
CN116113313B (en) * 2023-02-23 2024-02-02 上海积塔半导体有限公司 Phase change memory device and method of manufacturing the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773537B1 (en) * 2003-06-03 2007-11-07 삼성전자주식회사 Nonvolatile memory device composing one switching device and one resistant material and method of manufacturing the same
KR100773564B1 (en) * 2006-03-17 2007-11-07 삼성전자주식회사 Non-volatile memory device having a pair of fins between which a void is defined and method of fabricating the same
KR101177282B1 (en) * 2006-03-24 2012-08-24 삼성전자주식회사 Manufacturing method for Semiconductor Memory device
JP4314259B2 (en) * 2006-09-29 2009-08-12 株式会社東芝 Nonvolatile semiconductor memory
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9025358B2 (en) * 2011-10-13 2015-05-05 Zeno Semiconductor Inc Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating
US9023699B2 (en) 2012-12-20 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random access memory (RRAM) structure and method of making the RRAM structure
US9029231B2 (en) * 2013-03-12 2015-05-12 Globalfoundries Singapore Pte. Ltd. Fin selector with gated RRAM
US9172036B2 (en) * 2013-11-22 2015-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Top electrode blocking layer for RRAM device
CN104752353B (en) * 2013-12-30 2017-11-03 中芯国际集成电路制造(上海)有限公司 The forming method of sram cell
US9178000B1 (en) 2014-04-29 2015-11-03 Intermolecular, Inc. Resistive random access memory cells having shared electrodes with transistor devices
TWI538108B (en) * 2014-05-08 2016-06-11 林崇榮 Non-volatile memory with resistive element and associated manufacturing method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10964750B2 (en) * 2018-02-12 2021-03-30 International Business Machines Corporation Steep-switch field effect transistor with integrated bi-stable resistive system
US10580977B2 (en) * 2018-07-24 2020-03-03 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
US11038103B2 (en) 2018-07-24 2021-06-15 International Business Machines Corporation Tightly integrated 1T1R ReRAM for planar technology
US11189661B2 (en) * 2019-09-05 2021-11-30 International Business Machines Corporation FinFET 2T2R RRAM
US20210151504A1 (en) * 2019-11-14 2021-05-20 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same
US11289541B2 (en) * 2019-11-14 2022-03-29 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same

Also Published As

Publication number Publication date
US10269868B1 (en) 2019-04-23
CN109698213A (en) 2019-04-30

Similar Documents

Publication Publication Date Title
US10269868B1 (en) Semiconductor structure and the method of making the same
US11158789B2 (en) Leakage resistant RRAM/MIM structure
US8399318B2 (en) Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US10692990B2 (en) Gate cut in RMG
US10879308B1 (en) Stacked nanosheet 4T2R unit cell for neuromorphic computing
US10283564B1 (en) Semiconductor structure and the method of making the same
US10186516B2 (en) One time programmable memory device, method of manufacturing the same, and electronic device including the same
US20160351569A1 (en) Semiconductor device and method for manufacturing the same
CN108400130B (en) Semiconductor device with a plurality of semiconductor chips
US11362108B2 (en) Semiconductor structure with a logic device and a memory device being formed in different levels, and method of forming the same
US20150179748A1 (en) Method for fabricating semiconductor device
US20230403860A1 (en) Embedded ferroelectric finfet memory device
US10121827B1 (en) Semiconductor structure and the method of making the same
US11271082B2 (en) Semiconductor devices with memory cells
CN113451507A (en) Memory device, method for forming the same, and integrated chip
US11818966B2 (en) Resistive random access memory and manufacturing method thereof
CN219269471U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
US11462552B2 (en) Semiconductor devices with memory cells
CN115440671A (en) One-time programmable memory element and manufacturing method thereof
CN115249711A (en) One-time programmable memory element
CN116437794A (en) Memory structure and forming method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, YU-RU;LIU, CHIH-CHIEN;HSIEH, CHAO-CHING;AND OTHERS;REEL/FRAME:044183/0280

Effective date: 20171116

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4