US20190019726A1 - Liner recess for fully aligned via - Google Patents

Liner recess for fully aligned via Download PDF

Info

Publication number
US20190019726A1
US20190019726A1 US15/647,977 US201715647977A US2019019726A1 US 20190019726 A1 US20190019726 A1 US 20190019726A1 US 201715647977 A US201715647977 A US 201715647977A US 2019019726 A1 US2019019726 A1 US 2019019726A1
Authority
US
United States
Prior art keywords
metal
liner
dielectric layer
cavities
metal material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/647,977
Other versions
US10181421B1 (en
Inventor
Errol Todd Ryan
Sean Xuan Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/647,977 priority Critical patent/US10181421B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RYAN, ERROL TODD, LIN, SEAN XUAN
Application granted granted Critical
Publication of US10181421B1 publication Critical patent/US10181421B1/en
Publication of US20190019726A1 publication Critical patent/US20190019726A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Definitions

  • the present invention relates to devices and methods of making devices with a recessed liner, and more particularly, to an intermediate semiconductor device with a recessed liner in a set of metal lines.
  • metal lines adjacent or near each other continue to become more difficult to achieve.
  • metal lines and/or metallization vias begin to short, or at minimum create leakage paths between them. Often a metal line from above must contact one metal line below but not the near or adjacent metal lines, and sufficient insulation becomes problematic.
  • a method that includes, for instance: obtaining an intermediate semiconductor device having a dielectric layer, an insulating layer, and a plurality of metal lines, the metal lines including a liner material and a first metal material; recessing the metal material of each metal line forming a set of cavities; filling the cavities with a protective cap; etching the protective cap and the liner material in the set of cavities; depositing a plurality of dielectric caps in the set of cavities; depositing an interlayer dielectric layer over the insulating layer and the plurality of dielectric caps; patterning an interconnect area in the interlayer dielectric layer; and depositing a lining and a second metal material in the interconnect area forming a via; wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of vias.
  • an intermediate semiconductor device includes, for instance: a dielectric layer; an insulating layer over the dielectric layer; a plurality of metal lines, the metal lines including a liner material and a first metal material, wherein the liner material does not extend to a top surface of the insulating layer; a dielectric cap over at least one of the plurality of metal lines, the dielectric cap having a top surface level with the top surface of the insulating layer; an interlayer dielectric layer over the insulating layer and the dielectric cap; an interconnect area in the interlayer dielectric layer and over the plurality of metal lines, the interconnect region including a lining and a second metal material; and at least one metal line of the plurality of metal lines being electrically connected to the interconnect area and forming a via; wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of vias by the dielectric cap.
  • FIG. 1 depicts one embodiment of a method of fabricating a device, in accordance with one or more aspects of the present invention.
  • FIGS. 2A-2H depicts a cross-sectional elevation view of one embodiment of an intermediate semiconductor device and methods for fabricating an integrated circuit having recessed liner material and a first metal material electrically insulated from a second metal material, in accordance with one or more aspects of the present invention.
  • intermediate semiconductor devices and methods of fabricating intermediate semiconductor devices.
  • a first metal material and a second metal material are electrically isolated from one another.
  • a method of analyzing a thin film may include obtaining an intermediate semiconductor device having a dielectric layer, an insulating layer, and a plurality of metal lines, the metal lines including a liner material and a first metal material 100 ; recessing the metal material of each metal line forming a set of cavities 110 ; filling the cavities with a protective cap 120 ; etching the protective cap and the liner material in the set of cavities 130 ; depositing a plurality of dielectric caps in the set of cavities 140 ; depositing an interlayer dielectric layer over the insulating layer and the plurality of dielectric caps 150 ; patterning an interconnect area in the interlayer dielectric layer 160 ; depositing a lining and a second metal material in the interconnect area 170 forming a via, wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of metal lines.
  • FIGS. 2A-2H depict, by way of example only, one detailed embodiment of a portion of a semiconductor device formation process and a portion of an intermediate semiconductor structure, in accordance with one or more aspects of the present invention. Note that these figures are not drawn to scale in order to facilitate understanding of the invention, and that the same reference numerals used throughout different figures designate the same or similar elements.
  • FIG. 2A shows a portion of an intermediate semiconductor device generally denoted 200 , depicted at an intermediate semiconductor fabrication stage.
  • device 200 can include interconnect structures formed, for instance, during back-end-of-line (BEOL) processing techniques.
  • BEOL back-end-of-line
  • the device 200 may have been processed through initial device processing steps in accordance with the design of the device 200 being fabricated.
  • the device 200 may include, for instance, a dielectric layer 205 , which may include any material with a smaller dielectric constant (k) than silicon dioxide. This layer can act as a substrate, or may be deposited on a substrate (not shown).
  • Dielectric layer 205 can include any dielectric materials, including but not limited to any inorganic dielectric materials, organic dielectric materials, or combinations thereof.
  • Suitable dielectric materials can include carbon-doped silicon dioxide materials; fluorinated silicate glass (FSG); organic polymeric thermoset materials; silicon oxycarbide; SiCOH dielectrics; fluorine doped silicon oxide; spin-on glasses; silsesquioxanes, including hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) and mixtures or copolymers of HSQ and MSQ; benzocyclobutene (BCB)-based polymer dielectrics, and any silicon-containing low-k dielectric.
  • FSG fluorinated silicate glass
  • organic polymeric thermoset materials silicon oxycarbide
  • SiCOH dielectrics fluorine doped silicon oxide
  • spin-on glasses silsesquioxanes, including hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) and mixtures or copolymers of HSQ and MSQ
  • silsesquioxanes including hydrogen
  • Examples of spin-on low-k films with SiCOH-type composition using silsesquioxane chemistry include HOSPTM (available from Honeywell), JSR 5109 and 5108 (available from Japan Synthetic Rubber), ZirkonTM (available from Shipley Microelectronics, a division of Rohm and Haas), and porous low-k (ELk) materials (available from Applied Materials).
  • Examples of carbon-doped silicon dioxide materials, or organosilanes include Black DiamondTM (available from Applied Materials) and CoralTM (available from Novellus).
  • An example of an HSQ material is FOxTM (available from Dow Corning).
  • dielectric materials include organic polymeric thermoset materials, consisting essentially of carbon, oxygen, and hydrogen. Additionally, dielectric materials may include the low-k polyarylene ether polymeric material known as SiLKTM (available from The Dow Chemical Company), and the low-k polymeric material known as FLARETM (available from Honeywell).
  • the substrate of device 200 may be, for example, a silicon on insulator (SOI) substrate (not shown).
  • SOI substrate may include an isolation layer (not shown), which may be a local buried oxide region (BOX) or any suitable material for electrically isolating transistors, aligned with the gate structure.
  • the device is a portion of a back end of line (BEOL) portion of an integrated circuit (IC).
  • Insulating layer 210 above the dielectric layer 205 may be an insulating layer 210 .
  • Insulating layer 210 can include a hardmask, which may include SiN, SiCNH, oxide, or similar hardmask materials.
  • Extending through the insulating layer 210 and into the dielectric layer 205 may be a set of metal lines 215 , which may include metal line contacts.
  • the set of metal lines 215 can include a liner material 220 deposited, for instance, by physical vapor deposition (PVD), atomic layer deposition (ALD), or another suitable method of lining vias 215 .
  • the liner material 220 can include tantalum nitride or other similar materials.
  • the set of metal lines 215 may also be filled with a first metal material 225 , which can include copper in one embodiment, or any other suitable material which is conductive.
  • the first metal material 225 may have been deposited by electroless deposition, physical vapor deposition, chemical vapor deposition, or electroplating techniques.
  • the first metal material 225 may be recessed.
  • a selective wet etch may be used which is capable of recessing the first metal material 225 while not etching the liner material 220 , forming a set of cavities 230 in the set of metal lines 215 .
  • the set of cavities 230 may be filled with a protective cap 235 .
  • the protective caps 235 can include an electroless metal, including but not limited to cobalt, nickel, chromium, silver, and a combination thereof, which can protect the underlying first metal material 225 during etching of the liner material 220 .
  • the electroless metal grows selectively on the first metal material 225 , for instance copper, and not on the liner material 220 , in order to fill the set of cavities 230 without any voiding defects.
  • the specific material of protective cap 235 is chosen based on the material of the liner material 220 and its respective etch rate, as described in more detail below.
  • Protective caps 235 may also include a selective chemical vapor deposition (CVD) material.
  • the protective caps 235 may be deposited by any now known or later developed deposition techniques, and polished, for instance by chemical mechanical polishing (CMP).
  • the liner material 220 and the protective cap 235 in each of the cavities 230 may be etched substantially simultaneously, removing the liner material 220 which could cause a short or leak later in the device after further processing.
  • the material of the protective cap 235 may change the etch behavior of liner material 220 from galvanic couple effect. For example, a silver cap could make the liner material easier to etch because silver thermodynamically is more noble than the liner material.
  • the protective cap 235 and the liner material 220 may have approximately the same etch rate, such that both are removed via the same etch, for instance a wet etch, for instance a mixture containing hydrogen peroxide, at approximately the same rate.
  • the depth of the etch is chosen for both materials and carried out simultaneously.
  • the protective cap 235 may have a higher etch rate than the liner material 220 . In these embodiments, during the etching process, the protective cap 235 will be removed at a faster rate than the liner material 220 . In some embodiments, the cavity 230 may be filled a second time with the material of the protective cap 235 , and a second etching process carried out to remove both materials. This can be repeated multiple times until the proper depth of the etch is achieved. In another embodiment, the protective cap 235 may have a lower etch rate than the etch rate of the liner material 220 .
  • some of the protective cap 235 may remain after the liner material 220 is etched to a desired height.
  • the remaining protective cap 235 material may be removed using a wet etch, for instance a hydrofluoric acid (HF) etch without damaging the first metal material 225 .
  • HF hydrofluoric acid
  • all of the liner material 220 is removed.
  • only a portion of liner material 220 is removed, but the remaining liner material 220 is entirely below a top surface of the insulating layer 210 , such that no portion of the remaining liner material 220 can contact any layers above the insulating layer 210 .
  • a plurality of dielectric caps 240 may be deposited in the cavities 230 , which are partially or completely empty following the etching.
  • the dielectric caps 240 can include any dielectric material or oxide material and may be deposited using chemical vapor deposition (CVD), atomic layer deposition (ALD), or plasma-enhanced chemical vapor deposition (PECVD), and can consist of more than one layer and more than one material.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • a top surface of the device may be polished, for instance by chemical mechanical polishing (CMP).
  • an interlayer dielectric (ILD) layer 245 may be deposited over the insulating layer 210 and the plurality of dielectric caps 240 .
  • the ILD layer 245 can include any dielectric material including ultra low-k (ULK) materials, and can consist of more than one layer and more than one material.
  • ULK ultra low-k
  • an interconnect area 250 may be patterned in the ILD layer 245 .
  • the interconnect area 250 can be of any shape to form an interconnect, or via, in some instances to at least one of the plurality of metal lines 215 , while being electrically insulated from at least one adjacent metal line, so as to prevent a via from forming.
  • Patterning can include any now known or later developed method of patterning, including but not limited to photolithography techniques.
  • the patterning includes removing at least a portion of the ILD layer 245 and a dielectric cap 240 of at least one metal line 215 .
  • an optional lining 255 and a second metal material 260 may be deposited in the interconnect area 250 .
  • the lining 255 may be deposited conformally along the exposed surface in the interconnect area 250 by PVD or ALD, or any similar conformal deposition technique.
  • the second metal material 260 which may be the same as first metal material 225 , or which may include any other conductive material, may be deposited by any metallization technique, including but not limited to electroplating.
  • at least one adjacent metal line 215 is completely electrically insulated and isolated from the second metal material 260 , and from the metal line electrically connected to the second metal material 260 .
  • TDDB time dependent dielectric breakdown
  • an intermediate semiconductor device 200 can include a dielectric layer 205 , in some embodiments disposed above a substrate (not shown), an insulating layer 210 over the dielectric layer 205 , and a plurality of metal lines 215 , which include a liner material 220 , which does not extend to a top surface of the insulating layer 210 , and a first metal material 225 , which extend through the insulating layer 210 and into the dielectric layer 205 . Disposed over the liner material 220 and first metal material 225 of at least one metal line 215 is a dielectric cap 240 , which has a top surface approximately equal with a top surface of the insulating layer 210 .
  • an ILD layer 245 Adjacent to the ILD layer 245 and over the plurality of metal lines 215 is an interconnect area 250 , which includes a lining 255 and a second metal material 260 , which is electrically connected to at least one metal lines of the plurality of metal lines 215 , forming a via to the connected metal line and electrically insulated from the first metal 225 of at least one of the metal lines by virtue of the dielectric cap 240 and the recessed liner material 220 .
  • the first metal material 225 includes copper
  • the second metal material 260 may be the same material, as the first metal material 225 .
  • the liner material 220 extends to a top surface of the dielectric layer 205 , creating a large gap for efficient insulation. In some embodiments, the liner 255 and the second metal material 260 extend into a cavity over the at least one metal lines below a top surface of the insulating material 210 , creating an electrical contact to the at least one metal line, creating an interconnect or via between the metal line 215 and the second metal 260 .
  • TDDB time dependent dielectric breakdown
  • a method or device that “comprises”, “has”, “includes” or “contains” one or more steps or elements possesses those one or more steps or elements, but is not limited to possessing only those one or more steps or elements.
  • a step of a method or an element of a device that “comprises”, “has”, “includes” or “contains” one or more features possesses those one or more features, but is not limited to possessing only those one or more features.
  • a device or structure that is configured in a certain way is configured in at least that way, but may also be configured in ways that are not listed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Devices and methods of fabricating devices are provided. One method includes: obtaining an intermediate semiconductor device having a dielectric layer, an insulating layer, and a plurality of metal lines, including a liner material and a first metal material; recessing the metal material of each metal line forming a set of cavities; filling the cavities with a protective cap; etching the protective cap and the liner material in the set of cavities; depositing a plurality of dielectric caps in the set of cavities; depositing an interlayer dielectric layer over the insulating layer and the plurality of dielectric caps; patterning a via in the interlayer dielectric layer; and depositing a lining and a second metal material in the interconnect area; wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of metal lines.

Description

    FIELD OF THE INVENTION
  • The present invention relates to devices and methods of making devices with a recessed liner, and more particularly, to an intermediate semiconductor device with a recessed liner in a set of metal lines.
  • BACKGROUND
  • For microstructures, especially integrated circuits, as the devices continue to shrink in size, the insulation of metal lines adjacent or near each other continues to become more difficult to achieve. As the feature size reduces, metal lines and/or metallization vias begin to short, or at minimum create leakage paths between them. Often a metal line from above must contact one metal line below but not the near or adjacent metal lines, and sufficient insulation becomes problematic.
  • Therefore, it may be desirable to develop devices and methods of forming devices which allow for contact to one metal line while insulating adjacent metal line(s).
  • BRIEF SUMMARY
  • The shortcomings of the prior art are overcome and additional advantages are provided through the provisions, in one aspect, a method that includes, for instance: obtaining an intermediate semiconductor device having a dielectric layer, an insulating layer, and a plurality of metal lines, the metal lines including a liner material and a first metal material; recessing the metal material of each metal line forming a set of cavities; filling the cavities with a protective cap; etching the protective cap and the liner material in the set of cavities; depositing a plurality of dielectric caps in the set of cavities; depositing an interlayer dielectric layer over the insulating layer and the plurality of dielectric caps; patterning an interconnect area in the interlayer dielectric layer; and depositing a lining and a second metal material in the interconnect area forming a via; wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of vias.
  • In another aspect, an intermediate semiconductor device includes, for instance: a dielectric layer; an insulating layer over the dielectric layer; a plurality of metal lines, the metal lines including a liner material and a first metal material, wherein the liner material does not extend to a top surface of the insulating layer; a dielectric cap over at least one of the plurality of metal lines, the dielectric cap having a top surface level with the top surface of the insulating layer; an interlayer dielectric layer over the insulating layer and the dielectric cap; an interconnect area in the interlayer dielectric layer and over the plurality of metal lines, the interconnect region including a lining and a second metal material; and at least one metal line of the plurality of metal lines being electrically connected to the interconnect area and forming a via; wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of vias by the dielectric cap.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • One or more aspects of the present invention are particularly pointed out and distinctly claimed as examples in the claims at the conclusion of the specification. The foregoing and other objects, features, and advantages of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:
  • FIG. 1 depicts one embodiment of a method of fabricating a device, in accordance with one or more aspects of the present invention; and
  • FIGS. 2A-2H depicts a cross-sectional elevation view of one embodiment of an intermediate semiconductor device and methods for fabricating an integrated circuit having recessed liner material and a first metal material electrically insulated from a second metal material, in accordance with one or more aspects of the present invention.
  • DETAILED DESCRIPTION
  • Aspects of the present invention and certain features, advantages, and details thereof, are explained more fully below with reference to the non-limiting embodiments illustrated in the accompanying drawings. Descriptions of well-known materials, fabrication tools, processing techniques, etc., are omitted so as to not unnecessarily obscure the invention in detail. It should be understood, however, that the detailed description and the specific examples, while indicating embodiments of the invention, are given by way of illustration only, and are not by way of limitation. Various substitutions, modifications, additions and/or arrangements within the spirit and/or scope of the underlying inventive concepts will be apparent to those skilled in the art from this disclosure. Note also that reference is made below to the drawings, which are not drawn to scale for ease of understanding, wherein the same reference numbers used throughout different figures designate the same or similar components.
  • Generally stated, disclosed herein are intermediate semiconductor devices and methods of fabricating intermediate semiconductor devices. Advantageously, a first metal material and a second metal material are electrically isolated from one another.
  • In one aspect, in one embodiment, as shown in FIG. 1, a method of analyzing a thin film may include obtaining an intermediate semiconductor device having a dielectric layer, an insulating layer, and a plurality of metal lines, the metal lines including a liner material and a first metal material 100; recessing the metal material of each metal line forming a set of cavities 110; filling the cavities with a protective cap 120; etching the protective cap and the liner material in the set of cavities 130; depositing a plurality of dielectric caps in the set of cavities 140; depositing an interlayer dielectric layer over the insulating layer and the plurality of dielectric caps 150; patterning an interconnect area in the interlayer dielectric layer 160; depositing a lining and a second metal material in the interconnect area 170 forming a via, wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of metal lines.
  • FIGS. 2A-2H depict, by way of example only, one detailed embodiment of a portion of a semiconductor device formation process and a portion of an intermediate semiconductor structure, in accordance with one or more aspects of the present invention. Note that these figures are not drawn to scale in order to facilitate understanding of the invention, and that the same reference numerals used throughout different figures designate the same or similar elements.
  • FIG. 2A shows a portion of an intermediate semiconductor device generally denoted 200, depicted at an intermediate semiconductor fabrication stage. In these embodiments and as illustrated, device 200 can include interconnect structures formed, for instance, during back-end-of-line (BEOL) processing techniques. The device 200 may have been processed through initial device processing steps in accordance with the design of the device 200 being fabricated. For example, the device 200 may include, for instance, a dielectric layer 205, which may include any material with a smaller dielectric constant (k) than silicon dioxide. This layer can act as a substrate, or may be deposited on a substrate (not shown). Dielectric layer 205 can include any dielectric materials, including but not limited to any inorganic dielectric materials, organic dielectric materials, or combinations thereof. Suitable dielectric materials can include carbon-doped silicon dioxide materials; fluorinated silicate glass (FSG); organic polymeric thermoset materials; silicon oxycarbide; SiCOH dielectrics; fluorine doped silicon oxide; spin-on glasses; silsesquioxanes, including hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) and mixtures or copolymers of HSQ and MSQ; benzocyclobutene (BCB)-based polymer dielectrics, and any silicon-containing low-k dielectric. Examples of spin-on low-k films with SiCOH-type composition using silsesquioxane chemistry include HOSP™ (available from Honeywell), JSR 5109 and 5108 (available from Japan Synthetic Rubber), Zirkon™ (available from Shipley Microelectronics, a division of Rohm and Haas), and porous low-k (ELk) materials (available from Applied Materials). Examples of carbon-doped silicon dioxide materials, or organosilanes, include Black Diamond™ (available from Applied Materials) and Coral™ (available from Novellus). An example of an HSQ material is FOx™ (available from Dow Corning). In some embodiments, dielectric materials include organic polymeric thermoset materials, consisting essentially of carbon, oxygen, and hydrogen. Additionally, dielectric materials may include the low-k polyarylene ether polymeric material known as SiLK™ (available from The Dow Chemical Company), and the low-k polymeric material known as FLARE™ (available from Honeywell).
  • In another embodiment (not shown), the substrate of device 200 may be, for example, a silicon on insulator (SOI) substrate (not shown). For example, the SOI substrate may include an isolation layer (not shown), which may be a local buried oxide region (BOX) or any suitable material for electrically isolating transistors, aligned with the gate structure. In some embodiments, the device is a portion of a back end of line (BEOL) portion of an integrated circuit (IC).
  • Still referring to FIG. 2A, above the dielectric layer 205 may be an insulating layer 210. Insulating layer 210, can include a hardmask, which may include SiN, SiCNH, oxide, or similar hardmask materials. Extending through the insulating layer 210 and into the dielectric layer 205 may be a set of metal lines 215, which may include metal line contacts. Thus, the set of metal lines 215 can include a liner material 220 deposited, for instance, by physical vapor deposition (PVD), atomic layer deposition (ALD), or another suitable method of lining vias 215. The liner material 220 can include tantalum nitride or other similar materials. The set of metal lines 215 may also be filled with a first metal material 225, which can include copper in one embodiment, or any other suitable material which is conductive. The first metal material 225 may have been deposited by electroless deposition, physical vapor deposition, chemical vapor deposition, or electroplating techniques.
  • As depicted in FIG. 2B, the first metal material 225 may be recessed. For instance, a selective wet etch may be used which is capable of recessing the first metal material 225 while not etching the liner material 220, forming a set of cavities 230 in the set of metal lines 215.
  • As depicted in FIG. 2C, the set of cavities 230 may be filled with a protective cap 235. The protective caps 235 can include an electroless metal, including but not limited to cobalt, nickel, chromium, silver, and a combination thereof, which can protect the underlying first metal material 225 during etching of the liner material 220. The electroless metal grows selectively on the first metal material 225, for instance copper, and not on the liner material 220, in order to fill the set of cavities 230 without any voiding defects. The specific material of protective cap 235 is chosen based on the material of the liner material 220 and its respective etch rate, as described in more detail below. Protective caps 235 may also include a selective chemical vapor deposition (CVD) material. In some embodiments, the protective caps 235 may be deposited by any now known or later developed deposition techniques, and polished, for instance by chemical mechanical polishing (CMP).
  • As depicted in FIG. 2D, the liner material 220 and the protective cap 235 in each of the cavities 230 may be etched substantially simultaneously, removing the liner material 220 which could cause a short or leak later in the device after further processing. The material of the protective cap 235 may change the etch behavior of liner material 220 from galvanic couple effect. For example, a silver cap could make the liner material easier to etch because silver thermodynamically is more noble than the liner material. In some embodiments, the protective cap 235 and the liner material 220 may have approximately the same etch rate, such that both are removed via the same etch, for instance a wet etch, for instance a mixture containing hydrogen peroxide, at approximately the same rate. In these embodiments, the depth of the etch is chosen for both materials and carried out simultaneously. In another embodiment, the protective cap 235 may have a higher etch rate than the liner material 220. In these embodiments, during the etching process, the protective cap 235 will be removed at a faster rate than the liner material 220. In some embodiments, the cavity 230 may be filled a second time with the material of the protective cap 235, and a second etching process carried out to remove both materials. This can be repeated multiple times until the proper depth of the etch is achieved. In another embodiment, the protective cap 235 may have a lower etch rate than the etch rate of the liner material 220. In these embodiments, some of the protective cap 235 may remain after the liner material 220 is etched to a desired height. The remaining protective cap 235 material may be removed using a wet etch, for instance a hydrofluoric acid (HF) etch without damaging the first metal material 225. In some embodiments, all of the liner material 220 is removed. In an alternative embodiment, only a portion of liner material 220 is removed, but the remaining liner material 220 is entirely below a top surface of the insulating layer 210, such that no portion of the remaining liner material 220 can contact any layers above the insulating layer 210.
  • As depicted in FIG. 2E, a plurality of dielectric caps 240 may be deposited in the cavities 230, which are partially or completely empty following the etching. The dielectric caps 240 can include any dielectric material or oxide material and may be deposited using chemical vapor deposition (CVD), atomic layer deposition (ALD), or plasma-enhanced chemical vapor deposition (PECVD), and can consist of more than one layer and more than one material. Following deposition of the plurality of ILD caps 240, a top surface of the device may be polished, for instance by chemical mechanical polishing (CMP).
  • As depicted in FIG. 2F, an interlayer dielectric (ILD) layer 245 may be deposited over the insulating layer 210 and the plurality of dielectric caps 240. The ILD layer 245 can include any dielectric material including ultra low-k (ULK) materials, and can consist of more than one layer and more than one material.
  • As depicted in FIG. 2G, an interconnect area 250 may be patterned in the ILD layer 245. The interconnect area 250 can be of any shape to form an interconnect, or via, in some instances to at least one of the plurality of metal lines 215, while being electrically insulated from at least one adjacent metal line, so as to prevent a via from forming. Patterning can include any now known or later developed method of patterning, including but not limited to photolithography techniques. In some embodiments, the patterning includes removing at least a portion of the ILD layer 245 and a dielectric cap 240 of at least one metal line 215.
  • As depicted in FIG. 2H, an optional lining 255 and a second metal material 260 may be deposited in the interconnect area 250. For instance, the lining 255 may be deposited conformally along the exposed surface in the interconnect area 250 by PVD or ALD, or any similar conformal deposition technique. The second metal material 260, which may be the same as first metal material 225, or which may include any other conductive material, may be deposited by any metallization technique, including but not limited to electroplating. As seen in FIG. 2H, at least one adjacent metal line 215 is completely electrically insulated and isolated from the second metal material 260, and from the metal line electrically connected to the second metal material 260. Thus, shorts may be eliminated in BEOL interconnect devices previously caused by the proximity of the liner to the second metal material. Additionally, leakage paths may be reduced or eliminated, which previously caused time dependent dielectric breakdown (TDDB) failures, by forming a via in the interconnect region but isolating adjacent metal lines.
  • Still referring to FIG. 2H, an intermediate semiconductor device 200 can include a dielectric layer 205, in some embodiments disposed above a substrate (not shown), an insulating layer 210 over the dielectric layer 205, and a plurality of metal lines 215, which include a liner material 220, which does not extend to a top surface of the insulating layer 210, and a first metal material 225, which extend through the insulating layer 210 and into the dielectric layer 205. Disposed over the liner material 220 and first metal material 225 of at least one metal line 215 is a dielectric cap 240, which has a top surface approximately equal with a top surface of the insulating layer 210. Above a portion of the insulating layer 210 is an ILD layer 245. Adjacent to the ILD layer 245 and over the plurality of metal lines 215 is an interconnect area 250, which includes a lining 255 and a second metal material 260, which is electrically connected to at least one metal lines of the plurality of metal lines 215, forming a via to the connected metal line and electrically insulated from the first metal 225 of at least one of the metal lines by virtue of the dielectric cap 240 and the recessed liner material 220. In some embodiments, the first metal material 225 includes copper, and the second metal material 260 may be the same material, as the first metal material 225. In some embodiments, the liner material 220 extends to a top surface of the dielectric layer 205, creating a large gap for efficient insulation. In some embodiments, the liner 255 and the second metal material 260 extend into a cavity over the at least one metal lines below a top surface of the insulating material 210, creating an electrical contact to the at least one metal line, creating an interconnect or via between the metal line 215 and the second metal 260.
  • Thus, using embodiments described above, by recessing the liner material in the metal lines, shorts may be eliminated in BEOL interconnect devices which were previously caused by the proximity of the liner material to the second metal material. Additionally, leakage paths may be reduced or eliminated, which can cause time dependent dielectric breakdown (TDDB) failures. This allows for smaller features to be fabricated, shrinking the size of BEOL interconnect structures.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprise” (and any form of comprise, such as “comprises” and “comprising”), “have” (and any form of have, such as “has” and “having”), “include” (and any form of include, such as “includes” and “including”), and “contain” (and any form contain, such as “contains” and “containing”) are open-ended linking verbs. As a result, a method or device that “comprises”, “has”, “includes” or “contains” one or more steps or elements possesses those one or more steps or elements, but is not limited to possessing only those one or more steps or elements. Likewise, a step of a method or an element of a device that “comprises”, “has”, “includes” or “contains” one or more features possesses those one or more features, but is not limited to possessing only those one or more features. Furthermore, a device or structure that is configured in a certain way is configured in at least that way, but may also be configured in ways that are not listed.
  • The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below, if any, are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiment was chosen and described in order to best explain the principles of one or more aspects of the invention and the practical application, and to enable others of ordinary skill in the art to understand one or more aspects of the invention for various embodiments with various modifications as are suited to the particular use contemplated.

Claims (20)

What is claimed is:
1. A method comprising:
obtaining an intermediate semiconductor device having a dielectric layer, an insulating layer, and a plurality of metal lines, the metal lines including a liner material and a first metal material;
recessing the metal material of each metal line forming a set of cavities;
filling the cavities with a protective cap;
etching the protective cap and the liner material in the set of cavities;
depositing a plurality of dielectric caps in the set of cavities;
depositing an interlayer dielectric layer over the insulating layer and the plurality of dielectric caps;
patterning an interconnect area in the interlayer dielectric layer; and
depositing a second metal material in the interconnect area to form a via;
wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of metal lines.
2. The method of claim 1, wherein the first metal material comprises copper.
3. The method of claim 1, wherein the protective cap comprises an electroless metal or a selective chemical vapor deposition material.
4. The method of claim 3, wherein an etch rate of the electroless metal and an etch rate of the liner material are approximately equal.
5. The method of claim 3, wherein an etch rate of the electroless metal is higher than an etch rate of the liner material.
6. The method of claim 5, further comprising:
filling the cavities a second time with the electroless metal; and
etching the electroless metal and the remaining lining material in the set of cavities.
7. The method of claim 3, wherein an etch rate of the electroless metal is lower than an etch rate of the liner material.
8. The method of claim 7, further comprising:
removing a remaining portion of the protective cap following the etching.
9. The method of claim 8, wherein the removing comprises a wet etch.
10. The method of claim 9, wherein the wet etch comprises a hydrofluoric acid or a hydrogen peroxide mixture.
11. The method of claim 3, wherein the electroless metal comprises cobalt, nickel, chromium, silver, or a combination thereof.
12. The method of claim 1, wherein patterning includes removing a portion of the interlayer dielectric layer and the dielectric cap of at least one metal line of the set of metal lines.
13. The method of claim 12, wherein the second metal material is the same metal as the first metal material.
14. The method of claim 1, wherein the etching removes the entirety of the liner material.
15. The method of claim 1, wherein the etching removes only a portion of the liner material such that the liner material is entirely below a top surface of the insulating layer.
16. An intermediate semiconductor device comprising:
a dielectric layer;
an insulating layer over the dielectric layer;
a plurality of metal lines, the metal lines including a liner material and a first metal material, wherein the liner material does not extend to a top surface of the insulating layer;
a dielectric cap over at least one of the plurality of metal lines, the dielectric cap having a top surface level with the top surface of the dielectric layer;
an interlayer dielectric layer over a portion of the insulating layer;
an interconnect area adjacent to the interlayer dielectric layer and over the plurality of metal lines, the interconnect region including a second metal material forming a via; and
at least one metal line of the plurality of metal lines being electrically connected to the interconnect area;
wherein the second metal material is electrically insulated from the first metal in at least one of the plurality of metal lines by the dielectric cap.
17. The device of claim 16, wherein the first metal material comprises copper.
18. The device of claim 16, wherein the second metal material is the same metal as the first metal material.
19. The device of claim 16, wherein the liner extends to a top surface of the dielectric layer.
20. The device of claim 16, wherein the liner and the second metal material extend into a cavity over the at least one metal line below a top surface of the insulating material.
US15/647,977 2017-07-12 2017-07-12 Liner recess for fully aligned via Active 2037-08-25 US10181421B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/647,977 US10181421B1 (en) 2017-07-12 2017-07-12 Liner recess for fully aligned via

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/647,977 US10181421B1 (en) 2017-07-12 2017-07-12 Liner recess for fully aligned via

Publications (2)

Publication Number Publication Date
US10181421B1 US10181421B1 (en) 2019-01-15
US20190019726A1 true US20190019726A1 (en) 2019-01-17

Family

ID=64953776

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/647,977 Active 2037-08-25 US10181421B1 (en) 2017-07-12 2017-07-12 Liner recess for fully aligned via

Country Status (1)

Country Link
US (1) US10181421B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11152299B2 (en) 2020-03-03 2021-10-19 International Business Machines Corporation Hybrid selective dielectric deposition for aligned via integration
US11211291B2 (en) 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
US11239165B2 (en) 2020-03-10 2022-02-01 International Business Machines Corporation Method of forming an interconnect structure with enhanced corner connection
US11244861B2 (en) 2020-04-21 2022-02-08 International Business Machines Corporation Method and structure for forming fully-aligned via
US11244853B2 (en) 2020-04-23 2022-02-08 International Business Machines Corporation Fully aligned via interconnects with partially removed etch stop layer
US11244854B2 (en) 2020-03-24 2022-02-08 International Business Machines Corporation Dual damascene fully aligned via in interconnects
US11495538B2 (en) 2020-07-18 2022-11-08 International Business Machines Corporation Fully aligned via for interconnect

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR20210004231A (en) 2019-07-03 2021-01-13 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
US11171044B1 (en) * 2020-05-08 2021-11-09 International Business Machines Corporation Planarization controllability for interconnect structures

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979791B2 (en) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP2002009149A (en) * 2000-06-20 2002-01-11 Toshiba Corp Semiconductor device and its manufacturing method
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
JP4167672B2 (en) * 2005-04-20 2008-10-15 シャープ株式会社 Manufacturing method of semiconductor device
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
CN102332425A (en) * 2011-09-23 2012-01-25 复旦大学 Method for enhancing electromigration resistance property in copper interconnection technology
US9653399B2 (en) * 2015-02-13 2017-05-16 Qualcomm Incorporated Middle-of-line integration methods and semiconductor devices
US9520321B2 (en) * 2015-02-27 2016-12-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with self-aligned vias
US9633941B2 (en) * 2015-08-21 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11152299B2 (en) 2020-03-03 2021-10-19 International Business Machines Corporation Hybrid selective dielectric deposition for aligned via integration
US11239165B2 (en) 2020-03-10 2022-02-01 International Business Machines Corporation Method of forming an interconnect structure with enhanced corner connection
US11244854B2 (en) 2020-03-24 2022-02-08 International Business Machines Corporation Dual damascene fully aligned via in interconnects
US11211291B2 (en) 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
US11244861B2 (en) 2020-04-21 2022-02-08 International Business Machines Corporation Method and structure for forming fully-aligned via
US11244853B2 (en) 2020-04-23 2022-02-08 International Business Machines Corporation Fully aligned via interconnects with partially removed etch stop layer
US11495538B2 (en) 2020-07-18 2022-11-08 International Business Machines Corporation Fully aligned via for interconnect

Also Published As

Publication number Publication date
US10181421B1 (en) 2019-01-15

Similar Documents

Publication Publication Date Title
US10181421B1 (en) Liner recess for fully aligned via
US10475703B2 (en) Structure and formation method of damascene structure
US11088020B2 (en) Structure and formation method of interconnection structure of semiconductor device
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US11398405B2 (en) Method and apparatus for back end of line semiconductor device processing
CN100499107C (en) Back-end metallation structure and manufacturing method thereof
US7550822B2 (en) Dual-damascene metal wiring patterns for integrated circuit devices
US8791013B2 (en) Pattern forming method
US8373273B2 (en) Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
CN106898595B (en) Interconnection line structure and manufacturing method thereof
US7179747B2 (en) Use of supercritical fluid for low effective dielectric constant metallization
CN110890319A (en) Method for fabricating interconnection line of semiconductor device
US7485963B2 (en) Use of supercritical fluid for low effective dielectric constant metallization
CN102468300A (en) Back-end-of-line planar resistor and method of forming the same
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
US9431292B1 (en) Alternate dual damascene method for forming interconnects
US10177091B2 (en) Interconnect structure and method of forming
US20120199980A1 (en) Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
US10964636B2 (en) Interconnect structure with low resistivity and method for forming the same
US20170148735A1 (en) Interconnect Structure for Semiconductor Devices
US7662711B2 (en) Method of forming dual damascene pattern
KR100818046B1 (en) The fabricating method of metal line
US11699650B2 (en) Integrated circuit structure with capacitor electrodes in different ILD layers, and related methods
CN108231659B (en) Interconnect structure and method of making the same
KR20070037524A (en) Method for fabricating interconnection line in a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RYAN, ERROL TODD;LIN, SEAN XUAN;SIGNING DATES FROM 20170627 TO 20170711;REEL/FRAME:042989/0132

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4