US20180190817A1 - Method and structure to provide integrated long channel vertical finfet device - Google Patents

Method and structure to provide integrated long channel vertical finfet device Download PDF

Info

Publication number
US20180190817A1
US20180190817A1 US15/393,400 US201615393400A US2018190817A1 US 20180190817 A1 US20180190817 A1 US 20180190817A1 US 201615393400 A US201615393400 A US 201615393400A US 2018190817 A1 US2018190817 A1 US 2018190817A1
Authority
US
United States
Prior art keywords
fin
well region
main gate
over
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/393,400
Other versions
US10014409B1 (en
Inventor
Xusheng Wu
David Paul Brunco
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/393,400 priority Critical patent/US10014409B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BRUNCO, DAVID P., WU, XUSHENG
Priority to US16/007,023 priority patent/US11081398B2/en
Application granted granted Critical
Publication of US10014409B1 publication Critical patent/US10014409B1/en
Publication of US20180190817A1 publication Critical patent/US20180190817A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions

Definitions

  • the present application relates generally to semiconductor devices, and particularly to vertical fin field effect transistors (V-FinFETs) and their methods of fabrication.
  • V-FinFETs vertical fin field effect transistors
  • Fin field effect transistors present a three-dimensional architecture where the transistor channel is raised above the surface of a semiconductor substrate, rather than locating the channel at or just below the surface. With a raised channel, the gate can be wrapped around or formed over the sides of the channel, which provides improved electrostatic control of the device.
  • FinFETs typically leverages a self-aligned process to produce extremely thin fins, e.g., 20 nm wide or less, on the surface of a substrate using selective-etching techniques.
  • a gate structure is then deposited to contact multiple surfaces of each fin to form a multi-gate architecture.
  • Vertical FETs are devices where the source-drain current flows in a direction normal to the substrate surface.
  • the fin defines the transistor channel with the source and drain regions located at opposing (i.e., upper and lower) ends of the fin.
  • the channel length is typically defined by etch back of the gate conductor.
  • a challenge associated with a vertical FET architecture is the ability to co-integrate devices having different channel lengths on the same substrate or chip.
  • the formation of long channel devices, such as where the channel length exceeds the height of the fin, is problematic. Accordingly, it would be advantageous to provide a robust, vertical FinFET manufacturing process and associated structure that are compatible with existing circuit designs, while providing a variable channel length, i.e., both short and long channel devices, on a common platform.
  • a method of forming a vertical FinFET device involves forming a gate conductor over the sidewall of a fin and extending the gate conductor laterally over a top surface of a well region adjacent to the fin.
  • the extension of the gate conductor over the well region effectively increases the channel length of the device.
  • such a device includes both a vertical and a horizontal component to the gate, which can be defined lithographically.
  • a method of making a vertical FinFET device includes forming a fin having a height (H) on a semiconductor substrate, forming a well region in the substrate, where a lower end of the fin is in contact with the well region, and forming a bottom source/drain region in the substrate that is laterally adjacent the well region.
  • a main gate stack including a main gate dielectric and a main gate conductor is formed over one sidewall of the fin, such that the main gate stack extends laterally over the well region and the bottom source/drain region on at least one side of the fin.
  • a portion of the main gate conductor over the bottom source/drain region is etched to define a channel region between the main gate conductor and the fin and between the main gate conductor and the well region.
  • a vertical FinFET includes a fin disposed on a semiconductor substrate and in contact with a well region disposed within the substrate.
  • a main gate stack comprising a main gate dielectric and main gate conductor is disposed over at least one sidewall of the fin and extends laterally over a portion of the well region on at least one side of the fin.
  • a channel region extends between the main gate conductor and the fin and between the main gate conductor and the well region on the at least one side of the fin.
  • the FinFET further includes a bottom source/drain region laterally adjacent to the well region on the at least one side of the fin, wherein the portion of the well region underlying the main gate stack is disposed between the bottom source/drain region and the well region in contact with the lower end of the fin.
  • FIG. 1 is a simplified schematic diagram of a short channel vertical fin field effect transistor at an intermediate stage of fabrication showing a semiconductor fin extending upward from a bottom source/drain region and a gate conductor disposed over opposing sidewalls of the fin;
  • FIG. 2 is a simplified schematic diagram of an example long channel vertical fin field effect transistor at an intermediate stage of fabrication showing a semiconductor fin extending upward from a well region and a gate conductor disposed over sidewalls of the fin and extending laterally over the well region;
  • FIG. 3 is a cross-sectional view of a semiconductor structure showing plural semiconductor fins arranged in respective device regions over a semiconductor substrate following the deposition of a bottom spacer;
  • FIG. 4 is a cross-sectional view of a semiconductor structure depicting the removal of the bottom spacer from within long channel device regions according to various embodiments;
  • FIG. 5 is a cross-sectional view of a semiconductor structure depicting the formation of a conformal dielectric layer over the structure of FIG. 4 ;
  • FIG. 6 is a cross-sectional view of a semiconductor structure depicting masking of a first long channel device region and removal of the conformal dielectric layer from each of a second long channel device region and a short channel device region;
  • FIG. 7 shows removal of the masking layer from the structure of FIG. 6 ;
  • FIG. 8 is a cross-sectional view of a semiconductor structure illustrating the formation of a gate stack comprising a gate dielectric and a gate conductor over the structure of FIG. 7 ;
  • FIG. 9 is a cross-sectional view of a semiconductor structure depicting patterning of the gate stack in each of the device regions.
  • FIG. 10 is a cross-sectional view of a semiconductor structure illustrating etching of the gate conductor to define long channel devices and short channels within respective device regions.
  • Embodiments of the application relate generally to the manufacture of semiconductor devices, and more particularly to the manufacture of vertical fin field effect transistors (V-FinFETs).
  • Exemplary structures include vertical fin field effect transistors having a controlled channel length, and in various embodiments include both a short channel device and long channel device.
  • short channel As used herein, the terms “short channel,” “short channel vertical fin field effect device,” “short channel structure” and the like refer to devices or structures where the channel length is less than the height of the fin.
  • Example short channel structures have a channel length of 15 to 100 nm, e.g., 15, 20, 30, 40, 50, 60, 70, 80, 90 or 100 nm, including ranges between any of the foregoing values.
  • long channel “long channel vertical fin field effect device,” “long channel structure” and the like refer to devices or structures where the channel length is greater than or equal to the height of the fin.
  • Example long channel structures have a channel length of greater than 100 nm, e.g., 100, 120, 150, 180 or 200 nm, including ranges between any of the foregoing values.
  • FIG. 1 An example short channel vertical fin field effect transistor structure is shown in FIG. 1 .
  • the structure includes a semiconductor substrate 100 with a semiconductor fin 200 extending upward from a bottom source/drain region 300 .
  • Bottom source/drain region 300 may comprise a doped semiconductor material while the fin 200 may comprise an undoped or lightly doped semiconductor material with a dopant polarity opposite to that of the bottom source/drain region 300 , as will be appreciated by one skilled in the art.
  • a gate stack 400 comprising a gate dielectric 410 and a gate conductor 420 is disposed over sidewalls of the fin 200 .
  • the gate dielectric layer 410 is formed directly over the fin sidewalls and is separated from the bottom source/drain region 300 by a bottom spacer 320 .
  • Bottom spacer 320 is adapted to electrically isolate the bottom source/drain region 300 from the gate stack 400 .
  • the thickness and composition of the bottom spacer 320 can be selected in order to provide an acceptably low parasitic capacitance between the bottom source/drain region 300 and the gate stack 400 .
  • the gate conductor 420 is disposed over opposing sidewalls of the fin, i.e., directly over the gate dielectric layer 410 , defining a channel region having a channel length L and a channel width w on each sidewall of the fin.
  • a top spacer 520 isolates the gate stack 400 from a top source/drain region 500 . Electrical contacts to the gate, top source/drain, and bottom source/drain have been omitted for clarity. The direction of current flow through the device during operation is shown schematically by dashed arrows.
  • the channel length is defined by the dimensions of the overlying gate conductor 420 , 422 , 424 .
  • reference herein to control of the channel length relates also to control of the gate length, and vice versa, unless the context indicates otherwise.
  • FIG. 2 is a simplified schematic diagram of an example long channel vertical fin field effect transistor according to various embodiments.
  • the long channel structure includes a semiconductor fin 200 that extends upward from a well region 305 .
  • Well region 305 may comprise a lightly doped semiconductor material with a dopant polarity opposite to that of the bottom source/drain region 300 , as will be appreciated by one skilled in the art.
  • the well region may be doped to a peak concentration of 1 ⁇ 10 16 to 1 ⁇ 10 19 atoms/cm 3 .
  • a gate stack 400 comprising a first gate dielectric layer 405 , a second gate dielectric layer 410 , and a gate conductor 420 is disposed over sidewalls of the fin 200 .
  • the first gate dielectric layer 405 is formed directly over the well region 305 and over the sidewalls of the fin 200
  • the second gate dielectric layer 410 is formed directly over the first gate dielectric layer 405 .
  • the gate conductor includes a main gate 422 and secondary gate 424 disposed over opposing sidewalls of the fin, i.e., directly over the second gate dielectric layer 410 .
  • the main gate 422 also extends laterally over the well 305 adjacent to the fin, defining a channel region having a channel length (l h +l v ) and a channel width w.
  • the main gate 422 includes a horizontal component (l h ) in addition to a vertical component (l v ).
  • the channel length of the device is greater than the vertical distance between the bottom source/drain region and the top source/drain region.
  • horizontal refers to a general direction along a primary surface of a substrate
  • vertical is a direction generally orthogonal thereto.
  • vertical and horizontal are generally perpendicular directions relative to one another independent of orientation of the substrate in three-dimensional space.
  • the main gate 422 extends laterally in a direction orthogonal to a length direction of the fin 200 .
  • the length (l h ) of the extended portion of the main gate 422 measured from the fin sidewall may range from 5 to 200 nm, e.g., 5, 10, 20, 50, 100, 150 or 200 nm, including ranges between any of the foregoing values.
  • the secondary gate 424 is characterized by a vertical gate length, l v .
  • the direction of current flow through the device during operation is shown schematically by dashed arrows according to various embodiments.
  • the channel length may be defined independent of the fin height. That is, the channel length may be less than, equal to, or even greater than the height of the fin.
  • vertical FinFET devices having different channel lengths can be formed from fins having substantially identical dimensions by lithographically defining the horizontal component of the channel, I h .
  • the channel length of plural devices formed on the same substrate may independently range from 15 to 200 nm, e.g., 15, 20, 30, 40, 50, 80, 100, 120, 150, 180 or 200 nm, including ranges between any of the foregoing values.
  • a top spacer layer 520 is formed over a recessed gate stack. Formation of the top spacer layer 520 may include a conformal deposition process such as chemical vapor deposition (CVD), followed by an anisotropic etch to remove the spacer material(s) from horizontal surfaces and expose the fins along top surfaces thereof.
  • CVD chemical vapor deposition
  • the thickness of the top spacer 520 may range from 1 to 30 nm, e.g., 1, 2, 5, 10, 20 or 30 nm, including ranges between any of the foregoing values.
  • the top spacer 520 may comprise, for example, silicon dioxide (SiO 2 ).
  • top spacer 520 may comprise other dielectric materials such as silicon nitride, silicon oxynitride, a low-k material, or any suitable combination of these materials.
  • Top spacer layer 520 is adapted to isolate the top source/drain region 500 from the gate of the transistor.
  • Top source/drain region 500 may be formed by selective epitaxial growth from exposed portions of the semiconductor fin 200 .
  • the terms “epitaxy,” “epitaxial” and/or “epitaxial growth and/or deposition” refer to the growth of a semiconductor material layer on a deposition surface of a semiconductor material, in which the semiconductor material layer being grown assumes the same crystalline habit as the semiconductor material of the deposition surface.
  • chemical reactants provided by source gases are controlled and the system parameters are set so that depositing atoms alight on the deposition surface and remain sufficiently mobile via surface diffusion to orient themselves according to the crystalline orientation of the atoms of the deposition surface.
  • an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed.
  • an epitaxial semiconductor material deposited on a (100) crystal surface will take on a (100) orientation.
  • Top source/drain junctions 270 may comprise silicon, silicon germanium, or another suitable semiconductor material.
  • the selective epitaxy process deposits an epitaxial layer directly onto the exposed surfaces of the fin.
  • Exposed surfaces of the fins may include the top surface as well as upper portions of the sidewalls of the fin proximate to the top surface.
  • a silicon epitaxial layer is formed without deposition of silicon on the exposed dielectric surfaces.
  • Selective epitaxial layers may be formed using molecular beam epitaxy or chemical vapor deposition processes that are adapted for selective epitaxy.
  • An example silicon epitaxial process for forming top source/drain region 500 uses a gas mixture including H 2 and dichlorosilane (SiH 2 Cl 2 ) at a deposition (e.g., substrate) temperature of 300-800° C.
  • gases mixture including H 2 and dichlorosilane (SiH 2 Cl 2 ) at a deposition (e.g., substrate) temperature of 300-800° C.
  • suitable gas sources for silicon epitaxy include silicon tetrachloride (SiCl 4 ), silane (SiH 4 ), trichlorosilane (SiHCl 3 ), and other hydrogen-reduced chlorosilanes (SiH 2 Cl 4-x ).
  • Top source/drain region 500 may be doped, as will be appreciated by one skilled in the art.
  • the dopant type and concentration with the top source/drain region 500 may be equal to the dopant type and the dopant concentration within the bottom source/drain region 300 .
  • the top source/drain region and the bottom source/drain region may be independently doped to a peak concentration of 5 ⁇ 10 19 to 1 ⁇ 10 21 atoms/cm 3 .
  • Control of the channel length includes the formation of both short channel and long channel devices, such as the formation of such devices on a single semiconductor substrate.
  • the disclosed methods and structures relate to the formation of more than one type of device. For instance, in addition to variable channel length structures, both thin gate devices and thick gate devices can be manufactured on the same semiconductor substrate, where each type of device may include a short channel architecture or a long channel architecture.
  • Thin gate FinFETs are generally used in high-performance, low supply voltage (V dd ) logic circuits, and thick gate FinFETs are generally used in high V dd input/output (I/O) circuits.
  • V dd low supply voltage
  • I/O input/output
  • a layer of photoresist is then selectively applied to the fins of the thick gate device, and lithographic and etching techniques are used to remove the dielectric layer from over the fins not protected by the photoresist layer, i.e., in regions where thin gate structure will be formed. Thick gate devices will be formed in regions where the dielectric layer is not removed.
  • a gate stack comprising a gate dielectric and a gate conductor is then deposited over the fins, as well as over the dielectric layer in the thick gate regions. Additional patterning and etching steps are used to cut the gate conductor layer and define the channel length for each of the devices.
  • FIG. 3 depicts a vertical FinFET structure at an intermediate stage of fabrication.
  • the structure includes a semiconductor substrate 100 having a plurality of fins 200 formed thereon.
  • Semiconductor substrate 100 may be a bulk substrate or a hybrid substrate such as a semiconductor-on-insulator (SOI) substrate, and may include a semiconductor material such as silicon, such as single crystal Si, polycrystalline Si, or a silicon-containing material.
  • SOI semiconductor-on-insulator
  • Silicon-containing materials include, single crystal silicon germanium (SiGe), polycrystalline silicon germanium, silicon doped with carbon (Si:C), amorphous Si, as well as combinations and multi-layers thereof.
  • single crystal denotes a crystalline solid, in which the crystal lattice of the entire solid is substantially continuous and substantially unbroken to the edges of the solid with substantially no grain boundaries.
  • the substrate 100 is not limited to silicon-containing materials, however, the substrate 100 may comprise other semiconductor materials, including Ge and compound semiconductors, including III-V compound semiconductors such as GaAs, InAs, GaN, GaP, InSb, ZnSe, and ZnS, and II-VI compound semiconductors such as CdSe, CdS, CdTe, ZnSe, ZnS and ZnTe.
  • III-V compound semiconductors such as GaAs, InAs, GaN, GaP, InSb, ZnSe, and ZnS
  • II-VI compound semiconductors such as CdSe, CdS, CdTe, ZnSe, ZnS and ZnTe.
  • substrate 100 is a bulk silicon substrate.
  • the semiconductor substrate 100 may be an SOI substrate that comprises, from bottom to top, a handle portion, an isolation layer, and a semiconductor material layer.
  • Substrate 100 may have dimensions as typically used in the art and may comprise, for example, a semiconductor wafer.
  • Example wafer diameters include, but are not limited to, 50, 100, 150, 200, 300 and 450 mm.
  • the total substrate thickness may range from 250 microns to 1500 microns, although in particular embodiments the substrate thickness is in the range of 725 to 775 microns, which corresponds to thickness dimensions commonly used in silicon CMOS processing.
  • the semiconductor substrate 100 may comprise (100)-oriented silicon or (111)-oriented silicon, for example.
  • the fins 200 are formed by patterning and then etching the semiconductor substrate 100 , i.e., a top portion of the semiconductor substrate, such that the fins are contiguous with the substrate 100 .
  • the patterning process may comprise photolithography, which includes forming a layer of photoresist material (not shown) atop a material or material stack to be patterned.
  • the deposited photoresist is then subjected to a pattern of irradiation, and the exposed photoresist material is developed utilizing a conventional resist developer.
  • this provides a patterned layer of photoresist atop a hard mask layer 250 , which is disposed over a top surface of the substrate 100 .
  • the pattern provided by the patterned photoresist material is thereafter transferred into the underlying material layer or material layers (e.g., hard mask 250 and substrate 100 ) utilizing at least one pattern transfer etching process.
  • hard mask 250 comprises a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride or combinations thereof.
  • the hard mask layer 250 includes a layer of silicon oxide and an overlying layer of silicon nitride.
  • the hard mask may include a layer of silicon oxide disposed directly over the top surfaces of the fins, and a layer of silicon nitride disposed directly over the layer of silicon oxide.
  • the thickness of the hard mask layer may range from 15 to 50 nm, e.g., 15, 20, 30, 40 or 50 nm, including ranges between any of the foregoing values.
  • the patterned hard mask layer 250 overlies respective ones of the fins 200 .
  • the pattern transfer etching process is typically an anisotropic etch.
  • a dry etching process such as, for example, reactive ion etching can be used.
  • a wet chemical etchant can be used.
  • a combination of dry etching and wet etching can be used.
  • the fins 200 may be formed using a sidewall image transfer (SIT) process, which includes formation of a spacer material on sidewall surfaces of a mandrel.
  • the spacer includes a material that has a different etch selectivity than the mandrel such that, after spacer formation, the mandrel is removed by etching.
  • SIT sidewall image transfer
  • a “fin” refers to a contiguous semiconductor material that includes a pair of vertical sidewalls that are parallel to each other.
  • a surface is “vertical” if there exists a vertical plane from which the surface does not deviate by more than three times the root mean square roughness of the surface.
  • the as-formed fins 200 are free standing, i.e., supported only by the substrate 100 , and can comprise a single crystal semiconductor material that extends along a lengthwise direction.
  • a “lengthwise direction” is a horizontal direction along which an object extends the most.
  • a “widthwise direction” (W) is a horizontal direction that is perpendicular to the lengthwise direction.
  • Each fin has a height (H) that may range from 10 nm to 100 nm and a width (W) that may range from 4 nm to 30 nm. Other heights and widths that are less than or greater than the values mentioned can also be used.
  • the fins 200 may have an aspect ratio (H/W) ranging from 1 to 5, e.g., 1, 1.5, 2, 3, 4 or 5, including ranges between any of the foregoing values.
  • each of a plurality of semiconductor fins 200 extends along a lengthwise direction with a substantially rectangular vertical cross-sectional shape.
  • a “substantially rectangular shape” is a shape that differs from a rectangular shape only due to atomic level roughness that does not exceed 2 nm.
  • the substantially rectangular vertical cross-sectional shape is a shape within a plane including a vertical direction and a widthwise direction.
  • Plural fins may have equal or substantially equal dimensions, i.e., height and/or width.
  • substantially equal values differ from each other by 10% or less, e.g., 0, 1, 2, 4 or 10%, including ranges between any of the foregoing values.
  • a bulk fin cut or fin removal process can be used to remove one or more unwanted fins or fin segments from the intermediate structure.
  • each fin may be spaced apart from its nearest neighbor by a periodicity or pitch (d) of 15 nm to 100 nm, e.g., 15, 20, 25, 30, 40, 50, 75 or 100 nm, including ranges between any of the foregoing values.
  • d periodicity or pitch
  • the fins can be evenly spaced such that the distance between the adjacent fins is the same.
  • Such plural fins are typically oriented parallel to each other and perpendicular to the library logic flow of a circuit.
  • the semiconductor structure may include a first long channel region (I), a second long channel region (II) and a first short channel region (III).
  • a thick gate vertical FinFET can be formed in the first long channel region (I)
  • a thin gate vertical FinFET can be formed in each of the second long channel region (II) and the first short channel region (III).
  • Isolation regions such as shallow trench isolation (STI) regions (not shown) may be formed in substrate 100 , i.e., between fins, by etching regions of the substrate to form trenches that are back-filled with a dielectric layer.
  • isolation regions may comprise an oxide such as silicon dioxide, which provides physical and electrical isolation between devices.
  • the semiconductor material forming fins 200 may be doped, un-doped, or contain doped and un-doped regions therein. Each doped region within the semiconductor fins 200 may have the same or different doping concentrations and/or conductivities. Doped regions that are present can be formed, for example, by ion implantation, gas phase doping, or by dopants that are present in the material used to form the fins.
  • semiconductor substrate 100 may comprise a doped well region 305 . As known to those skilled in the art, a doped well region 305 may be fabricated using a masking layer and implantation step.
  • FIG. 3 only indicates a single doped well region 305 .
  • Fins 200 may be initially and uniformly doped and have a dopant concentration in the range of 1 ⁇ 10 15 atoms/cm 3 to 2 ⁇ 10 18 atoms/cm 3 .
  • an ion implantation process with a masking layer to block implants in certain regions may be applied to the semiconductor substrate 100 to form bottom source/drain (S/D) region 300 .
  • the choice of dopant may be based on the doping type of the semiconductor substrate 100 and/or the fins 200 .
  • the dopant may be n-type (e.g., phosphorus), which results in an n-type source/drain region (i.e., an NFET).
  • the semiconductor substrate 100 and fins 200 are doped with an n-type material (e.g., phosphorus), the dopant may be p-type (e.g., boron) to form a p-type source/drain region (i.e., a PFET).
  • an n-type material e.g., phosphorus
  • the dopant may be p-type (e.g., boron) to form a p-type source/drain region (i.e., a PFET).
  • doping changes the electron and hole carrier concentrations of an intrinsic semiconductor at thermal equilibrium.
  • p-type refers to the addition of impurities to an intrinsic semiconductor that creates a deficiency of valence electrons.
  • example p-type dopants i.e., impurities
  • n-type refers to the addition of impurities that contribute free electrons to an intrinsic semiconductor.
  • example n-type dopants i.e., impurities, include but are not limited to, antimony, arsenic, and phosphorus.
  • one or more dopants may be introduced into a semiconductor material by plasma doping, ion implantation, or gas phase doping.
  • a bottom spacer 320 is formed over the shallow trench isolation (not shown) and over a top surface of the substrate 100 , including directly over bottom source/drain regions 300 and well regions 305 .
  • the bottom spacer 320 may be formed using a deposition method such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), high-density CVD (HDCVD), atomic layer deposition (ALD), or a physical vapor deposition (PVD) technique such as sputtering or evaporation.
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • HDCVD high-density CVD
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • the bottom spacer 320 may be formed by oxidizing a top surface of the substrate.
  • the thickness of the bottom spacer 320 may range from 10 to 20 nm, e.g., 10, 15 or 20 nm, including ranges between any of the foregoing values.
  • the bottom spacer 320 may comprise, for example, silicon dioxide (SiO 2 ).
  • bottom spacer 320 may comprise other dielectric materials such as silicon nitride, silicon oxynitride, a low-k material, or any suitable combination of these materials.
  • Bottom spacer 320 is adapted to isolate the bottom source/drain region 300 from a later-formed gate.
  • a first sacrificial photoresist layer 610 is formed to protect the bottom spacer 320 within first short channel region (Ill).
  • the first sacrificial photoresist layer 610 may include a positive-tone photoresist composition, a negative-tone photoresist composition, or a hybrid-tone photoresist composition.
  • a layer of photoresist material may be formed by a deposition process such as, for example, spin-on coating.
  • the long channel device regions (I, II) are unprotected and the bottom spacer 320 is removed from within the long channel device regions (I, II) to expose a top surface of the bottom source/drain 300 and well 305 .
  • partial removal of the hard mask 250 from atop fins 200 in device regions (I, II) may accompany removal of the bottom spacer 320 .
  • the thickness of the hard mask prior to etching the bottom spacer 320 is equal to or greater than the thickness of the bottom spacer 320 .
  • the first sacrificial photoresist layer 610 may then be removed using any suitable etching or stripping technique.
  • FIG. 5 is a cross-sectional view depicting the formation of a conformal dielectric layer 405 after removal of the first sacrificial photoresist layer.
  • the conformal dielectric layer 405 may be deposited using, for example, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), high-density CVD (HDCVD), atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PEALD), or a physical vapor deposition (PVD) technique such as sputtering or evaporation.
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • HDCVD high-density CVD
  • ALD atomic layer deposition
  • PEALD plasma-enhanced atomic layer deposition
  • PVD physical vapor deposition
  • conformal dielectric layer 405 may be formed by thermal oxidation, or by a combination of the above processes.
  • dielectric layer 405 is a thick gate oxide layer and comprises silicon dioxide, which may be formed using, for example, a PEALD process, which allows the dielectric layer 405 to be deposited conformally.
  • the gate dielectric comprises dielectric layer 405 and a later-deposited high-k layer, while in a thick gate device, the gate dielectric includes a high-k layer but does not include the conformal dielectric layer 405 .
  • the thickness of the conformal dielectric layer 405 may range from 5 to 50 nm, e.g., 5, 10, 15, 20, 30, 40 or 50 nm, including ranges between any of the foregoing values.
  • FIG. 6 depicts the formation and patterning of a second sacrificial photoresist layer 620 over the first long channel device region (I) including dielectric layer 405 , and the subsequent removal of the dielectric layer 405 (thick gate oxide layer) from within the thick gate device regions, i.e., second long channel device region (II) and first short channel device region (III).
  • the material and process used to form the second sacrificial photoresist layer 620 may be similar to the material and process used to form the first sacrificial photoresist layer 610 . After etching the conformal dielectric layer 405 , the second sacrificial photoresist layer 620 is removed.
  • a wet etching process can be used to remove the second sacrificial photoresist layer 620 and generate the structure of FIG. 7 .
  • hydrofluoric acid (HF) or a dilute solution comprising HF can be used remove the dielectric layer 405
  • sulfuric acid (H 2 SO 4 ) can be used to strip the second sacrificial photoresist layer 620 .
  • the dielectric layer 405 remains disposed over the fin 200 and over the bottom source/drain 300 and well 305 within the first device region (I).
  • a gate stack 400 is then formed over the tops and sidewalls of the fins 200 .
  • the gate stack 400 is formed over dielectric layer 405 .
  • the gate stack 400 is formed over bottom source/drain 300 and well 305 .
  • the gate stack 400 is formed over bottom spacer 320 .
  • the gate stack 400 comprises a gate dielectric 410 and a gate conductor 420 , which are deposited in succession.
  • the gate dielectric 410 may be a conformal layer that is formed over the fins.
  • Gate dielectric 410 may comprise silicon dioxide, silicon nitride, silicon oxynitride, a high-k dielectric, and/or other suitable material.
  • a high-k material has a dielectric constant greater than that of silicon dioxide.
  • a high-k dielectric may include a binary or ternary compound such as hafnium oxide (HfO 2 ).
  • Further exemplary high-k dielectrics include, but are not limited to, ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , BaTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , HfSiO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , SiO x N y , SiN x , a silicate thereof, and an alloy thereof.
  • Each value of x may independently vary from
  • the gate dielectric 410 may be deposited by a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof.
  • a high-k gate dielectric thickness may range from 0.5 nm to 10 nm, e.g., 0.5, 1, 2, 5 or 10 nm, including ranges between any of the foregoing values.
  • the gate dielectric 410 includes a thin layer (e.g., 0.5 nm) of silicon oxide and an overlying layer of high-k dielectric material. As noted above, in a thick gate device, the gate dielectric 410 is supplemented by underlying thick gate oxide 405 .
  • a gate conductor 420 is formed over the gate dielectric 410 .
  • the gate conductor 420 may include a conductive material such as polysilicon, silicon-germanium, a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re, alloys of conductive metals, e.g., Al—Cu, silicides of one or more conductive metals, e.g., W silicide, and Pt silicide, or other conductive metal compounds such as TiN, TiC, TiSiN, TiTaN, TaN, TaAIN, TaSiN, TaRuN, WSiN, NiSi, CoSi, as well as combinations thereof.
  • the gate conductor 420 may comprise one or more layers of such materials such as, for example, a metal stack including a barrier layer, work function layer, and conductive fill layer.
  • the gate conductor 420 may be a conformal layer that is formed directly over the gate dielectric 410 .
  • the gate conductor 420 can be formed utilizing a conventional deposition process such as, for example, ALD, CVD, metalorganic chemical vapor deposition (MOCVD), molecular beam epitaxy (MBE), PVD, sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, or chemical solution deposition.
  • the gate conductor thickness may range from 1 nm to 10 nm, e.g., 1, 2, 5, 8 or 10 nm, including ranges between any of the foregoing values.
  • a third sacrificial photoresist layer 630 is then formed over the structure of FIG. 8 and patterned to define the device channel lengths.
  • FIG. 9 depicts patterning of the gate stack in each of the device regions (I, II, III).
  • the material and process used to form the third sacrificial photoresist layer 630 may be similar to the material and process used to form the first or second sacrificial photoresist layers 610 , 620 .
  • the patterned etch of the gate conductor 420 defines the channel length for each device, including long channel devices in regions (I) and (II) and a short channel device within region (III).
  • the etch of the gate conductor 420 may comprise a dry etching process such as, for example, reactive ion etching.
  • the gate conductor etch may comprise a wet etch.
  • a combination of dry etching and wet etching can be used.
  • the gate conductor etch defines a functional gate over each of the fins.
  • a “functional gate” is operable to switch the semiconductor device from an “on” state to “off” state, and vice versa.
  • the third sacrificial photoresist layer 620 can be removed.
  • an isotropic etch-back of the gate stack can be used to recess the gate stack with respect to fin.
  • the etch-back of the gate stack can be followed by the formation of a top spacer, top source/drain, interlayer dielectric and conductive contacts (e.g., through the interlayer dielectric) to source, gate and drain.
  • main gate 422 and secondary gate 424 can be electrically connected and, during operation, biased simultaneously at a common voltage to control the characteristics of the device.
  • main gate 422 and secondary gate 424 can be electrically isolated from one other such that a separate bias can be applied to respective portions of the gate conductor overlying a single fin.
  • operation of the device may involve applying a first bias to the main gate 422 and applying a second bias unequal to the first bias to the secondary gate 424 .
  • a first bias can be applied to the main gate 422 while secondary gate 424 can be unbiased and can function as reference gate.
  • FIGS. 3-10 Illustrated in FIGS. 3-10 are embodiments of a process to form a vertical field effect transistor having a controlled channel length.
  • the long channel vertical transistor architecture includes a gate conductor that is formed over a sidewall of a fin and extends laterally over the bottom source/drain region.
  • both a long channel vertical transistor and a short channel vertical transistor can be manufactured on the same semiconductor substrate.
  • a long channel (LC) vertical transistor may comprise a thick gate device or a thin gate device.
  • a short channel (SC) vertical transistor may comprise a thick gate device or a thin gate device.

Abstract

A vertical fin field effect transistor includes a semiconductor fin disposed over a well region and a gate conductor layer disposed over a sidewall of the fin, and extending laterally over a top surface of the well region adjacent to the fin. The extension of the gate conductor over the bottom source/drain effectively increases the channel length of the vertical FinFET device independent of the fin height. A bottom source/drain region is laterally adjacent to the well region such that the portion of the well region covered by the laterally extended gate stack is between the bottom source/drain region and the portion of the well region immediately under the fin. A top source/drain region is located above the fin. The device is operated in circuits by use of electrical contacts to the bottom source/drain, the gate conductor, and the top source/drain.

Description

    BACKGROUND
  • The present application relates generally to semiconductor devices, and particularly to vertical fin field effect transistors (V-FinFETs) and their methods of fabrication.
  • Fully-depleted devices such as fin field effect transistors (FinFETs) are candidates to enable scaling of next generation gate lengths to 14 nm and below. Fin field effect transistors (FinFETs) present a three-dimensional architecture where the transistor channel is raised above the surface of a semiconductor substrate, rather than locating the channel at or just below the surface. With a raised channel, the gate can be wrapped around or formed over the sides of the channel, which provides improved electrostatic control of the device.
  • The manufacture of FinFETs typically leverages a self-aligned process to produce extremely thin fins, e.g., 20 nm wide or less, on the surface of a substrate using selective-etching techniques. A gate structure is then deposited to contact multiple surfaces of each fin to form a multi-gate architecture.
  • Vertical FETs are devices where the source-drain current flows in a direction normal to the substrate surface. In vertical FinFET devices, the fin defines the transistor channel with the source and drain regions located at opposing (i.e., upper and lower) ends of the fin.
  • During manufacture of a vertical FET, the channel length is typically defined by etch back of the gate conductor. A challenge associated with a vertical FET architecture is the ability to co-integrate devices having different channel lengths on the same substrate or chip. Moreover, the formation of long channel devices, such as where the channel length exceeds the height of the fin, is problematic. Accordingly, it would be advantageous to provide a robust, vertical FinFET manufacturing process and associated structure that are compatible with existing circuit designs, while providing a variable channel length, i.e., both short and long channel devices, on a common platform.
  • SUMMARY
  • In accordance with embodiments of the present application, a method of forming a vertical FinFET device involves forming a gate conductor over the sidewall of a fin and extending the gate conductor laterally over a top surface of a well region adjacent to the fin. The extension of the gate conductor over the well region effectively increases the channel length of the device. In contrast to a conventional vertical FinFET, such a device includes both a vertical and a horizontal component to the gate, which can be defined lithographically. By varying the lateral extent of the gate conductor, vertical FinFET devices having different channel lengths can be produced on the same substrate.
  • According to various embodiments, a method of making a vertical FinFET device includes forming a fin having a height (H) on a semiconductor substrate, forming a well region in the substrate, where a lower end of the fin is in contact with the well region, and forming a bottom source/drain region in the substrate that is laterally adjacent the well region. A main gate stack including a main gate dielectric and a main gate conductor is formed over one sidewall of the fin, such that the main gate stack extends laterally over the well region and the bottom source/drain region on at least one side of the fin. Then, a portion of the main gate conductor over the bottom source/drain region is etched to define a channel region between the main gate conductor and the fin and between the main gate conductor and the well region.
  • According to further embodiments, a vertical FinFET includes a fin disposed on a semiconductor substrate and in contact with a well region disposed within the substrate. A main gate stack comprising a main gate dielectric and main gate conductor is disposed over at least one sidewall of the fin and extends laterally over a portion of the well region on at least one side of the fin. A channel region extends between the main gate conductor and the fin and between the main gate conductor and the well region on the at least one side of the fin. The FinFET further includes a bottom source/drain region laterally adjacent to the well region on the at least one side of the fin, wherein the portion of the well region underlying the main gate stack is disposed between the bottom source/drain region and the well region in contact with the lower end of the fin.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • The following detailed description of specific embodiments of the present application can be best understood when read in conjunction with the following drawings, where like structure is indicated with like reference numerals and in which:
  • FIG. 1 is a simplified schematic diagram of a short channel vertical fin field effect transistor at an intermediate stage of fabrication showing a semiconductor fin extending upward from a bottom source/drain region and a gate conductor disposed over opposing sidewalls of the fin;
  • FIG. 2 is a simplified schematic diagram of an example long channel vertical fin field effect transistor at an intermediate stage of fabrication showing a semiconductor fin extending upward from a well region and a gate conductor disposed over sidewalls of the fin and extending laterally over the well region;
  • FIG. 3 is a cross-sectional view of a semiconductor structure showing plural semiconductor fins arranged in respective device regions over a semiconductor substrate following the deposition of a bottom spacer;
  • FIG. 4 is a cross-sectional view of a semiconductor structure depicting the removal of the bottom spacer from within long channel device regions according to various embodiments;
  • FIG. 5 is a cross-sectional view of a semiconductor structure depicting the formation of a conformal dielectric layer over the structure of FIG. 4;
  • FIG. 6 is a cross-sectional view of a semiconductor structure depicting masking of a first long channel device region and removal of the conformal dielectric layer from each of a second long channel device region and a short channel device region;
  • FIG. 7 shows removal of the masking layer from the structure of FIG. 6;
  • FIG. 8 is a cross-sectional view of a semiconductor structure illustrating the formation of a gate stack comprising a gate dielectric and a gate conductor over the structure of FIG. 7;
  • FIG. 9 is a cross-sectional view of a semiconductor structure depicting patterning of the gate stack in each of the device regions; and
  • FIG. 10 is a cross-sectional view of a semiconductor structure illustrating etching of the gate conductor to define long channel devices and short channels within respective device regions.
  • DETAILED DESCRIPTION
  • Reference will now be made in greater detail to various embodiments of the subject matter of the present application, some embodiments of which are illustrated in the accompanying drawings. The same reference numerals will be used throughout the drawings to refer to the same or similar parts.
  • Embodiments of the application relate generally to the manufacture of semiconductor devices, and more particularly to the manufacture of vertical fin field effect transistors (V-FinFETs). Exemplary structures include vertical fin field effect transistors having a controlled channel length, and in various embodiments include both a short channel device and long channel device.
  • As used herein, the terms “short channel,” “short channel vertical fin field effect device,” “short channel structure” and the like refer to devices or structures where the channel length is less than the height of the fin. Example short channel structures have a channel length of 15 to 100 nm, e.g., 15, 20, 30, 40, 50, 60, 70, 80, 90 or 100 nm, including ranges between any of the foregoing values. As used herein, the terms “long channel,” “long channel vertical fin field effect device,” “long channel structure” and the like refer to devices or structures where the channel length is greater than or equal to the height of the fin. Example long channel structures have a channel length of greater than 100 nm, e.g., 100, 120, 150, 180 or 200 nm, including ranges between any of the foregoing values.
  • An example short channel vertical fin field effect transistor structure is shown in FIG. 1. The structure includes a semiconductor substrate 100 with a semiconductor fin 200 extending upward from a bottom source/drain region 300. Bottom source/drain region 300 may comprise a doped semiconductor material while the fin 200 may comprise an undoped or lightly doped semiconductor material with a dopant polarity opposite to that of the bottom source/drain region 300, as will be appreciated by one skilled in the art.
  • A gate stack 400 comprising a gate dielectric 410 and a gate conductor 420 is disposed over sidewalls of the fin 200. The gate dielectric layer 410 is formed directly over the fin sidewalls and is separated from the bottom source/drain region 300 by a bottom spacer 320. Bottom spacer 320 is adapted to electrically isolate the bottom source/drain region 300 from the gate stack 400. For instance, the thickness and composition of the bottom spacer 320 can be selected in order to provide an acceptably low parasitic capacitance between the bottom source/drain region 300 and the gate stack 400. The gate conductor 420 is disposed over opposing sidewalls of the fin, i.e., directly over the gate dielectric layer 410, defining a channel region having a channel length L and a channel width w on each sidewall of the fin. A top spacer 520 isolates the gate stack 400 from a top source/drain region 500. Electrical contacts to the gate, top source/drain, and bottom source/drain have been omitted for clarity. The direction of current flow through the device during operation is shown schematically by dashed arrows.
  • As will be appreciated, the channel length is defined by the dimensions of the overlying gate conductor 420, 422, 424. As such, reference herein to control of the channel length relates also to control of the gate length, and vice versa, unless the context indicates otherwise.
  • FIG. 2 is a simplified schematic diagram of an example long channel vertical fin field effect transistor according to various embodiments. The long channel structure includes a semiconductor fin 200 that extends upward from a well region 305. Well region 305 may comprise a lightly doped semiconductor material with a dopant polarity opposite to that of the bottom source/drain region 300, as will be appreciated by one skilled in the art. For instance, the well region may be doped to a peak concentration of 1×1016 to 1×1019 atoms/cm3.
  • A gate stack 400 comprising a first gate dielectric layer 405, a second gate dielectric layer 410, and a gate conductor 420 is disposed over sidewalls of the fin 200. The first gate dielectric layer 405 is formed directly over the well region 305 and over the sidewalls of the fin 200, and the second gate dielectric layer 410 is formed directly over the first gate dielectric layer 405.
  • The gate conductor includes a main gate 422 and secondary gate 424 disposed over opposing sidewalls of the fin, i.e., directly over the second gate dielectric layer 410. The main gate 422 also extends laterally over the well 305 adjacent to the fin, defining a channel region having a channel length (lh+lv) and a channel width w. Thus, the main gate 422 includes a horizontal component (lh) in addition to a vertical component (lv). In certain embodiments, the channel length of the device is greater than the vertical distance between the bottom source/drain region and the top source/drain region.
  • As used here, “horizontal” refers to a general direction along a primary surface of a substrate, and “vertical” is a direction generally orthogonal thereto. Furthermore, “vertical” and “horizontal” are generally perpendicular directions relative to one another independent of orientation of the substrate in three-dimensional space.
  • In various embodiments, the main gate 422 extends laterally in a direction orthogonal to a length direction of the fin 200. For instance, the length (lh) of the extended portion of the main gate 422 measured from the fin sidewall may range from 5 to 200 nm, e.g., 5, 10, 20, 50, 100, 150 or 200 nm, including ranges between any of the foregoing values. The secondary gate 424 is characterized by a vertical gate length, lv. The direction of current flow through the device during operation is shown schematically by dashed arrows according to various embodiments.
  • With the foregoing long channel architecture, the channel length may be defined independent of the fin height. That is, the channel length may be less than, equal to, or even greater than the height of the fin. Furthermore, according to various embodiments, vertical FinFET devices having different channel lengths can be formed from fins having substantially identical dimensions by lithographically defining the horizontal component of the channel, Ih. In various embodiments, the channel length of plural devices formed on the same substrate may independently range from 15 to 200 nm, e.g., 15, 20, 30, 40, 50, 80, 100, 120, 150, 180 or 200 nm, including ranges between any of the foregoing values.
  • In certain embodiments, a top spacer layer 520 is formed over a recessed gate stack. Formation of the top spacer layer 520 may include a conformal deposition process such as chemical vapor deposition (CVD), followed by an anisotropic etch to remove the spacer material(s) from horizontal surfaces and expose the fins along top surfaces thereof.
  • The thickness of the top spacer 520 may range from 1 to 30 nm, e.g., 1, 2, 5, 10, 20 or 30 nm, including ranges between any of the foregoing values. The top spacer 520 may comprise, for example, silicon dioxide (SiO2). Alternatively, top spacer 520 may comprise other dielectric materials such as silicon nitride, silicon oxynitride, a low-k material, or any suitable combination of these materials. Top spacer layer 520 is adapted to isolate the top source/drain region 500 from the gate of the transistor.
  • Top source/drain region 500 may be formed by selective epitaxial growth from exposed portions of the semiconductor fin 200. The terms “epitaxy,” “epitaxial” and/or “epitaxial growth and/or deposition” refer to the growth of a semiconductor material layer on a deposition surface of a semiconductor material, in which the semiconductor material layer being grown assumes the same crystalline habit as the semiconductor material of the deposition surface. For example, in an epitaxial deposition process, chemical reactants provided by source gases are controlled and the system parameters are set so that depositing atoms alight on the deposition surface and remain sufficiently mobile via surface diffusion to orient themselves according to the crystalline orientation of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a (100) crystal surface will take on a (100) orientation. Top source/drain junctions 270 may comprise silicon, silicon germanium, or another suitable semiconductor material.
  • The selective epitaxy process deposits an epitaxial layer directly onto the exposed surfaces of the fin. Exposed surfaces of the fins may include the top surface as well as upper portions of the sidewalls of the fin proximate to the top surface. In various embodiments, a silicon epitaxial layer is formed without deposition of silicon on the exposed dielectric surfaces. Selective epitaxial layers may be formed using molecular beam epitaxy or chemical vapor deposition processes that are adapted for selective epitaxy.
  • An example silicon epitaxial process for forming top source/drain region 500 uses a gas mixture including H2 and dichlorosilane (SiH2Cl2) at a deposition (e.g., substrate) temperature of 300-800° C. Other suitable gas sources for silicon epitaxy include silicon tetrachloride (SiCl4), silane (SiH4), trichlorosilane (SiHCl3), and other hydrogen-reduced chlorosilanes (SiH2Cl4-x).
  • Top source/drain region 500 may be doped, as will be appreciated by one skilled in the art. In various embodiments, the dopant type and concentration with the top source/drain region 500 may be equal to the dopant type and the dopant concentration within the bottom source/drain region 300. For instance, the top source/drain region and the bottom source/drain region may be independently doped to a peak concentration of 5×1019 to 1×1021 atoms/cm3.
  • Various embodiments relate to the manufacture of a vertical FinFET where the channel length is controlled. Control of the channel length includes the formation of both short channel and long channel devices, such as the formation of such devices on a single semiconductor substrate. In addition, the disclosed methods and structures relate to the formation of more than one type of device. For instance, in addition to variable channel length structures, both thin gate devices and thick gate devices can be manufactured on the same semiconductor substrate, where each type of device may include a short channel architecture or a long channel architecture.
  • Thin gate FinFETs are generally used in high-performance, low supply voltage (Vdd) logic circuits, and thick gate FinFETs are generally used in high Vdd input/output (I/O) circuits. In manufacturing a CMOS device having both types of structures, and as will be explained in further detail below, a hard mask is typically disposed on the top of a plurality of fins, and a dielectric layer is conformally deposited on the hard mask and over the sides of the fins. A layer of photoresist is then selectively applied to the fins of the thick gate device, and lithographic and etching techniques are used to remove the dielectric layer from over the fins not protected by the photoresist layer, i.e., in regions where thin gate structure will be formed. Thick gate devices will be formed in regions where the dielectric layer is not removed. A gate stack comprising a gate dielectric and a gate conductor is then deposited over the fins, as well as over the dielectric layer in the thick gate regions. Additional patterning and etching steps are used to cut the gate conductor layer and define the channel length for each of the devices.
  • Reference will now be made to FIGS. 3-10, which together with the following description, provide an exemplary method for manufacturing a vertical FinFET where the channel length is controlled. FIG. 3 depicts a vertical FinFET structure at an intermediate stage of fabrication. The structure includes a semiconductor substrate 100 having a plurality of fins 200 formed thereon. Semiconductor substrate 100 may be a bulk substrate or a hybrid substrate such as a semiconductor-on-insulator (SOI) substrate, and may include a semiconductor material such as silicon, such as single crystal Si, polycrystalline Si, or a silicon-containing material.
  • Silicon-containing materials include, single crystal silicon germanium (SiGe), polycrystalline silicon germanium, silicon doped with carbon (Si:C), amorphous Si, as well as combinations and multi-layers thereof. As used herein, the term “single crystal” denotes a crystalline solid, in which the crystal lattice of the entire solid is substantially continuous and substantially unbroken to the edges of the solid with substantially no grain boundaries.
  • The substrate 100 is not limited to silicon-containing materials, however, the substrate 100 may comprise other semiconductor materials, including Ge and compound semiconductors, including III-V compound semiconductors such as GaAs, InAs, GaN, GaP, InSb, ZnSe, and ZnS, and II-VI compound semiconductors such as CdSe, CdS, CdTe, ZnSe, ZnS and ZnTe.
  • In the illustrated embodiment, substrate 100 is a bulk silicon substrate. In alternate embodiments, the semiconductor substrate 100 may be an SOI substrate that comprises, from bottom to top, a handle portion, an isolation layer, and a semiconductor material layer.
  • Substrate 100 may have dimensions as typically used in the art and may comprise, for example, a semiconductor wafer. Example wafer diameters include, but are not limited to, 50, 100, 150, 200, 300 and 450 mm. The total substrate thickness may range from 250 microns to 1500 microns, although in particular embodiments the substrate thickness is in the range of 725 to 775 microns, which corresponds to thickness dimensions commonly used in silicon CMOS processing. The semiconductor substrate 100 may comprise (100)-oriented silicon or (111)-oriented silicon, for example.
  • In several embodiments, the fins 200 are formed by patterning and then etching the semiconductor substrate 100, i.e., a top portion of the semiconductor substrate, such that the fins are contiguous with the substrate 100. The patterning process may comprise photolithography, which includes forming a layer of photoresist material (not shown) atop a material or material stack to be patterned.
  • The deposited photoresist is then subjected to a pattern of irradiation, and the exposed photoresist material is developed utilizing a conventional resist developer. In the instant embodiment, this provides a patterned layer of photoresist atop a hard mask layer 250, which is disposed over a top surface of the substrate 100. The pattern provided by the patterned photoresist material is thereafter transferred into the underlying material layer or material layers (e.g., hard mask 250 and substrate 100) utilizing at least one pattern transfer etching process.
  • In various embodiments, hard mask 250 comprises a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride or combinations thereof. In particular embodiments, the hard mask layer 250 includes a layer of silicon oxide and an overlying layer of silicon nitride. For example, the hard mask may include a layer of silicon oxide disposed directly over the top surfaces of the fins, and a layer of silicon nitride disposed directly over the layer of silicon oxide. The thickness of the hard mask layer may range from 15 to 50 nm, e.g., 15, 20, 30, 40 or 50 nm, including ranges between any of the foregoing values. In the illustrated embodiment, the patterned hard mask layer 250 overlies respective ones of the fins 200.
  • The pattern transfer etching process is typically an anisotropic etch. In certain embodiments, a dry etching process such as, for example, reactive ion etching can be used. In other embodiments, a wet chemical etchant can be used. In still further embodiments, a combination of dry etching and wet etching can be used.
  • In further embodiments, the fins 200 may be formed using a sidewall image transfer (SIT) process, which includes formation of a spacer material on sidewall surfaces of a mandrel. The spacer includes a material that has a different etch selectivity than the mandrel such that, after spacer formation, the mandrel is removed by etching. Each spacer is then used as a hard mask during a subsequent etching process that defines the fins.
  • As used herein, a “fin” refers to a contiguous semiconductor material that includes a pair of vertical sidewalls that are parallel to each other. As used herein, a surface is “vertical” if there exists a vertical plane from which the surface does not deviate by more than three times the root mean square roughness of the surface.
  • In various embodiments the as-formed fins 200 are free standing, i.e., supported only by the substrate 100, and can comprise a single crystal semiconductor material that extends along a lengthwise direction. As used herein, a “lengthwise direction” is a horizontal direction along which an object extends the most. A “widthwise direction” (W) is a horizontal direction that is perpendicular to the lengthwise direction. Each fin has a height (H) that may range from 10 nm to 100 nm and a width (W) that may range from 4 nm to 30 nm. Other heights and widths that are less than or greater than the values mentioned can also be used. The fins 200 may have an aspect ratio (H/W) ranging from 1 to 5, e.g., 1, 1.5, 2, 3, 4 or 5, including ranges between any of the foregoing values.
  • In various embodiments, each of a plurality of semiconductor fins 200 extends along a lengthwise direction with a substantially rectangular vertical cross-sectional shape. As used herein, a “substantially rectangular shape” is a shape that differs from a rectangular shape only due to atomic level roughness that does not exceed 2 nm. The substantially rectangular vertical cross-sectional shape is a shape within a plane including a vertical direction and a widthwise direction.
  • Plural fins may have equal or substantially equal dimensions, i.e., height and/or width. As used herein, “substantially equal” values differ from each other by 10% or less, e.g., 0, 1, 2, 4 or 10%, including ranges between any of the foregoing values.
  • As will be appreciated by those skilled in the art, a bulk fin cut or fin removal process can be used to remove one or more unwanted fins or fin segments from the intermediate structure. In structures comprising plural fins, i.e., a fin array, each fin may be spaced apart from its nearest neighbor by a periodicity or pitch (d) of 15 nm to 100 nm, e.g., 15, 20, 25, 30, 40, 50, 75 or 100 nm, including ranges between any of the foregoing values. Within each array, the fins can be evenly spaced such that the distance between the adjacent fins is the same. Such plural fins are typically oriented parallel to each other and perpendicular to the library logic flow of a circuit.
  • With continued reference to FIG. 3, the semiconductor structure may include a first long channel region (I), a second long channel region (II) and a first short channel region (III). As disclosed in further detail below, a thick gate vertical FinFET can be formed in the first long channel region (I), and a thin gate vertical FinFET can be formed in each of the second long channel region (II) and the first short channel region (III).
  • Isolation regions such as shallow trench isolation (STI) regions (not shown) may be formed in substrate 100, i.e., between fins, by etching regions of the substrate to form trenches that are back-filled with a dielectric layer. For instance, isolation regions may comprise an oxide such as silicon dioxide, which provides physical and electrical isolation between devices. Although a single semiconductor fin 200 is shown in each device region, any number of fins 200 may be employed.
  • The semiconductor material forming fins 200 may be doped, un-doped, or contain doped and un-doped regions therein. Each doped region within the semiconductor fins 200 may have the same or different doping concentrations and/or conductivities. Doped regions that are present can be formed, for example, by ion implantation, gas phase doping, or by dopants that are present in the material used to form the fins. For instance, semiconductor substrate 100 may comprise a doped well region 305. As known to those skilled in the art, a doped well region 305 may be fabricated using a masking layer and implantation step. By repeating this process, multiple doped well regions may be formed to provide some regions with p-type dopants, other regions with n-type dopants, and still other regions without deliberately added dopants. For simplicity, FIG. 3 only indicates a single doped well region 305. Fins 200 may be initially and uniformly doped and have a dopant concentration in the range of 1×1015 atoms/cm3 to 2×1018 atoms/cm3.
  • Referring still to FIG. 3, an ion implantation process with a masking layer to block implants in certain regions may be applied to the semiconductor substrate 100 to form bottom source/drain (S/D) region 300. The choice of dopant may be based on the doping type of the semiconductor substrate 100 and/or the fins 200. For example, if the semiconductor substrate 100 and fins 200 are doped with a p-type material (e.g., boron), the dopant may be n-type (e.g., phosphorus), which results in an n-type source/drain region (i.e., an NFET). If, alternatively, the semiconductor substrate 100 and fins 200 are doped with an n-type material (e.g., phosphorus), the dopant may be p-type (e.g., boron) to form a p-type source/drain region (i.e., a PFET).
  • As known to those skilled in the art, doping changes the electron and hole carrier concentrations of an intrinsic semiconductor at thermal equilibrium. As used herein, “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates a deficiency of valence electrons. For silicon, example p-type dopants, i.e., impurities, include but are not limited to, boron, nitrogen, aluminum, gallium, and indium. As used herein, “n-type” refers to the addition of impurities that contribute free electrons to an intrinsic semiconductor. For silicon, example n-type dopants, i.e., impurities, include but are not limited to, antimony, arsenic, and phosphorus. In various processes, one or more dopants may be introduced into a semiconductor material by plasma doping, ion implantation, or gas phase doping.
  • A bottom spacer 320 is formed over the shallow trench isolation (not shown) and over a top surface of the substrate 100, including directly over bottom source/drain regions 300 and well regions 305. The bottom spacer 320 may be formed using a deposition method such as chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), high-density CVD (HDCVD), atomic layer deposition (ALD), or a physical vapor deposition (PVD) technique such as sputtering or evaporation. Alternatively, the bottom spacer 320 may be formed by oxidizing a top surface of the substrate.
  • The thickness of the bottom spacer 320 may range from 10 to 20 nm, e.g., 10, 15 or 20 nm, including ranges between any of the foregoing values. The bottom spacer 320 may comprise, for example, silicon dioxide (SiO2). Alternatively, bottom spacer 320 may comprise other dielectric materials such as silicon nitride, silicon oxynitride, a low-k material, or any suitable combination of these materials. Bottom spacer 320 is adapted to isolate the bottom source/drain region 300 from a later-formed gate.
  • Referring to FIG. 4, a first sacrificial photoresist layer 610 is formed to protect the bottom spacer 320 within first short channel region (Ill). The first sacrificial photoresist layer 610 may include a positive-tone photoresist composition, a negative-tone photoresist composition, or a hybrid-tone photoresist composition. A layer of photoresist material may be formed by a deposition process such as, for example, spin-on coating.
  • At this stage of fabrication, the long channel device regions (I, II) are unprotected and the bottom spacer 320 is removed from within the long channel device regions (I, II) to expose a top surface of the bottom source/drain 300 and well 305. As will be appreciated, partial removal of the hard mask 250 from atop fins 200 in device regions (I, II) may accompany removal of the bottom spacer 320. Thus, in certain embodiments, the thickness of the hard mask prior to etching the bottom spacer 320 is equal to or greater than the thickness of the bottom spacer 320. The first sacrificial photoresist layer 610 may then be removed using any suitable etching or stripping technique.
  • FIG. 5 is a cross-sectional view depicting the formation of a conformal dielectric layer 405 after removal of the first sacrificial photoresist layer. The conformal dielectric layer 405 may be deposited using, for example, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), high-density CVD (HDCVD), atomic layer deposition (ALD), plasma-enhanced atomic layer deposition (PEALD), or a physical vapor deposition (PVD) technique such as sputtering or evaporation. Alternatively, conformal dielectric layer 405 may be formed by thermal oxidation, or by a combination of the above processes.
  • In various embodiments, dielectric layer 405 is a thick gate oxide layer and comprises silicon dioxide, which may be formed using, for example, a PEALD process, which allows the dielectric layer 405 to be deposited conformally. Thus, in a thick gate device, the gate dielectric comprises dielectric layer 405 and a later-deposited high-k layer, while in a thick gate device, the gate dielectric includes a high-k layer but does not include the conformal dielectric layer 405. The thickness of the conformal dielectric layer 405 may range from 5 to 50 nm, e.g., 5, 10, 15, 20, 30, 40 or 50 nm, including ranges between any of the foregoing values.
  • FIG. 6 depicts the formation and patterning of a second sacrificial photoresist layer 620 over the first long channel device region (I) including dielectric layer 405, and the subsequent removal of the dielectric layer 405 (thick gate oxide layer) from within the thick gate device regions, i.e., second long channel device region (II) and first short channel device region (III). The material and process used to form the second sacrificial photoresist layer 620 may be similar to the material and process used to form the first sacrificial photoresist layer 610. After etching the conformal dielectric layer 405, the second sacrificial photoresist layer 620 is removed.
  • A wet etching process can be used to remove the second sacrificial photoresist layer 620 and generate the structure of FIG. 7. For example, hydrofluoric acid (HF) or a dilute solution comprising HF can be used remove the dielectric layer 405, and sulfuric acid (H2SO4) can be used to strip the second sacrificial photoresist layer 620. The dielectric layer 405 remains disposed over the fin 200 and over the bottom source/drain 300 and well 305 within the first device region (I).
  • Referring to FIG. 8, a gate stack 400 is then formed over the tops and sidewalls of the fins 200. In a region laterally adjacent to the fin within the first long channel region (I), the gate stack 400 is formed over dielectric layer 405. In a region laterally adjacent to the fin within the second long channel region (II), the gate stack 400 is formed over bottom source/drain 300 and well 305. In a region laterally adjacent to the fin within the first short channel region (III), the gate stack 400 is formed over bottom spacer 320.
  • The gate stack 400 comprises a gate dielectric 410 and a gate conductor 420, which are deposited in succession. The gate dielectric 410 may be a conformal layer that is formed over the fins. Gate dielectric 410 may comprise silicon dioxide, silicon nitride, silicon oxynitride, a high-k dielectric, and/or other suitable material.
  • As used herein, a high-k material has a dielectric constant greater than that of silicon dioxide. A high-k dielectric may include a binary or ternary compound such as hafnium oxide (HfO2). Further exemplary high-k dielectrics include, but are not limited to, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, BaTiO3, LaAlO3, Y2O3, HfOxNy, HfSiOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, SiOxNy, SiNx, a silicate thereof, and an alloy thereof. Each value of x may independently vary from 0.5 to 3, and each value of y may independently vary from 0 to 2.
  • The gate dielectric 410 may be deposited by a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof. A high-k gate dielectric thickness may range from 0.5 nm to 10 nm, e.g., 0.5, 1, 2, 5 or 10 nm, including ranges between any of the foregoing values. In various embodiments, the gate dielectric 410 includes a thin layer (e.g., 0.5 nm) of silicon oxide and an overlying layer of high-k dielectric material. As noted above, in a thick gate device, the gate dielectric 410 is supplemented by underlying thick gate oxide 405.
  • A gate conductor 420 is formed over the gate dielectric 410. The gate conductor 420 may include a conductive material such as polysilicon, silicon-germanium, a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re, alloys of conductive metals, e.g., Al—Cu, silicides of one or more conductive metals, e.g., W silicide, and Pt silicide, or other conductive metal compounds such as TiN, TiC, TiSiN, TiTaN, TaN, TaAIN, TaSiN, TaRuN, WSiN, NiSi, CoSi, as well as combinations thereof. The gate conductor 420 may comprise one or more layers of such materials such as, for example, a metal stack including a barrier layer, work function layer, and conductive fill layer.
  • The gate conductor 420 may be a conformal layer that is formed directly over the gate dielectric 410. The gate conductor 420 can be formed utilizing a conventional deposition process such as, for example, ALD, CVD, metalorganic chemical vapor deposition (MOCVD), molecular beam epitaxy (MBE), PVD, sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, or chemical solution deposition. The gate conductor thickness may range from 1 nm to 10 nm, e.g., 1, 2, 5, 8 or 10 nm, including ranges between any of the foregoing values.
  • Referring to FIG. 9, a third sacrificial photoresist layer 630 is then formed over the structure of FIG. 8 and patterned to define the device channel lengths. FIG. 9 depicts patterning of the gate stack in each of the device regions (I, II, III). The material and process used to form the third sacrificial photoresist layer 630 may be similar to the material and process used to form the first or second sacrificial photoresist layers 610, 620.
  • Referring to FIG. 10, the patterned etch of the gate conductor 420 defines the channel length for each device, including long channel devices in regions (I) and (II) and a short channel device within region (III). In certain embodiments, the etch of the gate conductor 420 may comprise a dry etching process such as, for example, reactive ion etching. In other embodiments, the gate conductor etch may comprise a wet etch. In still further embodiments, a combination of dry etching and wet etching can be used.
  • The gate conductor etch defines a functional gate over each of the fins. A “functional gate” is operable to switch the semiconductor device from an “on” state to “off” state, and vice versa. As illustrated in FIG. 10, after cutting the gate conductor, the third sacrificial photoresist layer 620 can be removed.
  • As described above, an isotropic etch-back of the gate stack can be used to recess the gate stack with respect to fin. As will be appreciated by one skilled in the art, the etch-back of the gate stack can be followed by the formation of a top spacer, top source/drain, interlayer dielectric and conductive contacts (e.g., through the interlayer dielectric) to source, gate and drain.
  • Referring to FIG. 10 and again to FIG. 2, in certain embodiments, main gate 422 and secondary gate 424 can be electrically connected and, during operation, biased simultaneously at a common voltage to control the characteristics of the device. In alternate embodiments, main gate 422 and secondary gate 424 can be electrically isolated from one other such that a separate bias can be applied to respective portions of the gate conductor overlying a single fin. For instance, operation of the device may involve applying a first bias to the main gate 422 and applying a second bias unequal to the first bias to the secondary gate 424. In certain embodiments, a first bias can be applied to the main gate 422 while secondary gate 424 can be unbiased and can function as reference gate.
  • The ability to independently tailor both the inter-fin length and the intra-fin channel length, as well as independently control the voltage applied to opposing sides of a single fin permits robust and comprehensive control of the device characteristics.
  • Illustrated in FIGS. 3-10 are embodiments of a process to form a vertical field effect transistor having a controlled channel length. The long channel vertical transistor architecture includes a gate conductor that is formed over a sidewall of a fin and extends laterally over the bottom source/drain region. In certain embodiments, both a long channel vertical transistor and a short channel vertical transistor can be manufactured on the same semiconductor substrate. A long channel (LC) vertical transistor may comprise a thick gate device or a thin gate device. Likewise, a short channel (SC) vertical transistor may comprise a thick gate device or a thin gate device.
  • As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to a “fin” includes examples having two or more such “fins” unless the context clearly indicates otherwise.
  • Unless otherwise expressly stated, it is in no way intended that any method set forth herein be construed as requiring that its steps be performed in a specific order. Accordingly, where a method claim does not actually recite an order to be followed by its steps or it is not otherwise specifically stated in the claims or descriptions that the steps are to be limited to a specific order, it is no way intended that any particular order be inferred. Any recited single or multiple feature or aspect in any one claim can be combined or permuted with any other recited feature or aspect in any other claim or claims.
  • It will be understood that when an element such as a layer, region or substrate is referred to as being formed on, deposited on, or disposed “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, no intervening elements are present.
  • While various features, elements or steps of particular embodiments may be disclosed using the transitional phrase “comprising,” it is to be understood that alternative embodiments, including those that may be described using the transitional phrases “consisting” or “consisting essentially of,” are implied. Thus, for example, implied alternative embodiments to a dielectric layer that comprises silicon nitride include embodiments where a dielectric layer consists essentially of silicon nitride and embodiments where a dielectric layer consists of silicon nitride.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the present invention without departing from the spirit and scope of the invention. Since modifications, combinations, sub-combinations and variations of the disclosed embodiments incorporating the spirit and substance of the invention may occur to persons skilled in the art, the invention should be construed to include everything within the scope of the appended claims and their equivalents.

Claims (20)

1. A method of making a vertical FinFET device comprising:
forming a fin having a height (H) on a semiconductor substrate;
forming a well region in the substrate, where a lower end of the fin is in contact with the well region;
forming a bottom source/drain region in the substrate laterally adjacent to the well region and spaced away from the fin,
forming a main gate stack over at least one sidewall of the fin, the main gate stack extending laterally over the well region and the bottom source/drain region on at least one side of the fin, wherein the main gate stack comprises a main gate dielectric and a main gate conductor formed over the main gate dielectric; and
etching a portion of the main gate conductor over the bottom source/drain region to define a channel region between the main gate conductor and the fin and between the main gate conductor and the well region.
2. The method of claim 1, wherein the channel region comprises a horizontal component over the well region on the at least one side of the fin, and a vertical component over the sidewall of the fin on the at least one side of the fin, and a length of the horizontal component is greater than a length of the vertical component.
3. The method of claim 1, further comprising forming a secondary gate stack over a sidewall of the fin opposite to the main gate stack, wherein the secondary gate stack comprises a secondary gate dielectric and a secondary gate conductor formed over the secondary gate dielectric.
4. The method of claim 3, wherein the secondary gate dielectric is the same as the main gate dielectric.
5. The method of claim 1, further comprising forming a hard mask over a top surface of the fin prior to forming the main gate stack.
6. The method of claim 1, wherein the main gate dielectric comprises silicon dioxide, a high dielectric constant (high-k) layer or a combination thereof.
7. The method of claim 1, further comprising forming a top source/drain region in contact with an upper end of the fin opposite to the lower end.
8. The method of claim 1, wherein forming the well region comprises forming a patterned masking layer, ion implantation, and removal of the patterned masking layer.
9. The method of claim 1, wherein forming the bottom source/drain region comprises forming a patterned masking layer, ion implantation, and removal of the patterned masking layer.
10. A vertical FinFET device comprising:
a fin disposed on a semiconductor substrate, the fin having a lower end in contact with a well region disposed within the substrate;
a main gate stack comprising a main gate dielectric and main gate conductor disposed over at least one sidewall of the fin and extending laterally over a portion of the well region on at least one side of the fin;
a channel region between the main gate conductor and the fin and between the main gate conductor and the well region on the at least one side of the fin; and
a bottom source/drain region laterally adjacent to the well region on the at least one side of the fin, wherein the portion of the well region underlying the main gate stack is disposed between the bottom source/drain region and the well region in contact with the lower end of the fin.
11. The vertical FinFET device of claim 10, wherein a length of the channel region on the at least one side of the fin is greater than a height (H) of the fin.
12. The vertical FinFET device of claim 10, wherein the main gate dielectric disposed over the fin and extending laterally over the well region comprises a high dielectric constant (high-k) layer, and further comprises an inner dielectric layer disposed between the high-k layer and the at least one sidewall of the fin and between the high-k layer and the well region.
13. The vertical FinFET device of claim 12, wherein the inner dielectric layer comprises silicon dioxide.
14. The vertical FinFET device of claim 10, wherein the semiconductor substrate is a bulk silicon substrate or silicon-on-insulator substrate.
15. The vertical FinFET device of claim 10, wherein the well region is doped to a peak concentration between 1×1016 and 1×1019 atoms/cm3.
16. The vertical FinFET device of claim 10, wherein the bottom source/drain region is doped to a peak concentration between 5×1019 and 1×1021 atoms/cm3.
17. The vertical FinFET device of claim 10, further comprising a top source/drain region in contact with an upper end of the fin opposite to the lower end.
18. The vertical FinFET device of claim 10, further comprising a secondary gate stack over a sidewall of the fin opposite to the main gate stack, wherein the secondary gate stack comprises a secondary gate dielectric and a secondary gate conductor formed over the secondary gate dielectric.
19. The vertical FinFET device of claim 18, wherein the secondary gate dielectric is the same as the main gate dielectric.
20. The vertical FinFET device of claim 10, wherein the main gate stack is in direct contact with the portion of the well region.
US15/393,400 2016-12-29 2016-12-29 Method and structure to provide integrated long channel vertical FinFET device Active US10014409B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/393,400 US10014409B1 (en) 2016-12-29 2016-12-29 Method and structure to provide integrated long channel vertical FinFET device
US16/007,023 US11081398B2 (en) 2016-12-29 2018-06-13 Method and structure to provide integrated long channel vertical FinFet device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/393,400 US10014409B1 (en) 2016-12-29 2016-12-29 Method and structure to provide integrated long channel vertical FinFET device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/007,023 Division US11081398B2 (en) 2016-12-29 2018-06-13 Method and structure to provide integrated long channel vertical FinFet device

Publications (2)

Publication Number Publication Date
US10014409B1 US10014409B1 (en) 2018-07-03
US20180190817A1 true US20180190817A1 (en) 2018-07-05

Family

ID=62683654

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/393,400 Active US10014409B1 (en) 2016-12-29 2016-12-29 Method and structure to provide integrated long channel vertical FinFET device

Country Status (1)

Country Link
US (1) US10014409B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190081156A1 (en) * 2017-09-08 2019-03-14 Imec Vzw Method for Forming a Vertical Channel Device, and a Vertical Channel Device
US20190088755A1 (en) * 2017-09-20 2019-03-21 International Business Machines Corporation Vertical transistors having multiple gate thicknesses
US20190206853A1 (en) * 2017-12-29 2019-07-04 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof
US10381068B2 (en) * 2017-12-20 2019-08-13 International Business Machines Corporation Ultra dense and stable 4T SRAM cell design having NFETs and PFETs
US10453844B2 (en) * 2017-12-06 2019-10-22 International Business Machines Corporation Techniques for enhancing vertical gate-all-around FET performance
US20200013891A1 (en) * 2017-12-22 2020-01-09 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US20230230848A1 (en) * 2022-01-20 2023-07-20 Applied Materials, Inc. Methods for forming trench structures in substrates

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102483546B1 (en) * 2016-11-28 2023-01-02 삼성전자주식회사 Semiconductor devices having a vertical channel
US10297668B1 (en) * 2018-01-22 2019-05-21 International Business Machines Corporation Vertical transport fin field effect transistor with asymmetric channel profile
KR20210009503A (en) 2019-07-17 2021-01-27 삼성전자주식회사 Semiconductor device and method for fabricating the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977736B2 (en) * 2006-02-23 2011-07-12 Samsung Electronics Co., Ltd. Vertical channel transistors and memory devices including vertical channel transistors
US7781827B2 (en) * 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
US8598650B2 (en) * 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US20100148221A1 (en) * 2008-11-13 2010-06-17 Zena Technologies, Inc. Vertical photogate (vpg) pixel structure with nanowires
JP2010171090A (en) * 2009-01-20 2010-08-05 Elpida Memory Inc Semiconductor device, and method of manufacturing semiconductor device
US8575584B2 (en) * 2011-09-03 2013-11-05 Avalanche Technology Inc. Resistive memory device having vertical transistors and method for making the same

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190081156A1 (en) * 2017-09-08 2019-03-14 Imec Vzw Method for Forming a Vertical Channel Device, and a Vertical Channel Device
US10811507B2 (en) * 2017-09-20 2020-10-20 International Business Machines Corporation Vertical transistors having multiple gate thicknesses for optimizing performance and device density
US20190088755A1 (en) * 2017-09-20 2019-03-21 International Business Machines Corporation Vertical transistors having multiple gate thicknesses
US20190088754A1 (en) * 2017-09-20 2019-03-21 International Business Machines Corporation Vertical transistors having multiple gate thicknesses
US10811508B2 (en) * 2017-09-20 2020-10-20 International Business Machines Corporation Vertical transistors having multiple gate thicknesses for optimizing performance and device density
US11069686B2 (en) 2017-12-06 2021-07-20 International Business Machines Corporation Techniques for enhancing vertical gate-all-around FET performance
US10453844B2 (en) * 2017-12-06 2019-10-22 International Business Machines Corporation Techniques for enhancing vertical gate-all-around FET performance
US10381068B2 (en) * 2017-12-20 2019-08-13 International Business Machines Corporation Ultra dense and stable 4T SRAM cell design having NFETs and PFETs
US10840373B2 (en) * 2017-12-22 2020-11-17 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US20200013891A1 (en) * 2017-12-22 2020-01-09 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US10964812B2 (en) * 2017-12-22 2021-03-30 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US10903201B2 (en) * 2017-12-29 2021-01-26 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof
US20190206853A1 (en) * 2017-12-29 2019-07-04 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof
US11527526B2 (en) 2017-12-29 2022-12-13 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device
US20230230848A1 (en) * 2022-01-20 2023-07-20 Applied Materials, Inc. Methods for forming trench structures in substrates

Also Published As

Publication number Publication date
US10014409B1 (en) 2018-07-03

Similar Documents

Publication Publication Date Title
US9972494B1 (en) Method and structure to control channel length in vertical FET device
US10014409B1 (en) Method and structure to provide integrated long channel vertical FinFET device
US9786788B1 (en) Vertical-transport FinFET device with variable Fin pitch
US9831346B1 (en) FinFETs with air-gap spacers and methods for forming the same
US10256302B2 (en) Vertical transistor with air-gap spacer
US9704993B2 (en) Method of preventing epitaxy creeping under the spacer
US9659963B2 (en) Contact formation to 3D monolithic stacked FinFETs
US20190067115A1 (en) Gate cut method for replacement metal gate
US10269956B2 (en) Asymmetric vertical device
US10074571B1 (en) Device with decreased pitch contact to active regions
US9748239B2 (en) Fin-double-gated junction field effect transistor
US10164104B2 (en) Method to form air-gap spacers and air-gap spacer-containing structures
US9812575B1 (en) Contact formation for stacked FinFETs
US10658506B2 (en) Fin cut last method for forming a vertical FinFET device
US20190259619A1 (en) Finfet with high-k spacer and self-aligned contact capping layer
US10833157B2 (en) iFinFET
US10777468B1 (en) Stacked vertical field-effect transistors with sacrificial layer patterning
US20150061076A1 (en) High density resistor
US20180108654A1 (en) Finfet device with low resistance fins
US10373873B1 (en) Gate cut in replacement metal gate process
US10147648B1 (en) Vertical fin gate structure for RF device
US11081398B2 (en) Method and structure to provide integrated long channel vertical FinFet device
US20200035543A1 (en) Integrated single diffusion break
US20180337037A1 (en) Gate pickup method using metal selectivity
WO2023040421A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4