US20180130905A1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
US20180130905A1
US20180130905A1 US15/620,631 US201715620631A US2018130905A1 US 20180130905 A1 US20180130905 A1 US 20180130905A1 US 201715620631 A US201715620631 A US 201715620631A US 2018130905 A1 US2018130905 A1 US 2018130905A1
Authority
US
United States
Prior art keywords
film
function
films
gate insulating
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/620,631
Inventor
Won Keun CHUNG
Jong Ho Park
Seung Ha Oh
Sang Yong Kim
Hoon Joo NA
Sang Jin HYUN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD reassignment SAMSUNG ELECTRONICS CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NA, HOON JOO, HYUN, SANG JIN, KIM, SANG YONG, OH, SEUNG HA, PARK, JONG HO, CHUNG, WON KEUN
Publication of US20180130905A1 publication Critical patent/US20180130905A1/en
Priority to US16/100,804 priority Critical patent/US10600913B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]

Definitions

  • the present disclosure relates to a semiconductor device and a method for fabricating the same.
  • the multi-gate transistor has been suggested in which silicon bodies in a fin or nanowire shape are formed on a substrate, with gates then being formed on surfaces of the silicon bodies.
  • Such multi-gate transistor allows easy scaling, as it uses a three-dimensional channel. Further, current control capability can be enhanced without requiring increased gate length of the multi-gate transistor. Furthermore, it is possible to effectively suppress short channel effect (SCE) which is the phenomenon that the electric potential of the channel region is influenced by the drain voltage.
  • SCE short channel effect
  • a semiconductor device comprising first and second gate stack structures formed in first and second regions, respectively, wherein the first gate stack structure comprises a first channel region, a first gate insulating film having a first thickness formed on the first channel region, a first function film having a second thickness formed on the first gate insulating film and a first filling film having a third thickness formed on the first function film, wherein the second gate stack structure comprises a second channel region, a second gate insulating film having the first thickness formed on the second channel region, a second function film having the second thickness formed on the second gate insulating film and a second filling film having the third thickness formed on the second function film, wherein the first and second function films comprise TiN, and Si concentrations of the first and second function films are different from each other.
  • a semiconductor device comprising a substrate comprising first and second regions, first and second channel regions formed in the first and second regions, respectively, first and second gate insulating films formed on the first and the second channel regions, respectively, first and second function films formed on the first and second gate insulating films, respectively and comprising TiN, wherein a Si concentration of the first function film and a Si concentration of the second function film are different from each other and first and second filling films formed on the first and the second function films, respectively, wherein the first and second function films are TiSiN single film, or multiple films including a TiN film and a Si film alternately stacked.
  • FIG. 1 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments
  • FIG. 2 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments
  • FIG. 3 is a graph provided to explain threshold voltage change according to a thickness ratio of the stack structure of FIG. 2 ;
  • FIG. 4 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments
  • FIG. 5 is a perspective view illustrating a semiconductor device according to some exemplary embodiments.
  • FIG. 6 is a cross sectional view taken on lines A 1 -A 1 and A 2 -A 2 of FIG. 5 ;
  • FIG. 7 is a cross sectional view taken on lines B 1 -B 1 and B 2 -B 2 of FIG. 5 ;
  • FIG. 8 is a cross sectional view taken on lines C 1 -C 1 and C 2 -C 2 of FIG. 5 ;
  • FIG. 9 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • FIG. 10 is a layout diagram provided to explain a semiconductor device according to some exemplary embodiments.
  • FIG. 11 is a cross sectional view taken on lines D 1 -D 1 and D 2 -D 2 of FIG. 10 ;
  • FIG. 12 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • FIG. 13 is a layout diagram provided to explain a semiconductor device according to some exemplary embodiments.
  • FIG. 14 is a cross sectional view taken on lines E 1 -E 1 and E 2 -E 2 of FIG. 13 ;
  • FIG. 15 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • FIGS. 16 to 18 are views illustrating intermediate stages of fabrication, provided to explain a method for fabricating a semiconductor device according to some exemplary embodiments.
  • FIGS. 19 to 22 are views illustrating intermediate stages of fabrication, provided to explain a method for fabricating a semiconductor device according to some exemplary embodiments.
  • first, second, third etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. Unless the context indicates otherwise, these terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section, for example as a naming convention. Thus, a first element, component, region, layer or section discussed below in one section of the specification could be termed a second element, component, region, layer or section in another section of the specification or in the claims without departing from the teachings of the present invention. In addition, in certain cases, even if a term is not described using “first,” “second,” etc., in the specification, it may still be referred to as “first” or “second” in a claim in order to distinguish different claimed elements from each other.
  • Embodiments described herein will be described referring to plan views and/or cross-sectional views by way of ideal schematic views. Accordingly, the exemplary views may be modified depending on manufacturing technologies and/or tolerances. Therefore, the disclosed exemplary implementations are not limited to those shown in the views, but include modifications in configuration formed on the basis of manufacturing processes. Therefore, regions exemplified in figures may have schematic properties, and shapes of regions shown in figures may exemplify specific shapes of regions of elements to which aspects of the invention are not limited.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • the cross-sectional view(s) of device structures illustrated herein provide support for a plurality of device structures that extend along two different directions as would be illustrated in a plan view, and/or in three different directions as would be illustrated in a perspective view.
  • the two different directions may or may not be orthogonal to each other.
  • the three different directions may include a third direction that may be orthogonal to the two different directions.
  • the plurality of device structures may be integrated in a same electronic device.
  • an electronic device may include a plurality of the device structures (e.g., memory cell structures or transistor structures), as would be illustrated by a plan view of the electronic device.
  • the plurality of device structures may be arranged in an array and/or in a two-dimensional pattern.
  • FIGS. 1 to 4 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1 to 4 .
  • FIG. 1 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments.
  • the semiconductor device includes a first region I and a second region II.
  • the first region I and the second region II may be the regions adjacent to each other, or the regions spaced apart from each other in the semiconductor device.
  • the first region I and the second region II may be formed in the same direction, or different directions.
  • a first gate stack structure 1100 may be formed in the first region I.
  • the first gate stack structure 1100 may be a stack structure serving as a gate electrode for the transistor. Subsequent other embodiments will be described in detail below with reference to an actual shape of the first gate stack structure 1100 , after the order of stacking and characteristics of the structure are described.
  • the first gate stack structure 1100 may include a first channel region 1110 , a first gate insulating film 1120 , a first function film 1130 , and a first filling film 1140 .
  • the first channel region 1110 may be utilized as a channel region for the transistor.
  • the first channel region 1110 may include at least one of silicon, silicon germanium, indium antimonide, lead telluride compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • the first channel region 1110 includes silicon.
  • the first gate insulating film 1120 may be formed on the first channel region 1110 .
  • the first gate insulating film 1120 may directly contact the first channel region 1110 .
  • the first gate insulating film 1120 may prevent the first channel region 1110 from directly contacting the first function film 1130 .
  • the first gate insulating film 1120 may serve to insulate the gate of the transistor from the channel region between the source region and the drain region.
  • the first gate insulating film 1120 may include an insulator.
  • the first gate insulating film 1120 may include silicon oxide, silicon nitride, or silicon oxynitride, or a high-k material.
  • the high-k material may be material that has a higher dielectric constant (k) than the silicon oxide.
  • the high-k material may include one or more of hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate, but is not limited thereto.
  • the first gate insulating film 1120 may be formed with a first thickness H 1 .
  • the first thickness H 1 may be a thickness of the second gate insulating film 1220 that will be described below.
  • the first function film 1130 may be formed on the first gate insulating film 1120 .
  • the first function film 1130 may be in contact with the first gate insulating film 1120 .
  • the first function film 1130 may be spaced apart from the first channel region 1110 by the first gate insulating film 1120 .
  • the first function film 1130 may be formed with a second thickness H 2 .
  • the second thickness H 2 may be a thickness of the second function film 1230 that will be described below.
  • the first function film 1130 may include TiSiN. At this time, the Si concentration of the first function film 1130 may be deemed a first concentration. The first concentration may be different from Si concentration of the second function film 1230 that will be described below. The first concentration of Si may be 0% so that the first function film 1130 may include TiN.
  • Ti and Si of the TiSiN may be replaced by at least two of Ta, La, Hf, Mo and Yb.
  • N of the TiSiN may be replaced by at least one of O, C, S and Se.
  • TiSiN may all be replaced by the corresponding materials. However, for convenience of explanation, the following embodiment is described based on TiSiN.
  • the first function film 1130 may have a function of adjusting work function. Thus, the first function film 1130 may adjust the threshold voltage of the gate. At the same time, the first function film 1130 may also function as a barrier film that prevents oxygen from being excessively introduced into the first gate insulating film 1120 during a process such as heat treatment. Thus, the first function film 1130 may perform both the functions of oxygen block and work function adjustment.
  • the first filling film 1140 may be formed on the first function film 1130 .
  • the first filling film 1140 may be contacted with the first function film 1130 .
  • the first filling film 1140 may be formed on the first function film 1130 to thus complete the first gate stack structure 1100 .
  • the first filling film 1140 may be formed with a third thickness H 3 .
  • the third thickness H 3 may be a thickness of the second filling film 1240 that will be described below.
  • the first filling film 1140 may include a metal material having a conductivity.
  • the first filling film 1140 may be formed of A 1 , W, and so on, or multi-films formed of a combination thereof.
  • exemplary embodiments are not limited thereto.
  • the second gate stack structure 1200 may include a second channel region 1210 , a second gate insulating film 1220 , a second function film 1230 , and a second filling film 1240 .
  • the second channel region 1210 may be utilized as a channel region for the transistor.
  • the second channel region 1210 may include at least one of silicon, silicon germanium, indium antimonide, lead telluride compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • the second channel region 1210 includes silicon. That is, the first channel region 1110 and the second channel region 1210 may be the same structure. Note that the concept “same” may include fine differences that may occur according to characteristics of each region.
  • the second gate insulating film 1220 may be formed on the second channel region 1210 .
  • the second gate insulating film 1220 may directly contact the second channel region 1210 .
  • the second gate insulating film 1220 may prevent the second channel region 1210 from directly contacting the second function film 1230 .
  • the second gate insulating film 1220 may insulate the gate of the transistor from the channel region between the source region and the drain region.
  • the second gate insulating film 1220 may include the same material as the first gate insulating film 1120 . That is, the second gate insulating film 1220 may include silicon oxide, silicon nitride, or silicon oxynitride, or a high-k material, for example.
  • the second gate insulating film 1220 may be formed with a first thickness H 1 .
  • the first thickness H 1 may be a thickness of the first gate insulating film 1120 .
  • the second function film 1230 may be formed on the second gate insulating film 1220 .
  • the second function film 1230 may be in contact with the second gate insulating film 1220 .
  • the second function film 1230 may be spaced apart from the second channel region 1210 by the second gate insulating film 1220 .
  • the second function film 1230 may be formed with a second thickness H 2 .
  • the second thickness H 2 may be a thickness of the first function film 1130 .
  • the second function film 1230 may include TiSiN.
  • the Si concentration of the second function film 1230 may be a second concentration which is different from the first concentration of Si in first function film 1100 .
  • the Si concentration of the first function film 1130 and Si concentration of the second function film 1230 may be different from each other.
  • the second concentration may be higher than the first concentration.
  • Ti and Si of the TiSiN may be replaced by at least two of Ta, La, Hf, Mo and Yb.
  • N of the TiSiN may be replaced by at least one of O, C, S and Se.
  • TiSiN may all be replaced by the corresponding materials. However, for convenience of explanation, the following description is based on TiSiN.
  • the second function film 1230 may adjust work function.
  • the second function film 1230 may adjust the threshold voltage of the gate.
  • the second function film 1230 may function as a barrier that prevents oxygen from being excessively introduced into the second gate insulating film 1220 during a process such as heat treatment.
  • the second filling film 1240 may be formed on the second function film 1230 .
  • the second filling film 1240 may be contacted with the second function film 1230 .
  • the second gate stack structure 1200 may be completed when the second filling film 1240 is formed on the second function film 1230 .
  • the second filling film 1240 may be formed with a third thickness H 3 .
  • the third thickness H 3 may be a thickness of the first filling film 1140 .
  • the second filling film 1240 may have the same thickness as the first filling film 1140 .
  • exemplary embodiments are not limited to the example given above.
  • the second filling film 1240 may include a same material as the first filling film 1140 .
  • the second filling film 1240 may include a metal material having a conductivity.
  • the second filling film 1240 may be formed of A 1 , W, and so on, or multiple films formed of a combination thereof.
  • exemplary embodiments are not limited thereto.
  • the first gate stack structure 1100 and the second gate stack structure 1200 may be formed with the same height as each other. Further, in each set of corresponding films, the first gate insulating film 1120 and the second gate insulating film 1220 , the first function film 1130 and the second function film 1230 , and the first filling film 1140 and the second filling film 1240 , the thickness of each member of the set may be the same. However, exemplary embodiments are not limited to the example given above. The description of each thickness in each detailed embodiment continues below.
  • the first function film 1130 and the second function film 1230 may have the same thickness as each other. Meanwhile, the first function film 1130 and the second function film 1230 may have the different Si concentrations from each other. Accordingly, threshold voltages of the first gate stack structure 1100 and the second gate stack structure 1200 may vary. That is, two films having the same thickness may have different concentrations of Si from each other without adjusting the thickness of the work function adjusting film, so that different threshold voltages can be implemented.
  • Si concentration of the second function film 1230 may be higher than Si concentration of the first function film 1130 . Accordingly, the threshold voltage of the second gate stack structure 1200 may be higher than that of the first gate stack structure 1100 .
  • the first function film 1130 and the second function film 1230 are formed to have the same thickness, so that the process involving patterning, deposition, and etching repeated for several times can be greatly reduced to one deposition process.
  • Such simple process can also implement different work functions or threshold voltages.
  • the functions of the work function adjustment film and the barrier film may be performed simultaneously with the first function film 1130 and the second function film 1230 , the process of forming a separate barrier film can also be reduced.
  • first function film 1130 and the second function film 1230 having the same thickness are formed, the process of forming the first filling film 1140 and second filling film 1240 is further facilitated, and more precise multi-threshold voltage devices can be implemented.
  • the first function film 1130 and the second function film 1230 may be an amorphous film.
  • the property to prevent leakage current occurred in the crystallized film can be further strengthened.
  • FIGS. 2 and 3 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 3 .
  • description overlapped with the exemplary embodiments already provided above will not be described or described as brief as possible for the sake of brevity.
  • FIG. 2 is a conceptual diagram of a stack structure provided to explain a semiconductor device according to some embodiments
  • FIG. 3 is a graph provided to explain a threshold voltage change according to a thickness ratio of the stack structure of FIG. 2 .
  • the abscissa axis in FIG. 3 represents the equivalent oxide film thickness (EOT), and the ordinate axis represents the capacitance in the gate direction.
  • EOT equivalent oxide film thickness
  • the first function film 1130 and the second function film 1230 of the semiconductor device may be a multi-film structure rather than a single film.
  • the first function film 1130 of the first gate stack structure 1100 in the first region I may include first interfacial function film 1130 including first interfacial function films 1131 a and 1131 b and first barrier function film 1133 including first barrier function films 1133 a and 1133 b .
  • the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may be alternately stacked with each other.
  • each of the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may be plural.
  • the present disclosure is not limited thereto, and the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may each be a single film.
  • the first interfacial function films 1131 a and 1131 b are in direct contact with the first gate insulating film 1120 , and the first barrier function films 1133 a and 1133 b are not in contact with the first gate insulating film 1120 .
  • the lowermost portion of the first function film 1130 may be the first interfacial function films 1131 a and 1131 b.
  • the first interfacial function films 1131 a and 1131 b may enhance an interfacial characteristic with the first gate insulating film 1120 .
  • the first interfacial function films 1131 a and 1131 b may include TiN, for example. However, exemplary embodiments are not limited to the example given above.
  • the TiN may be formed by atomic layer deposition (ALD).
  • the first interfacial function films 1131 a and 1131 b may be amorphous.
  • the first barrier function films 1133 a and 1133 b may include Si.
  • the first barrier function films 1133 a and 1133 b may perform a barrier function to prevent excessive diffusion of oxygen into the first gate insulating film 1120 thereunder in a subsequent process such as heat treatment.
  • the first barrier function films 1133 a and 1133 b may form Si in the soak method.
  • the soak method is one of the methods of directly forming a single film, unlike the method of forming two or more films by a heat treatment.
  • the first interfacial function films 1131 a and 1131 b may each have, respectively, thicknesses a 1 and a 2 . In this case, the thicknesses a 1 and a 2 may be the same as each other, or may be different from each other.
  • the first barrier function films 1133 a and 1133 b may each have, respectively, thicknesses b 1 and b 2 . In this case, the thicknesses b 1 and b 2 may be the same as each other, or may be different from each other.
  • ratio of (a 1 +a 2 ):(b 1 +b 2 ) may be considered as a first ratio.
  • the first ratio may be different from the second ratio of the second function film 1230 that will be described below.
  • the second function film 1230 of the second gate stack structure 1200 in the second region II may include second interfacial function film 1231 including second interfacial function films 1231 a and 1231 b and second barrier function film 1233 including second barrier function films 1233 a and 1233 b .
  • the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may be alternately stacked with each other.
  • each of the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may be plural.
  • the present disclosure is not limited thereto, and the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may each be a single film.
  • the second interfacial function films 1231 a and 1231 b are in direct contact with the second gate insulating film 1220 , and the second barrier function films 1233 a and 1233 b are not in contact with the second gate insulating film 1220 .
  • the lowermost portion of the second function film 1230 may be the second interfacial function films 1231 a and 1231 b.
  • the second interfacial function films 1231 a and 1231 b may enhance interfacial properties with the second gate insulating film 1220 .
  • the second interfacial function films 1231 a and 1231 b may include TiN, for example. However, exemplary embodiments are not limited to the example given above. TiN may be formed by ALD.
  • the second interfacial function films 1231 a and 1231 b may be amorphous.
  • the second barrier function films 1233 a and 1233 b may include Si.
  • the second barrier function films 1233 a and 1233 b may perform a barrier function to prevent excessive diffusion of oxygen into the second gate insulating film 1220 thereunder in a subsequent process such as heat treatment.
  • the second barrier function films 1233 a and 1233 b may form Si in the soak method.
  • the second interfacial function films 1231 a and 1231 b may each have, respectively, thicknesses c 1 and c 2 . In this case, the thicknesses c 1 and c 2 may be the same as each other, or may be different from each other.
  • the second barrier function films 1233 a and 1233 b may each have, respectively, thicknesses d 1 and d 2 . In this case, the thicknesses d 1 and d 2 may be the same as each other, or may be different from each other.
  • ratio of (c 1 +c 2 ):(d 1 +d 2 ) may be considered to be a second ratio.
  • the second ratio may be different from the first ratio of the first function film 1130 .
  • the first ratio may be lower than the second ratio.
  • the thickness of the first barrier function films 1133 a and 1133 b with respect to that of the first interfacial function films 1131 a and 1131 b may be less than the thickness of the second barrier function films 1233 a and 1233 b with respect to that of the second interfacial function films 1231 a and 1231 b .
  • the Si content in each function film may correspond to the thickness of the barrier function film. Thus, when the barrier function film is thick, the Si content may be high, and conversely, when the barrier function film is thin, the Si content may be low.
  • a second thickness H 2 of the first function film 1130 and the second function film 1230 may be the same as each other. Accordingly, it is possible to compare the Si contents in the same state.
  • the second gate stack structure 1200 including the second function film 1230 in the second region II which has a lower Si content, may have a lower threshold voltage than the first gate stack structure 1100 .
  • FIG. 4 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments.
  • a material of the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b of the semiconductor device may each be the same.
  • the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b may each enhance interfacial properties with the first gate insulating film 1120 and the second gate insulating film 1220 .
  • the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b may include TiSiN, for example.
  • the Si concentration of the first interfacial function films 1131 a and 1131 b and the Si concentration of the second interfacial function films 1231 a and 1231 b may be different from each other.
  • the Si concentration of the second interfacial function films 1231 a and 1231 b may be higher than that of the first interfacial function films 1131 a and 1131 b .
  • the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b may all be amorphous.
  • the first interfacial function film 1131 which includes first interfacial films 1131 a and 1131 b and the second interfacial function film 1231 which includes second interfacial function films 1231 a and 1231 b may include TiSiN
  • the first barrier function film 1135 which include first barrier function films 1135 a and 1135 b and the second barrier function film 1235 which includes second barrier function films 1235 a and 1235 b may likewise include TiSiN.
  • first barrier function films 1135 a and 1135 b and the second barrier function films 1235 a and 1235 b may have a different phase from the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b .
  • first barrier function films 1135 a and 1135 b and the second barrier function films 1235 a and 1235 b may all be crystalline.
  • the first function film 1130 and the second function film 1230 may be a structure in which the amorphous film and the crystalline film are alternately stacked.
  • the thickness of the first barrier function films 1135 a and 1135 b with respect to that of the first interfacial function films 1131 a and 1131 b may be equal to or different from the thickness of the second barrier function films 1235 a and 1235 b with respect to that of the second interfacial function films 1231 a and 1231 b.
  • FIGS. 1, and 5 to 8 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1, and 5 to 8 .
  • the previously provided description of exemplary embodiments will not be described again or will be described as briefly as necessary.
  • FIG. 5 is a perspective view provided to explain a semiconductor device according to some exemplary embodiments
  • FIG. 6 is a cross sectional view taken on lines A 1 -A 1 and A 2 -A 2 of FIG. 5
  • FIG. 7 is a cross sectional view taken on lines B 1 -B 1 and B 2 -B 2 of FIG. 5
  • FIG. 8 is a cross sectional view taken on lines C 1 -C 1 and C 2 -C 2 of FIG. 5 .
  • a semiconductor device may include a substrate 100 including a first region I and a second region II.
  • the substrate 100 may be, for example, a bulk silicon or a silicon-on-insulator (SOI).
  • the substrate 100 may include a material different from silicon, for example, silicon germanium, indium antimonide, lead telluride compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • the substrate 100 may be a base substrate having an epitaxial layer formed thereon.
  • the first region I and the second region II on the substrate 100 may be the regions adjacent to each other, or the regions spaced apart from each other. Thus, as long as the condition that the regions be formed on the same substrate is satisfied, the positions of the first region I and the second region II are not limited.
  • the first region I on the substrate 100 may be represented by a first direction X 1 , a second direction Y 1 , and a third direction Z 1 , which are perpendicular to each other.
  • the second region II may be represented by a fourth direction X 2 , a fifth direction Y 2 , and a sixth direction Z 2 , which are perpendicular to each other.
  • the first to third directions and the fourth to sixth directions of the first region I and the second region II may be in the same directions or different directions.
  • the first region I may include a first fin-type pattern 110 , a first nanowire 120 , a third nanowire 125 , a first gate insulating film 147 , a first function film 131 , a first filling film 130 , a first gate spacer 140 , a first source/drain 150 , and so on.
  • the first fin-type pattern 110 may protrude from the substrate 100 .
  • the first fin-type pattern 110 may elongate in the first direction X 1 .
  • the first fin-type pattern 110 may include a long side extended in the first direction X 1 , and a short side extended in the second direction Y 1 .
  • the first fin-type pattern 110 may be formed by partially etching the substrate 100 , and may include an epitaxial layer grown from the substrate 100 .
  • the first fin-type pattern 110 may include an element semiconductor material such as silicon or germanium, for example. Further, the first fin-type pattern 110 may include a compound semiconductor such as, for example, IV-IV group compound semiconductor or III-V group compound semiconductor.
  • the first fin-type pattern 110 may be a binary compound or a ternary compound including, for example, at least two or more of carbon (C), silicon (Si), germanium (Ge), and tin (Sn), or the above-mentioned binary or ternary compound doped with IV group element.
  • the fin-type pattern 110 may be a binary compound, ternary compound or quaternary compound which is formed as a III group element which may be at least one of aluminum (A 1 ), gallium (Ga), and indium (In), is combined with a V group element which may be one of phosphorus (P), arsenic (As) and antimony (Sb).
  • the first fin-type pattern 110 of a semiconductor device includes silicon.
  • a field insulating film 105 may at least partially surround the sidewall of the first fin-type pattern 110 .
  • the first fin-type pattern 110 may be defined by the field insulating film 105 .
  • the field insulating film 105 may include, for example, one of oxide film, nitride film, oxynitride film, or a combination thereof.
  • the sidewall of the first fin-type pattern 110 may be surrounded by the field insulating film 105 , but note that this is only for illustrative purpose, and other embodiments are not limited thereto.
  • the first nanowire 120 and the third nanowire 125 may be formed on the substrate 100 , while being spaced apart from the first fin-type pattern 110 .
  • the first nanowire 120 and third nanowire 125 may be extended in the first direction X 1 .
  • the first nanowire 120 and the third nanowire 125 may be formed on the first fin-type pattern 110 , while being spaced apart from the first fin-type pattern 110 . Further, the first nanowire 120 and third nanowire 125 may be spaced apart from each other.
  • the third nanowire 125 may be spaced apart from the substrate 100 further than the first nanowire 120 . That is, the height from the upper surface of the first fin-type pattern 110 to the third nanowire 125 may be greater than the height from the upper surface of the first fin-type pattern 110 to the first nanowire 120 .
  • the first nanowire 120 and the third nanowire 125 may be overlapped with the fin-type pattern 110 in the third direction Z 1 .
  • the first nanowire 120 and the third nanowire 125 may not be formed on the field insulating film 105 , and may be formed on the first fin-type pattern 110 .
  • the first nanowire 120 and the third nanowire 125 may be used as a channel region for the transistor.
  • the materials for the first nanowire 120 and the third nanowire 125 may vary depending on whether the semiconductor device is a PMOS or an NMOS, but other exemplary embodiments are not limited thereto.
  • the first nanowire 120 and the third nanowire 125 each include silicon.
  • the first function film 131 and the first filling film 130 may be formed on the field insulating film 105 and the first fin-type pattern 110 .
  • the first filling film 130 may extend in the second direction Y 1 .
  • the first function film 131 and the first filling film 130 may be so formed as to surround the periphery of the first nanowire 120 and the third nanowire 125 that are spaced apart from an upper surface of the first fin-type pattern 110 .
  • the first function film 131 and the first filling film 130 may also be formed in a space defined between the first nanowire 120 and the third nanowire 125 and the first fin-type pattern 110 .
  • the first function film 131 may be formed first to surround the first nanowire 120 and the third nanowire 125 , and the first filling film 130 may be formed thereon to surround the first function film 131 .
  • the first function film 131 may include TiSiN.
  • the first filling film 130 may include a conductive material.
  • the first filling film 130 may include at least one of TiN, WN, TaN, Ru, TiC, TaC, Ti, Ag, A 1 , TiAl, TiAlN, TiAlC, TaCN, TaSiN, Mn, Zr, W, and A 1 .
  • the first filling film 130 may each be formed of non-metal element such as Si, SiGe, and so on.
  • the first filling film 130 described above may be formed by replacement process, but not limited thereto.
  • the first gate spacer 140 may be formed on both sidewalls of the first gate electrode 130 that are extended in the second direction Y 1 .
  • the first gate spacer 140 may be formed on either side of the first nanowire 120 , while facing each other.
  • the first gate spacer 140 may each include a through hole 140 h 1 and 140 h 2 ( FIGS. 6 and 8 ).
  • the first nanowire 120 may be passed through the first gate spacer 140 via the first through hole 140 h 1 .
  • the first gate spacer 140 may be in direct contact with a periphery portion of the side surface of the first nanowire 120 .
  • the inner wall of the first through hole 140 h 1 may be in contact with a portion of the outer surface periphery of the first nanowire 120 .
  • the first gate spacer 140 may include the first outer spacer 141 and the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 .
  • the first outer spacer 141 may directly contact with the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 .
  • the first inner spacer 142 may be disposed between the upper surface of the first fin-type pattern 110 and the first nanowire 120 , and may be in surface contact with the upper surface of the first fin-type pattern 110 .
  • the second inner spacer 142 - 1 may be disposed between the upper surface of the first nanowire 120 and the third nanowire 125 , and may be surrounded by the first outer spacer 141 .
  • the fifth inner spacer 142 - 2 may be disposed on the third nanowire 125 , and may be surrounded by the first outer spacer 141 .
  • the first inner spacer 142 may be surrounded by the first nanowire 120 , the first outer spacer 141 , and the fin-type pattern 110 .
  • the second inner spacer 142 - 1 may be surrounded by the first nanowire 120 , the third nanowire 125 , and the first outer spacer 141 .
  • the fifth inner spacer 142 - 2 may be surrounded by the third nanowire 125 and the first outer spacer 141 .
  • the gate spacer 140 may include a plurality of first through holes 140 h 1 and 140 h 2 .
  • the plurality of first through holes 140 h 1 and 140 h 2 of the first gate spacer 140 may be defined, respectively, by: the first outer spacer 141 , the first inner spacer 142 , and the second inner spacer 142 - 1 the first outer spacer 141 , the second inner spacer 142 - 1 and the fifth inner spacer 142 - 2 . Ends of the first nanowire 120 and the third nanowire 125 may be in contact with the first outer spacer 141 , the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 .
  • the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 may include the same material as one another.
  • the first outer spacer 141 , the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 may have different materials from one another.
  • the dielectric constant of the material contained in the first outer spacer 141 may differ from the dielectric constant of the material contained in the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 .
  • the material included in the first outer spacer 141 may have a dielectric constant that is greater than the dielectric constant of the material included in the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 . It is possible to reduce the fringing capacitance between the first gate electrode 130 and the first source/drain 150 by having differing dielectric constants for these materials as described above.
  • the first outer spacer 141 may include at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • the first inner spacer 142 and the second inner spacer 142 - 1 may include low-k dielectric material, for example.
  • the low-k dielectric material may be the material that has a lower dielectric constant than the silicon oxide.
  • the first gate insulating layer 147 may be formed between the first nanowire 120 and the third nanowire 125 and the first function film 131 (shown in FIG. 7 ). Further, the first gate insulating film 147 may also be formed between the field insulating film 105 and the first function film 131 , and between the first inner spacer 142 , the second inner spacer 142 - 1 , the fifth inner spacer 142 - 2 , and the first function film 130 .
  • the first gate insulating layer 147 may include a first interfacial layer 146 and a first high-k insulating film 145 , but not limited thereto.
  • the first interfacial layer 146 of the first gate insulating film 147 may be omitted depending on a material of the first nanowire 120 and the third nanowire 125 , and so on.
  • the first interfacial layer 146 may be formed on a periphery of the first nanowire 120 and the third nanowire 125 , the first interfacial layer 146 may be formed between the first nanowire 120 and the third nanowire 125 and the first function film 131 , and between the first fin-type pattern 110 and the first function film 131 .
  • the first interfacial layer 146 may include silicon oxide film.
  • the first interfacial layer 146 may be formed on a periphery of the first nanowire 120 and the third nanowire 125 , but may not be formed along the sidewalls of the first inner spacer 142 , the second inner spacer 142 - 1 , the fifth inner spacer 142 - 2 , and the first outer spacer 141 .
  • the first high-k insulating film 145 may be formed between the first nanowire 120 and the third nanowire 125 and the first function film 131 , between the first inner spacer 142 and the first function film 131 , between the second inner spacer 142 - 1 and the first function film 131 , between the fifth inner spacer 142 - 2 and the first function film 131 , between the field insulating film 105 and the first function film 131 , and between the first outer spacer 141 and the first function film 131 .
  • the first high-k insulating film 145 may include a high-k material having a higher dielectric constant than silicon oxide film.
  • the high-k material may include one or more of hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, or lead zinc niobate, but is not limited thereto.
  • the first high-k insulating film 145 may include not only the high-k material, but also at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • the first gate insulating film 147 may be formed along the periphery of the first nanowire 120 and the third nanowire 125 .
  • the first gate insulating film 147 may be formed along the upper surface of the field insulating film 105 and the upper surface of the first fin-type pattern 110 . Additionally, the first gate insulating film 147 may be formed along the sidewalls of the first inner spacer 142 , the second inner spacer 142 - 1 , the fifth inner spacer 142 - 2 , and the first outer spacer 141 .
  • a first source/drain 150 may be formed on either side of the first filling film 130 and the first function film 131 .
  • the first source/drain 150 may be formed on the first fin-type pattern 110 .
  • the first source/drain 150 may include an epitaxial layer formed on an upper surface of the first fin-type pattern 110 .
  • An outer circumference of the first source/drain 150 may take on a variety of shapes.
  • the outer circumference of the first source/drain 150 may be at least one of diamond, circle, rectangle, and octagon shapes.
  • FIG. 5 illustrates a diamond shape (or pentagon or hexagon shape), for example.
  • the first source/drain 150 may be directly connected with the first nanowire 120 and the third nanowire 125 being used as a channel region. Thus, the first source/drain 150 may be directly connected with the first nanowire 120 and the third nanowire 125 that are passed through the plurality of first through holes 140 h 1 and 140 h 2 of the first gate spacer 140 .
  • the first source/drain 150 may not be in direct contact with the first gate insulating film 147 .
  • the first gate spacer 140 may be positioned between the first source/drain 150 and the first gate insulating film 147 . More specifically, because one sidewall of the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 may be in contact with the first gate insulating film 147 , while the other sidewall of the first inner spacer 142 , the second inner spacer 142 - 1 , and the fifth inner spacer 142 - 2 may be in contact with the first source/drain 150 , the first source/drain 150 and the first gate insulating film 147 may not be in contact with each other between the first nanowire 120 and the third nanowire 125 and the substrate 100 .
  • the first interlayer insulating film 180 may be formed on the first source/drain 150 , the first gate spacer 140 , and the field insulating film 105 .
  • the first interlayer insulating film 180 may include at least one of low-k material, oxide film, nitride film, and oxynitride film.
  • the low-k material may be flowable oxide (FOX), tonen silazene (TOSZ), undoped silica glass (USG), borosilica glass (BSG), phosphosilica glass (PSG), borophosphosilica glass (BPSG), plasma enhanced tetraethyl orthosilicate (PETEOS), fluoride silicate glass (FSG), high density plasma (HDP) oxide, plasma enhanced oxide (PEOX), flowable CVD (FCVD) oxide, or a combination thereof.
  • FOX flowable oxide
  • TOSZ tonen silazene
  • USG borosilica glass
  • PSG phosphosilica glass
  • BPSG borophosphosilica glass
  • PETEOS plasma enhanced tetraethyl orthosilicate
  • FSG high density plasma
  • HDP high density plasma
  • the device formed in the second region II may be similar to that in the first region I.
  • the second region II may include a second fin-type pattern 210 , a second nanowire 220 , a fourth nanowire 225 , a second gate insulating film 247 , a second function film 231 , a second filling film 230 , a second gate spacer 240 , a second source/drain 250 , and all the other structures which correspond to the structures shown in first region I.
  • the second fin-type pattern 210 , the second nanowire 220 , the fourth nanowire 225 , the second gate insulating film 247 , the second function film 231 , the second filling film 230 , the second gate spacer 240 , and the second source/drain 250 may have same or similar characteristics as the first fin-type pattern 110 , the first nanowire 120 , the third nanowire 125 , the first function film 131 , the first filling film 130 , the first gate spacer 140 , and the first source/drain 150 described above.
  • the second interlayer insulating film 280 , the second through holes 240 h 1 and 240 h 2 , the second interfacial layer 246 , the second high-k insulating film 245 , the third inner spacer 242 , the fourth inner spacer 242 - 1 , the sixth inner spacer 242 - 2 , and the second outer spacer 241 may also have same or similar characteristics as the first interlayer insulating film 180 , the first interfacial layer 146 , the first high-k insulating film 145 , the first inner spacer 142 , the second inner spacer 142 - 1 , the fifth inner spacer 142 - 2 , and the first outer spacer 141 , respectively.
  • the second function film 231 may include TiSiN like the first function film 131 , the Si concentration may be lower.
  • a thickness of the second function film 231 may be equal to a thickness of the first function film 131 .
  • the semiconductor device shown in FIGS. 5 to 8 may correspond to that shown in FIG. 1 .
  • the first region I and the second region II in FIGS. 5 to 8 may correspond to the first region I and the second region II in FIG. 1 , respectively.
  • the first nanowire 120 and the third nanowire 125 correspond to the first channel region 1110
  • the second nanowire 220 and the fourth nanowire 225 correspond to the second channel region 1210 .
  • first gate insulating film 147 and the second gate insulating film 247 in FIGS. 5 to 8 correspond to the first gate insulating film 1120 and the second gate insulating film 1220 in FIG. 1 .
  • first function film 131 and the second function film 231 in FIGS. 5 to 8 correspond to the first function film 1130 and the second function film 1230 in FIG. 1 .
  • first filling film 130 and the second filling film 230 in FIGS. 5 to 8 correspond to the first filling film 1140 and the second filling film 1240 in FIG. 1 .
  • the gate stack structure of FIG. 1 may be implemented as the gate-all-around structure of FIGS. 5 to 8 .
  • the number of nanowires is shown as two, but this is provided only for illustrative purpose and exemplary embodiments are not limited thereto. In some embodiments of the present disclosure, the number of nanowires may be one, or more than two.
  • FIGS. 2 and 9 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 9 .
  • the description of previously disclosed exemplary embodiments that has already been provided above will not be described here or will be described as briefly as needed for the sake of brevity.
  • FIG. 9 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • the first function film 1130 and the second function film 1230 of FIG. 2 may be formed in a gate-all-around structure, which is the semiconductor device shown in FIG. 9 .
  • the first function film 131 may have a structure in which the first interfacial function film 131 a and the first barrier function film 131 b are alternately stacked. Although each of the first interfacial function film 131 a and the first barrier function film 131 b is shown as a pair in the drawings, in some embodiments, each of the first interfacial function film 131 a and the first barrier function film 131 b may be more than two, or may be one.
  • the second function film 231 may have a structure in which the second interfacial function film 231 a and the second barrier function film 231 b are alternately stacked. Although each of the second interfacial function film 231 a and the second barrier function film 231 b is shown as a pair in the drawings, in some embodiments, each of the second interfacial function film 231 a and the second barrier function film 231 b may be more than two, or may be one.
  • FIGS. 1, 10 and 11 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1, 10 and 11 .
  • the previous description of exemplary embodiments already provided above will not be described here or will be described as briefly as needed for the sake of brevity.
  • FIG. 10 is a layout view provided to explain a semiconductor device according to some exemplary embodiments
  • FIG. 11 is a cross sectional view taken on lines D 1 -D 1 and D 2 -D 2 of FIG. 10 .
  • a semiconductor device includes a substrate 10 , an interlayer insulating film 20 , spacers 21 and 22 , high-k films 31 and 32 , gate patterns 61 and 62 , capping patterns 81 and 82 , and source/drains 91 and 92 .
  • the substrate 10 includes a first region I and a second region II, wherein the first region I includes a first fin F 1 protruding from the substrate 10 and the second region II includes a second fin F 2 protruding from the substrate 10 .
  • the first gate pattern 61 may extend on the first fin F 1 in a direction intersecting the first fin F 1
  • the second gate pattern 62 may extend on the second fin F 2 in a direction intersecting the second fin F 2 .
  • the interlayer insulating film 20 may be formed on the substrate 10 .
  • the interlayer insulating film 20 may include a first trench T 1 in the first region I, and a second trench T 2 in the second region II.
  • the interlayer insulating film 20 may be formed by stacking two or more insulating films.
  • the first spacer 21 and the second spacer 22 may be formed on sidewalls of the first trench T 1 and the second trench T 2 , respectively, and the substrate 10 may be disposed on bottom surfaces of the first trench T 1 and the second trench T 2 .
  • exemplary embodiments are not limited to the example given above.
  • the interlayer insulating film 20 may include at least one of silicon oxide, silicon nitride, silicon oxynitride, and a low-k dielectric material with a smaller dielectric constant than silicon oxide.
  • the first spacer 21 may form a sidewall of the first trench T 1 and may include at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • the second spacer 22 may form a sidewall of the second trench T 2 and may include at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • the first high-k film 31 may be conformally formed along the sidewall and the bottom surface of the first trench T 1 .
  • the first high-k film 31 may entirely cover the sidewall of the first trench T 1 .
  • the height of the uppermost portion of the upper surface of the first high-k film 31 may be same as that of the upper surface of the first spacer 21 .
  • exemplary embodiments are not limited to the example given above.
  • the second high-k film 32 may be conformally formed along the sidewall and the bottom surface of the second trench T 2 .
  • the second high-k film 32 may entirely cover the sidewall of the second trench T 2 .
  • the height of the uppermost portion of the upper surface of the second high-k film 32 may be same as that of the upper surface of the second spacer 22 .
  • exemplary embodiments are not limited to the example given above.
  • the first high-k film 31 and the second high-k film 32 may include a high-k material having a higher dielectric constant than a silicon oxide film.
  • the high-k films 31 and 32 may include materials selected from the group consisting of HfSiON, HfO 2 , ZrO 2 , Ta 2 O 5 , TiO 2 , SrTiO 3 or (Ba,Sr)TiO 3 , and so on.
  • Such high-k films 31 and 32 may be formed to a proper thickness depending on a type of the device intended to be formed.
  • an interface film may be included between the high-k films 31 and 32 and the substrate 10 .
  • the interface film may be formed along the bottom surfaces of the trenches T 1 and T 2 .
  • the interface film 30 may play a role of preventing a defective interface between the substrate 10 and the high-k films 31 and 32 .
  • the interface film may include a low dielectric material layer having a dielectric constant (k) of 9 or lower, such as a silicon oxide film (k is approximately 4) or a silicon oxynitride film (k is approximately 4 to 8 depending on content of oxygen atoms and nitrogen atoms).
  • the interface film may be formed of silicate, or a combination of films exemplified above.
  • the first gate pattern 61 may include a first function film 41 and a first filling film 51 .
  • the first function film 41 may be conformally formed along the bottom surface and the side surface of the first trench T 1 , and the first filling film 51 may fill the portion not filled with the first function film 41 .
  • the second gate pattern 62 may include a second function film 42 and a second filling film 52 .
  • the second function film 42 may be conformally formed along the bottom surface and the side surface of the second trench T 2 , and the second filling film 52 may fill the portion not filled with the second function film 42 .
  • the function films 41 and 42 may include TiSiN.
  • the Si concentration in the first function film 41 may be lower than the Si concentration Si in the second function film 42 .
  • the threshold voltage of the transistor in the first region I may be lower than that of the transistor in the second region II.
  • the first source/drain 91 may be formed on the side surface of the first gate pattern 61 .
  • the second source/drain 92 may be formed on the side surface of the second gate pattern 62 .
  • a portion between the first source/drain 91 of the first fin F 1 may be defined as a first channel region C 1 .
  • a portion between the second source/drain 92 of the second fin F 2 may be defined as a second channel region C 2 .
  • the semiconductor device shown in FIGS. 10 and 11 may correspond to that shown in FIG. 1 .
  • the first channel region C 1 and the second channel region C 2 of FIGS. 10 and 11 may correspond to the first channel region 1110 and the second channel region 1210 of FIG. 1 .
  • the high-k films 31 and 32 and the interface film in FIGS. 10 and 11 may correspond, respectively, to the first gate insulating film 1120 and the second gate insulating film 1220 in FIG. 1 .
  • the function films 41 and 42 in FIGS. 10 and 11 may correspond, respectively, to the first function film 1130 and the second function film 1230 in FIG. 1 .
  • the filling films 51 and 52 in FIGS. 10 and 11 may correspond, respectively, to the first filling film 1140 and the second filling film 1240 in FIG. 1 .
  • the gate stack structure of FIG. 1 may be implemented as the fin-type structure of FIGS. 10 and 11 .
  • FIGS. 2 and 12 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 12 .
  • the description previously given for the exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIG. 12 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • the first function film 1130 and the second function film 1230 of FIG. 2 may be formed in the fin-type structure, which is the semiconductor device in FIG. 12 .
  • the first function film 41 may have a structure in which the first interfacial function film 41 a and the first barrier function film 41 b are alternately stacked. Although each of the first interfacial function films 41 a and the first barrier function films 41 b are shown as a pair in the drawings, in some embodiments, each of the first interfacial function films 41 a and the first barrier function films 41 b may be more than two, or may be one.
  • the second function film 42 may have a structure in which the second interfacial function films 42 a and the second barrier function films 42 b are alternately stacked. Although each of the second interfacial function films 42 a and the second barrier function films 42 b are shown as a pair in the drawings, in some embodiments, each of the second interfacial function films 42 a and the second barrier function films 42 b may be more than two, or may be one.
  • FIGS. 1, 13 and 14 a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1, 13 and 14 .
  • the previous description of exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIG. 13 is a layout view provided to explain a semiconductor device according to some exemplary embodiments
  • FIG. 14 is a cross sectional view taken on lines E 1 -E 1 and E 2 -E 2 of FIG. 13 .
  • a semiconductor device includes a substrate 500 , vertical channel regions 310 and 410 , upper sources/drains 312 and 412 , lower source/drains 311 and 411 , interlayer insulating films 300 and 400 , gate insulating films 320 and 420 , function films 330 and 430 , and filling films 340 and 440 .
  • the substrate 500 includes a first region I and second regions II.
  • the interlayer insulating films 300 and 400 may be formed on the substrate 500 .
  • the interlayer insulating films 300 and 400 may include a first interlayer insulating film 300 formed in the first region I and a second interlayer insulating film 400 formed in the second region II.
  • the vertical channel regions 310 and 410 may be formed through the interlayer insulating films 300 and 400 .
  • the upper source/drain regions 312 and 412 are formed on the upper portions of the vertical channel regions 310 and 410
  • the lower source/drain regions 311 and 411 are formed on the lower portions of the vertical channel regions 310 and 410 .
  • the gate insulating films 320 and 420 , the function films 330 and 430 , and the filling films 340 and 440 may be formed on the lateral sides of the vertical channel regions 310 and 410 in a horizontal direction.
  • the gate insulating films 320 and 420 may horizontally surround the vertical channel regions 310 and 410 , and may be conformally formed along the upper and lower surfaces of the interlayer insulating films 300 and 400 .
  • the function films 330 and 430 are conformally formed on the gate insulating films 320 and 420 , and the filling films 340 and 440 may fill the portions left unfilled by the function films 330 and 430 .
  • the thicknesses of the gate insulating films 320 and 420 , the function films 330 and 430 , and the filling films 340 and 440 may be equally the first thickness H 1 , the second thickness H 2 , and the third thickness H 3 , respectively.
  • the function films 330 and 430 may include a first function film 330 in the first region I and a second function film 430 in the second region II.
  • the first function film 330 and the second function film 340 may include TiSiN.
  • the Si concentration in the first function film 330 may be less than the Si concentration in the second function film 340 .
  • the threshold voltage in the vertical columnar FET (V-FET) structure may be lower in the first region I than in the second region II.
  • the semiconductor device shown in FIGS. 13 and 14 may correspond to that shown in FIG. 1 .
  • the first region I and the second region II in FIGS. 13 and 14 may correspond to the first region I and the second region II in FIG. 1 , respectively.
  • the vertical channel regions 310 and 410 in FIGS. 13 and 14 may correspond to the first channel region 1110 and the second channel region 1210 , respectively.
  • the gate insulating films 320 and 420 in FIGS. 13 and 14 may correspond, respectively, to the first gate insulating film 1120 and the second gate insulating film 1220 in FIG. 1 .
  • the function films 330 and 430 in FIGS. 13 and 14 may correspond, respectively, to the first function film 1130 and the second function film 1230 in FIG. 1 .
  • the filling films 340 and 440 in FIGS. 13 and 14 may correspond, respectively, to the first filling film 1140 and the second filling film 1240 in FIG. 1 .
  • the gate stack structure of FIG. 1 may be implemented as the V-FET structure of FIGS. 13 and 14 .
  • the number of the vertical channel regions is shown as one, but this is provided only for illustrative purpose and exemplary embodiments are not limited thereto. In some embodiments of the present disclosure, there may be two or more vertical channel regions that are vertically spaced from one another and stacked.
  • FIG. 15 are cross sectional views provided to explain a semiconductor device according to some exemplary embodiments.
  • the first function film 1130 and the second function film 1230 in FIG. 2 may be formed in the V-FET structure, which is the semiconductor device in FIG. 15 .
  • the first function film 330 may have a structure in which the first interfacial function filmfilms 330 a and the first barrier function films 330 b are alternately stacked. Although the first interfacial function films 330 a and the first barrier function films 330 b are each shown as a pair in the drawings, in some embodiments, the first interfacial function films 330 a and the first barrier function films 330 b may each be more than two, or may be one.
  • the second function film 430 may have a structure in which the second interfacial function film 430 a and the second barrier function film 430 b are alternately stacked. Although the second interfacial function film 430 a and the second barrier function film 430 b are each shown as a pair in the drawings, in some embodiments, the second interfacial function film 430 a and the second barrier function film 430 b may each be more than two, or may be one.
  • FIGS. 1 and 16 to 18 a method of fabricating a semiconductor device according to some exemplary embodiments will be explained with reference to FIGS. 1 and 16 to 18 .
  • previous description of the exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIGS. 16 to 18 are views illustrating intermediate stages of fabrication, provided to explain the method for fabricating the semiconductor device according to some exemplary embodiments.
  • a first gate insulating film 1120 is formed on a first channel region 1110 in a first region I, and a first Ti film 1130 a and a first N film 1130 b are sequentially formed on a first gate insulating film 1120 .
  • the order of the first Ti film 1130 a and the first N film 1130 b may be reversed.
  • a second gate insulating film 1220 is formed on a second channel region 1210 , and a second Ti film 1230 a and a second N film 1230 b are sequentially formed on a second gate insulating film 1220 .
  • the order of the second Ti film 1230 a and the second N film 1230 b may be reversed.
  • the first gate insulating film 1120 and the second gate insulating film 1220 may be formed with the first thickness H 1 .
  • Each of the first Ti film 1130 a plus the first N film 1130 b , and the second Ti film 1230 a plus the second N film 1230 b may be formed with the second thickness H 2 .
  • a first heat treatment 1300 is performed in the first region I and the second region II.
  • the first heat treatment 1300 may cause the first Ti film 1130 a and the first N film 1130 b to become the first function film 1130 , and the second Ti film 1230 a and the second N film 1230 b to become the second function film 1230 .
  • the first doping 1400 a may be performed in the first region I
  • the second doping 1400 b may be performed in the second region II.
  • Both the first doping 1400 a and the second doping 1400 b may be Si doping. At this time, the amount of Si doping of the second doping 1400 b may be greater than that of the first doping 1400 a . This may be performed by varying the doping density, or by varying the doping time.
  • the Si concentration of the first function film 1130 and that of the second function film 1230 are different from each other.
  • a first filling film 1140 and a second filling film 1240 are formed on the first function film 1130 and the second function film 1230 , respectively.
  • a method for fabricating a semiconductor device may implement a transistor having a multi-threshold voltage through the concentration of a function film without forming a barrier film and a work function adjusting film.
  • the process of forming the function films of the same thickness in different regions can be much simpler and lower in cost than the method of controlling the thickness of the work function adjusting film differently.
  • the semiconductor manufacturing cost and efficiency can be significantly lowered.
  • FIGS. 2 and 19 to 22 a method for fabricating a semiconductor device according to some exemplary embodiments will be explained with reference to FIGS. 2 and 19 to 22 .
  • previous description of exemplary embodiments already provided above will not be described here or will be described as briefily as necessary for the sake of brevity.
  • FIGS. 19 to 22 are views illustrating intermediate stages of fabrication, provided to explain a method for fabricating a semiconductor device according to some exemplary embodiments.
  • a first gate insulating film 1120 is formed on a first channel region 1110 in a first region I, and a first interfacial function film 1131 a is formed on the first gate insulating film 1120 with a thickness a 1 .
  • a second gate insulating film 1220 is formed on a second channel region 1210 in a second region II, and a second interfacial function film 1231 a is formed on the second gate insulating film 1220 with a thickness c 1 .
  • the thickness a 1 and the thickness c 1 may be different from each other.
  • the thickness c 1 may be greater than the thickness a 1 .
  • the thickness a 1 +a 2 is lower than the thickness c 1 +c 2 , whether the thickness c 1 is greater or less than the thickness a 1 is not limiting on the exemplary embodiments.
  • the first interfacial function film 1131 a and the second interfacial function film 1231 a may be formed by an atomic layer deposition (ALD) method.
  • ALD atomic layer deposition
  • the first barrier function film a is formed on the first interfacial function film 1131 a with a thickness b 1 .
  • the second barrier function film 1233 a is formed on the second interfacial function film 1231 a with a thickness d 1 .
  • the thickness b 1 and the thickness d 1 may be different from each other.
  • the thickness b 1 may be greater than the thickness d 1 .
  • the thickness b 1 +b 2 is lower than the thickness d 1 +d 2 , whether the thickness b 1 is greater or less than the thickness d 1 is not limiting on the exemplary embodiments.
  • the first barrier function film 1133 a and the second barrier function film 1233 a may be formed in a soak method.
  • the first interfacial function film 1131 b is formed on the first barrier function film 1131 b with a thickness a 2 .
  • the second interfacial function film 1231 b is formed on the second barrier function film 1233 a with a thickness c 2 .
  • the thickness a 1 +a 2 may be less than the thickness c 1 +c 2 .
  • the first interfacial function film 1131 b and the second interfacial function film 1231 b may be formed by an atomic layer deposition (ALD) method.
  • ALD atomic layer deposition
  • the first barrier function film 1133 b is formed on the first interfacial function film 1131 b with a thickness b 2 .
  • the second barrier function film 1233 b is formed on the second interfacial function film 1231 b with a thickness d 2 .
  • the thickness b 1 +b 2 may be greater than the thickness d 1 +d 2 .
  • the thickness a 1 +a 2 +b 1 +b 2 may be the second thickness H 2 which is the same as the thickness c 1 +c 2 +d 1 +d 2 .
  • the first barrier function film 1133 b and the second barrier function film 1233 b may be formed in a soak method.
  • the stacked structure of the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may be completed with the first function film 1130
  • the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may be completed with the second function film 1230 .
  • the processes of forming the first function film 1130 and the second function film 1230 may all be performed in-situ.
  • exemplary embodiments are not limited to the example given above.
  • the semiconductor device manufacturing method according to some embodiments of the present disclosure can provide a semiconductor device with few defects and high efficiency.
  • the first filling film 1140 may be formed on the first function film 1130
  • the second filling film 1240 may be formed on the second function film 1230 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A semiconductor device and a method for fabricating the same are provided. The semiconductor device includes first and second gate stack structures formed in first and second regions, respectively, wherein the first gate stack structure is formed adjacent a first channel region and comprises a first gate insulating film having a first thickness formed on the first channel region, a first function film having a second thickness formed on the first gate insulating film and a first filling film having a third thickness formed on the first function film, wherein the second gate stack structure is formed adjacent a second channel region and comprises a second gate insulating film having the first thickness formed on the second channel region, a second function film having the second thickness formed on the second gate insulating film and a second filling film having the third thickness formed on the second function film, wherein the first and second function films, respectively, comprise TiN and Si concentrations that are different from each other.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority from Korean Patent Application No. 10-2016-0147309 filed on Nov. 7, 2016 in the Korean Intellectual Property Office, and all the benefits accruing therefrom under 35 U.S.C. 119, the contents of which in its entirety are herein incorporated by reference.
  • BACKGROUND 1. Technical Field
  • The present disclosure relates to a semiconductor device and a method for fabricating the same.
  • 2. Description of the Related Art
  • As one of the scaling technologies to increase the density of semiconductor devices, the multi-gate transistor has been suggested in which silicon bodies in a fin or nanowire shape are formed on a substrate, with gates then being formed on surfaces of the silicon bodies.
  • Such multi-gate transistor allows easy scaling, as it uses a three-dimensional channel. Further, current control capability can be enhanced without requiring increased gate length of the multi-gate transistor. Furthermore, it is possible to effectively suppress short channel effect (SCE) which is the phenomenon that the electric potential of the channel region is influenced by the drain voltage.
  • SUMMARY
  • It is one technical object of the present disclosure to provide a semiconductor device with improved operating characteristics.
  • It is another technical object of the present disclosure to provide a method for fabricating a semiconductor device with improved operating characteristics.
  • The objects according to the present disclosure are not limited to those set forth above and objects other than those set forth above will be clearly understood to a person skilled in the art from the following description.
  • According to an exemplary embodiment, there is provided a semiconductor device comprising first and second gate stack structures formed in first and second regions, respectively, wherein the first gate stack structure comprises a first channel region, a first gate insulating film having a first thickness formed on the first channel region, a first function film having a second thickness formed on the first gate insulating film and a first filling film having a third thickness formed on the first function film, wherein the second gate stack structure comprises a second channel region, a second gate insulating film having the first thickness formed on the second channel region, a second function film having the second thickness formed on the second gate insulating film and a second filling film having the third thickness formed on the second function film, wherein the first and second function films comprise TiN, and Si concentrations of the first and second function films are different from each other.
  • According to another exemplary embodiment, there is provided a semiconductor device, comprising a substrate comprising first and second regions, first and second channel regions formed in the first and second regions, respectively, first and second gate insulating films formed on the first and the second channel regions, respectively, first and second function films formed on the first and second gate insulating films, respectively and comprising TiN, wherein a Si concentration of the first function film and a Si concentration of the second function film are different from each other and first and second filling films formed on the first and the second function films, respectively, wherein the first and second function films are TiSiN single film, or multiple films including a TiN film and a Si film alternately stacked.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects, features and advantages of the present disclosure will become more apparent to those of ordinary skill in the art by describing in detail exemplary embodiments thereof with reference to the accompanying drawings, in which:
  • FIG. 1 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 2 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 3 is a graph provided to explain threshold voltage change according to a thickness ratio of the stack structure of FIG. 2;
  • FIG. 4 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 5 is a perspective view illustrating a semiconductor device according to some exemplary embodiments;
  • FIG. 6 is a cross sectional view taken on lines A1-A1 and A2-A2 of FIG. 5;
  • FIG. 7 is a cross sectional view taken on lines B1-B1 and B2-B2 of FIG. 5;
  • FIG. 8 is a cross sectional view taken on lines C1-C1 and C2-C2 of FIG. 5;
  • FIG. 9 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 10 is a layout diagram provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 11 is a cross sectional view taken on lines D1-D1 and D2-D2 of FIG. 10;
  • FIG. 12 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 13 is a layout diagram provided to explain a semiconductor device according to some exemplary embodiments;
  • FIG. 14 is a cross sectional view taken on lines E1-E1 and E2-E2 of FIG. 13;
  • FIG. 15 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments;
  • FIGS. 16 to 18 are views illustrating intermediate stages of fabrication, provided to explain a method for fabricating a semiconductor device according to some exemplary embodiments; and
  • FIGS. 19 to 22 are views illustrating intermediate stages of fabrication, provided to explain a method for fabricating a semiconductor device according to some exemplary embodiments.
  • DETAILED DESCRIPTION
  • The present disclosure now will be described more fully hereinafter with reference to the accompanying drawings, in which various exemplary embodiments are shown. The invention may, however, be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. These example exemplary embodiments are just that—examples—and many embodiments and variations are possible that do not require the details provided herein. It should also be emphasized that the disclosure provides details of alternative examples, but such listing of alternatives is not exhaustive. Furthermore, any consistency of detail between various exemplary embodiments should not be interpreted as requiring such detail—it is impracticable to list every possible variation for every feature described herein. The language of the claims should be referenced in determining the requirements of the invention.
  • In the drawings, the size and relative sizes of layers and regions may be exaggerated for clarity. Like numbers refer to like elements throughout. Though the different figures show variations of exemplary embodiments, these figures are not necessarily intended to be mutually exclusive from each other. Rather, as will be seen from the context of the detailed description below, certain features depicted and described in different figures can be combined with other features from other figures to result in various embodiments, when taking the figures and their description as a whole into consideration.
  • Although the figures described herein may be referred to using language such as “one embodiment,” or “certain embodiments,” these figures, and their corresponding descriptions are not intended to be mutually exclusive from other figures or descriptions, unless the context so indicates. Therefore, certain aspects from certain figures may be the same as certain features in other figures, and/or certain figures may be different representations or different portions of a particular exemplary embodiment.
  • The terminology used herein is for the purpose of describing particular exemplary implementations only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items and may be abbreviated as “/”.
  • It will be understood that, although the terms first, second, third etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. Unless the context indicates otherwise, these terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section, for example as a naming convention. Thus, a first element, component, region, layer or section discussed below in one section of the specification could be termed a second element, component, region, layer or section in another section of the specification or in the claims without departing from the teachings of the present invention. In addition, in certain cases, even if a term is not described using “first,” “second,” etc., in the specification, it may still be referred to as “first” or “second” in a claim in order to distinguish different claimed elements from each other.
  • It will be further understood that the terms “comprises” and/or “comprising,” or “includes” and/or “including” when used in this specification, specify the presence of stated features, regions, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, regions, integers, steps, operations, elements, components, and/or groups thereof.
  • It will be understood that when an element is referred to as being “connected” or “coupled” to or “on” another element, it can be directly connected or coupled to or on the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, or as “contacting” or “in contact with” another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” etc.).
  • Embodiments described herein will be described referring to plan views and/or cross-sectional views by way of ideal schematic views. Accordingly, the exemplary views may be modified depending on manufacturing technologies and/or tolerances. Therefore, the disclosed exemplary implementations are not limited to those shown in the views, but include modifications in configuration formed on the basis of manufacturing processes. Therefore, regions exemplified in figures may have schematic properties, and shapes of regions shown in figures may exemplify specific shapes of regions of elements to which aspects of the invention are not limited.
  • Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element's or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • Also these spatially relative terms such as “above” and “below” as used herein have their ordinary broad meanings—for example element A can be above element B even if when looking down on the two elements there is no overlap between them (just as something in the sky is generally above something on the ground, even if it is not directly above).
  • Although corresponding plan views and/or perspective views of some cross-sectional view(s) may not be shown, the cross-sectional view(s) of device structures illustrated herein provide support for a plurality of device structures that extend along two different directions as would be illustrated in a plan view, and/or in three different directions as would be illustrated in a perspective view. The two different directions may or may not be orthogonal to each other. The three different directions may include a third direction that may be orthogonal to the two different directions. The plurality of device structures may be integrated in a same electronic device. For example, when a device structure (e.g., a memory cell structure or a transistor structure) is illustrated in a cross-sectional view, an electronic device may include a plurality of the device structures (e.g., memory cell structures or transistor structures), as would be illustrated by a plan view of the electronic device. The plurality of device structures may be arranged in an array and/or in a two-dimensional pattern.
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and/or the present application, and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • Hereinafter, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1 to 4.
  • FIG. 1 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments.
  • The semiconductor device according to some exemplary embodiments includes a first region I and a second region II. The first region I and the second region II may be the regions adjacent to each other, or the regions spaced apart from each other in the semiconductor device. The first region I and the second region II may be formed in the same direction, or different directions.
  • A first gate stack structure 1100 may be formed in the first region I. The first gate stack structure 1100 may be a stack structure serving as a gate electrode for the transistor. Subsequent other embodiments will be described in detail below with reference to an actual shape of the first gate stack structure 1100, after the order of stacking and characteristics of the structure are described.
  • The first gate stack structure 1100 may include a first channel region 1110, a first gate insulating film 1120, a first function film 1130, and a first filling film 1140.
  • The first channel region 1110 may be utilized as a channel region for the transistor. For example, the first channel region 1110 may include at least one of silicon, silicon germanium, indium antimonide, lead telluride compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. However, it is assumed herein only for convenience of explanation that the first channel region 1110 includes silicon.
  • The first gate insulating film 1120 may be formed on the first channel region 1110. The first gate insulating film 1120 may directly contact the first channel region 1110. The first gate insulating film 1120 may prevent the first channel region 1110 from directly contacting the first function film 1130. Thus, the first gate insulating film 1120 may serve to insulate the gate of the transistor from the channel region between the source region and the drain region.
  • It is of course possible the first gate insulating film 1120 may include an insulator. For example, the first gate insulating film 1120 may include silicon oxide, silicon nitride, or silicon oxynitride, or a high-k material.
  • In an example, the high-k material may be material that has a higher dielectric constant (k) than the silicon oxide. For example, the high-k material may include one or more of hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate, but is not limited thereto.
  • The first gate insulating film 1120 may be formed with a first thickness H1. The first thickness H1 may be a thickness of the second gate insulating film 1220 that will be described below.
  • The first function film 1130 may be formed on the first gate insulating film 1120. The first function film 1130 may be in contact with the first gate insulating film 1120. The first function film 1130 may be spaced apart from the first channel region 1110 by the first gate insulating film 1120.
  • The first function film 1130 may be formed with a second thickness H2. The second thickness H2 may be a thickness of the second function film 1230 that will be described below.
  • The first function film 1130 may include TiSiN. At this time, the Si concentration of the first function film 1130 may be deemed a first concentration. The first concentration may be different from Si concentration of the second function film 1230 that will be described below. The first concentration of Si may be 0% so that the first function film 1130 may include TiN.
  • In some exemplary embodiments, Ti and Si of the TiSiN may be replaced by at least two of Ta, La, Hf, Mo and Yb. Likewise, in some exemplary embodiments, N of the TiSiN may be replaced by at least one of O, C, S and Se. In some exemplary embodiments, TiSiN may all be replaced by the corresponding materials. However, for convenience of explanation, the following embodiment is described based on TiSiN.
  • The first function film 1130 may have a function of adjusting work function. Thus, the first function film 1130 may adjust the threshold voltage of the gate. At the same time, the first function film 1130 may also function as a barrier film that prevents oxygen from being excessively introduced into the first gate insulating film 1120 during a process such as heat treatment. Thus, the first function film 1130 may perform both the functions of oxygen block and work function adjustment.
  • The first filling film 1140 may be formed on the first function film 1130. The first filling film 1140 may be contacted with the first function film 1130. The first filling film 1140 may be formed on the first function film 1130 to thus complete the first gate stack structure 1100.
  • The first filling film 1140 may be formed with a third thickness H3. The third thickness H3 may be a thickness of the second filling film 1240 that will be described below. The first filling film 1140 may include a metal material having a conductivity. For example, the first filling film 1140 may be formed of A1, W, and so on, or multi-films formed of a combination thereof. However, exemplary embodiments are not limited thereto.
  • The second gate stack structure 1200 may include a second channel region 1210, a second gate insulating film 1220, a second function film 1230, and a second filling film 1240.
  • The second channel region 1210 may be utilized as a channel region for the transistor. For example, the second channel region 1210 may include at least one of silicon, silicon germanium, indium antimonide, lead telluride compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. However, it is assumed herein only for convenience of explanation that the second channel region 1210 includes silicon. That is, the first channel region 1110 and the second channel region 1210 may be the same structure. Note that the concept “same” may include fine differences that may occur according to characteristics of each region.
  • The second gate insulating film 1220 may be formed on the second channel region 1210. The second gate insulating film 1220 may directly contact the second channel region 1210. The second gate insulating film 1220 may prevent the second channel region 1210 from directly contacting the second function film 1230. Thus, the second gate insulating film 1220 may insulate the gate of the transistor from the channel region between the source region and the drain region.
  • The second gate insulating film 1220 may include the same material as the first gate insulating film 1120. That is, the second gate insulating film 1220 may include silicon oxide, silicon nitride, or silicon oxynitride, or a high-k material, for example.
  • The second gate insulating film 1220 may be formed with a first thickness H1. The first thickness H1 may be a thickness of the first gate insulating film 1120.
  • The second function film 1230 may be formed on the second gate insulating film 1220. The second function film 1230 may be in contact with the second gate insulating film 1220. The second function film 1230 may be spaced apart from the second channel region 1210 by the second gate insulating film 1220.
  • The second function film 1230 may be formed with a second thickness H2. The second thickness H2 may be a thickness of the first function film 1130.
  • The second function film 1230 may include TiSiN. At this time, the Si concentration of the second function film 1230 may be a second concentration which is different from the first concentration of Si in first function film 1100. Thus, the Si concentration of the first function film 1130 and Si concentration of the second function film 1230 may be different from each other. Specifically, the second concentration may be higher than the first concentration.
  • In some exemplary embodiments, Ti and Si of the TiSiN may be replaced by at least two of Ta, La, Hf, Mo and Yb. Likewise, in some exemplary embodiments, N of the TiSiN may be replaced by at least one of O, C, S and Se. In some exemplary embodiments, TiSiN may all be replaced by the corresponding materials. However, for convenience of explanation, the following description is based on TiSiN.
  • The second function film 1230 may adjust work function. Thus, the second function film 1230 may adjust the threshold voltage of the gate. At the same time, the second function film 1230 may function as a barrier that prevents oxygen from being excessively introduced into the second gate insulating film 1220 during a process such as heat treatment.
  • The second filling film 1240 may be formed on the second function film 1230. The second filling film 1240 may be contacted with the second function film 1230. The second gate stack structure 1200 may be completed when the second filling film 1240 is formed on the second function film 1230.
  • The second filling film 1240 may be formed with a third thickness H3. The third thickness H3 may be a thickness of the first filling film 1140. The second filling film 1240 may have the same thickness as the first filling film 1140. However, exemplary embodiments are not limited to the example given above.
  • The second filling film 1240 may include a same material as the first filling film 1140. The second filling film 1240 may include a metal material having a conductivity. For example, the second filling film 1240 may be formed of A1, W, and so on, or multiple films formed of a combination thereof. However, exemplary embodiments are not limited thereto.
  • The first gate stack structure 1100 and the second gate stack structure 1200 may be formed with the same height as each other. Further, in each set of corresponding films, the first gate insulating film 1120 and the second gate insulating film 1220, the first function film 1130 and the second function film 1230, and the first filling film 1140 and the second filling film 1240, the thickness of each member of the set may be the same. However, exemplary embodiments are not limited to the example given above. The description of each thickness in each detailed embodiment continues below.
  • The first function film 1130 and the second function film 1230 may have the same thickness as each other. Meanwhile, the first function film 1130 and the second function film 1230 may have the different Si concentrations from each other. Accordingly, threshold voltages of the first gate stack structure 1100 and the second gate stack structure 1200 may vary. That is, two films having the same thickness may have different concentrations of Si from each other without adjusting the thickness of the work function adjusting film, so that different threshold voltages can be implemented.
  • Specifically, Si concentration of the second function film 1230 may be higher than Si concentration of the first function film 1130. Accordingly, the threshold voltage of the second gate stack structure 1200 may be higher than that of the first gate stack structure 1100.
  • In some exemplary embodiments, the first function film 1130 and the second function film 1230 are formed to have the same thickness, so that the process involving patterning, deposition, and etching repeated for several times can be greatly reduced to one deposition process. Such simple process can also implement different work functions or threshold voltages.
  • Further, because the functions of the work function adjustment film and the barrier film may be performed simultaneously with the first function film 1130 and the second function film 1230, the process of forming a separate barrier film can also be reduced.
  • As a result, a semiconductor device having better operating characteristics at a lower cost can be provided. In addition, as the first function film 1130 and the second function film 1230 having the same thickness are formed, the process of forming the first filling film 1140 and second filling film 1240 is further facilitated, and more precise multi-threshold voltage devices can be implemented.
  • In this case, the first function film 1130 and the second function film 1230 may be an amorphous film. As a result, the property to prevent leakage current occurred in the crystallized film can be further strengthened.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 3. In the following description, description overlapped with the exemplary embodiments already provided above will not be described or described as brief as possible for the sake of brevity.
  • FIG. 2 is a conceptual diagram of a stack structure provided to explain a semiconductor device according to some embodiments, and FIG. 3 is a graph provided to explain a threshold voltage change according to a thickness ratio of the stack structure of FIG. 2. The abscissa axis in FIG. 3 represents the equivalent oxide film thickness (EOT), and the ordinate axis represents the capacitance in the gate direction.
  • As shown in FIG. 2, the first function film 1130 and the second function film 1230 of the semiconductor device according to some exemplary embodiments may be a multi-film structure rather than a single film.
  • The first function film 1130 of the first gate stack structure 1100 in the first region I may include first interfacial function film 1130 including first interfacial function films 1131 a and 1131 b and first barrier function film 1133 including first barrier function films 1133 a and 1133 b. The first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may be alternately stacked with each other. At this time, each of the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may be plural. However, the present disclosure is not limited thereto, and the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may each be a single film.
  • The first interfacial function films 1131 a and 1131 b are in direct contact with the first gate insulating film 1120, and the first barrier function films 1133 a and 1133 b are not in contact with the first gate insulating film 1120. The lowermost portion of the first function film 1130 may be the first interfacial function films 1131 a and 1131 b.
  • The first interfacial function films 1131 a and 1131 b may enhance an interfacial characteristic with the first gate insulating film 1120. The first interfacial function films 1131 a and 1131 b may include TiN, for example. However, exemplary embodiments are not limited to the example given above. The TiN may be formed by atomic layer deposition (ALD). The first interfacial function films 1131 a and 1131 b may be amorphous.
  • The first barrier function films 1133 a and 1133 b may include Si. The first barrier function films 1133 a and 1133 b may perform a barrier function to prevent excessive diffusion of oxygen into the first gate insulating film 1120 thereunder in a subsequent process such as heat treatment.
  • The first barrier function films 1133 a and 1133 b may form Si in the soak method. The soak method is one of the methods of directly forming a single film, unlike the method of forming two or more films by a heat treatment.
  • The first interfacial function films 1131 a and 1131 b may each have, respectively, thicknesses a1 and a2. In this case, the thicknesses a1 and a2 may be the same as each other, or may be different from each other. The first barrier function films 1133 a and 1133 b may each have, respectively, thicknesses b1 and b2. In this case, the thicknesses b1 and b2 may be the same as each other, or may be different from each other.
  • At this time, ratio of (a1+a2):(b1+b2) may be considered as a first ratio. The first ratio may be different from the second ratio of the second function film 1230 that will be described below.
  • The second function film 1230 of the second gate stack structure 1200 in the second region II may include second interfacial function film 1231 including second interfacial function films 1231 a and 1231 b and second barrier function film 1233 including second barrier function films 1233 a and 1233 b. The second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may be alternately stacked with each other. At this time, each of the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may be plural. However, the present disclosure is not limited thereto, and the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may each be a single film.
  • The second interfacial function films 1231 a and 1231 b are in direct contact with the second gate insulating film 1220, and the second barrier function films 1233 a and 1233 b are not in contact with the second gate insulating film 1220. The lowermost portion of the second function film 1230 may be the second interfacial function films 1231 a and 1231 b.
  • The second interfacial function films 1231 a and 1231 b may enhance interfacial properties with the second gate insulating film 1220. The second interfacial function films 1231 a and 1231 b may include TiN, for example. However, exemplary embodiments are not limited to the example given above. TiN may be formed by ALD. The second interfacial function films 1231 a and 1231 b may be amorphous.
  • The second barrier function films 1233 a and 1233 b may include Si. The second barrier function films 1233 a and 1233 b may perform a barrier function to prevent excessive diffusion of oxygen into the second gate insulating film 1220 thereunder in a subsequent process such as heat treatment. The second barrier function films 1233 a and 1233 b may form Si in the soak method.
  • The second interfacial function films 1231 a and 1231 b may each have, respectively, thicknesses c1 and c2. In this case, the thicknesses c1 and c2 may be the same as each other, or may be different from each other. The second barrier function films 1233 a and 1233 b may each have, respectively, thicknesses d1 and d2. In this case, the thicknesses d1 and d2 may be the same as each other, or may be different from each other.
  • At this time, ratio of (c1+c2):(d1+d2) may be considered to be a second ratio. The second ratio may be different from the first ratio of the first function film 1130. Specifically, the first ratio may be lower than the second ratio. For example, the thickness of the first barrier function films 1133 a and 1133 b with respect to that of the first interfacial function films 1131 a and 1131 b may be less than the thickness of the second barrier function films 1233 a and 1233 b with respect to that of the second interfacial function films 1231 a and 1231 b. The Si content in each function film may correspond to the thickness of the barrier function film. Thus, when the barrier function film is thick, the Si content may be high, and conversely, when the barrier function film is thin, the Si content may be low.
  • Although the thicknesses of the specific layers may be different from each other, a second thickness H2 of the first function film 1130 and the second function film 1230 may be the same as each other. Accordingly, it is possible to compare the Si contents in the same state.
  • As shown in FIG. 3, it can be seen that flatband voltage (vfb) changes as the thickness of the barrier function film gradually increases from t1 to t2 and then to t3. Therefore, it can be confirmed that the threshold voltage changes in accordance with the thickness of the barrier function film. Thus, the second gate stack structure 1200, including the second function film 1230 in the second region II which has a lower Si content, may have a lower threshold voltage than the first gate stack structure 1100.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIG. 4. In the following description, the previous provided description of exemplary embodiments will not be repeated or will be described as briefly as possible for the sake of brevity.
  • FIG. 4 is a concept view of a stack structure provided to explain a semiconductor device according to some exemplary embodiments.
  • As shown in FIG. 4, a material of the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b of the semiconductor device according to some embodiments, and a material of the first barrier function films 1133 a and 1133 b and the second barrier function films 1233 a and 1233 b may each be the same.
  • The first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b may each enhance interfacial properties with the first gate insulating film 1120 and the second gate insulating film 1220. The first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b may include TiSiN, for example. At this time, the Si concentration of the first interfacial function films 1131 a and 1131 b and the Si concentration of the second interfacial function films 1231 a and 1231 b may be different from each other. Specifically, the Si concentration of the second interfacial function films 1231 a and 1231 b may be higher than that of the first interfacial function films 1131 a and 1131 b. The first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b may all be amorphous.
  • The first interfacial function film 1131 which includes first interfacial films 1131 a and 1131 b and the second interfacial function film 1231 which includes second interfacial function films 1231 a and 1231 b, may include TiSiN, and the first barrier function film 1135 which include first barrier function films 1135 a and 1135 b and the second barrier function film 1235 which includes second barrier function films 1235 a and 1235 b, may likewise include TiSiN. However, the first barrier function films 1135 a and 1135 b and the second barrier function films 1235 a and 1235 b may have a different phase from the first interfacial function films 1131 a and 1131 b and the second interfacial function films 1231 a and 1231 b. For example, the first barrier function films 1135 a and 1135 b and the second barrier function films 1235 a and 1235 b may all be crystalline. The first function film 1130 and the second function film 1230 may be a structure in which the amorphous film and the crystalline film are alternately stacked.
  • At this time, the thickness of the first barrier function films 1135 a and 1135 b with respect to that of the first interfacial function films 1131 a and 1131 b may be equal to or different from the thickness of the second barrier function films 1235 a and 1235 b with respect to that of the second interfacial function films 1231 a and 1231 b.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1, and 5 to 8. In the following description, the previously provided description of exemplary embodiments will not be described again or will be described as briefly as necessary.
  • FIG. 5 is a perspective view provided to explain a semiconductor device according to some exemplary embodiments, and FIG. 6 is a cross sectional view taken on lines A1-A1 and A2-A2 of FIG. 5. FIG. 7 is a cross sectional view taken on lines B1-B1 and B2-B2 of FIG. 5, and FIG. 8 is a cross sectional view taken on lines C1-C1 and C2-C2 of FIG. 5.
  • As shown in FIGS. 5 to 8, a semiconductor device according to some exemplary embodiments may include a substrate 100 including a first region I and a second region II.
  • The substrate 100 may be, for example, a bulk silicon or a silicon-on-insulator (SOI). Alternatively, the substrate 100 may include a material different from silicon, for example, silicon germanium, indium antimonide, lead telluride compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Alternatively, the substrate 100 may be a base substrate having an epitaxial layer formed thereon.
  • The first region I and the second region II on the substrate 100 may be the regions adjacent to each other, or the regions spaced apart from each other. Thus, as long as the condition that the regions be formed on the same substrate is satisfied, the positions of the first region I and the second region II are not limited.
  • The first region I on the substrate 100 may be represented by a first direction X1, a second direction Y1, and a third direction Z1, which are perpendicular to each other. Meanwhile, the second region II may be represented by a fourth direction X2, a fifth direction Y2, and a sixth direction Z2, which are perpendicular to each other. The first to third directions and the fourth to sixth directions of the first region I and the second region II may be in the same directions or different directions.
  • The first region I may include a first fin-type pattern 110, a first nanowire 120, a third nanowire 125, a first gate insulating film 147, a first function film 131, a first filling film 130, a first gate spacer 140, a first source/drain 150, and so on.
  • The first fin-type pattern 110 may protrude from the substrate 100. The first fin-type pattern 110 may elongate in the first direction X1. The first fin-type pattern 110 may include a long side extended in the first direction X1, and a short side extended in the second direction Y1.
  • The first fin-type pattern 110 may be formed by partially etching the substrate 100, and may include an epitaxial layer grown from the substrate 100. The first fin-type pattern 110 may include an element semiconductor material such as silicon or germanium, for example. Further, the first fin-type pattern 110 may include a compound semiconductor such as, for example, IV-IV group compound semiconductor or III-V group compound semiconductor.
  • For example, take with respect to the IV-IV group compound semiconductor the first fin-type pattern 110 may be a binary compound or a ternary compound including, for example, at least two or more of carbon (C), silicon (Si), germanium (Ge), and tin (Sn), or the above-mentioned binary or ternary compound doped with IV group element.
  • With respect to the III-V group compound semiconductor, the fin-type pattern 110 may be a binary compound, ternary compound or quaternary compound which is formed as a III group element which may be at least one of aluminum (A1), gallium (Ga), and indium (In), is combined with a V group element which may be one of phosphorus (P), arsenic (As) and antimony (Sb).
  • In the following description, it is assumed that the first fin-type pattern 110 of a semiconductor device according to exemplary embodiments includes silicon.
  • A field insulating film 105 may at least partially surround the sidewall of the first fin-type pattern 110. The first fin-type pattern 110 may be defined by the field insulating film 105. The field insulating film 105 may include, for example, one of oxide film, nitride film, oxynitride film, or a combination thereof.
  • As illustrated in FIG. 5, the sidewall of the first fin-type pattern 110 may be surrounded by the field insulating film 105, but note that this is only for illustrative purpose, and other embodiments are not limited thereto.
  • The first nanowire 120 and the third nanowire 125 may be formed on the substrate 100, while being spaced apart from the first fin-type pattern 110. The first nanowire 120 and third nanowire 125 may be extended in the first direction X1. Specifically, the first nanowire 120 and the third nanowire 125 may be formed on the first fin-type pattern 110, while being spaced apart from the first fin-type pattern 110. Further, the first nanowire 120 and third nanowire 125 may be spaced apart from each other.
  • The third nanowire 125 may be spaced apart from the substrate 100 further than the first nanowire 120. That is, the height from the upper surface of the first fin-type pattern 110 to the third nanowire 125 may be greater than the height from the upper surface of the first fin-type pattern 110 to the first nanowire 120.
  • The first nanowire 120 and the third nanowire 125 may be overlapped with the fin-type pattern 110 in the third direction Z1. The first nanowire 120 and the third nanowire 125 may not be formed on the field insulating film 105, and may be formed on the first fin-type pattern 110.
  • The first nanowire 120 and the third nanowire 125 may be used as a channel region for the transistor. The materials for the first nanowire 120 and the third nanowire 125 may vary depending on whether the semiconductor device is a PMOS or an NMOS, but other exemplary embodiments are not limited thereto.
  • In the semiconductor device according to exemplary embodiments, it is assumed that the first nanowire 120 and the third nanowire 125 each include silicon.
  • The first function film 131 and the first filling film 130 may be formed on the field insulating film 105 and the first fin-type pattern 110. The first filling film 130 may extend in the second direction Y1. The first function film 131 and the first filling film 130 may be so formed as to surround the periphery of the first nanowire 120 and the third nanowire 125 that are spaced apart from an upper surface of the first fin-type pattern 110. The first function film 131 and the first filling film 130 may also be formed in a space defined between the first nanowire 120 and the third nanowire 125 and the first fin-type pattern 110.
  • Specifically, the first function film 131 may be formed first to surround the first nanowire 120 and the third nanowire 125, and the first filling film 130 may be formed thereon to surround the first function film 131.
  • The first function film 131 may include TiSiN.
  • The first filling film 130 may include a conductive material. For example, the first filling film 130 may include at least one of TiN, WN, TaN, Ru, TiC, TaC, Ti, Ag, A1, TiAl, TiAlN, TiAlC, TaCN, TaSiN, Mn, Zr, W, and A1. Alternatively, the first filling film 130 may each be formed of non-metal element such as Si, SiGe, and so on. For example, the first filling film 130 described above may be formed by replacement process, but not limited thereto.
  • The first gate spacer 140 may be formed on both sidewalls of the first gate electrode 130 that are extended in the second direction Y1. The first gate spacer 140 may be formed on either side of the first nanowire 120, while facing each other. The first gate spacer 140 may each include a through hole 140 h 1 and 140 h 2 (FIGS. 6 and 8).
  • The first nanowire 120 may be passed through the first gate spacer 140 via the first through hole 140 h 1. The first gate spacer 140 may be in direct contact with a periphery portion of the side surface of the first nanowire 120. The inner wall of the first through hole 140 h 1 may be in contact with a portion of the outer surface periphery of the first nanowire 120.
  • The first gate spacer 140 may include the first outer spacer 141 and the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2. The first outer spacer 141 may directly contact with the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2. The first inner spacer 142 may be disposed between the upper surface of the first fin-type pattern 110 and the first nanowire 120, and may be in surface contact with the upper surface of the first fin-type pattern 110. The second inner spacer 142-1 may be disposed between the upper surface of the first nanowire 120 and the third nanowire 125, and may be surrounded by the first outer spacer 141. The fifth inner spacer 142-2 may be disposed on the third nanowire 125, and may be surrounded by the first outer spacer 141.
  • On a plane including the second direction Y1 and the third direction Z1 (shown in FIG. 8), the first inner spacer 142 may be surrounded by the first nanowire 120, the first outer spacer 141, and the fin-type pattern 110. The second inner spacer 142-1 may be surrounded by the first nanowire 120, the third nanowire 125, and the first outer spacer 141. The fifth inner spacer 142-2 may be surrounded by the third nanowire 125 and the first outer spacer 141.
  • The gate spacer 140 may include a plurality of first through holes 140 h 1 and 140 h 2. The plurality of first through holes 140 h 1 and 140 h 2 of the first gate spacer 140 may be defined, respectively, by: the first outer spacer 141, the first inner spacer 142, and the second inner spacer 142-1 the first outer spacer 141, the second inner spacer 142-1 and the fifth inner spacer 142-2. Ends of the first nanowire 120 and the third nanowire 125 may be in contact with the first outer spacer 141, the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2.
  • The first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2 may include the same material as one another. The first outer spacer 141, the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2 may have different materials from one another. For example, the dielectric constant of the material contained in the first outer spacer 141 may differ from the dielectric constant of the material contained in the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2.
  • In the semiconductor device according to some exemplary embodiments, the material included in the first outer spacer 141 may have a dielectric constant that is greater than the dielectric constant of the material included in the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2. It is possible to reduce the fringing capacitance between the first gate electrode 130 and the first source/drain 150 by having differing dielectric constants for these materials as described above.
  • For example, the first outer spacer 141 may include at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof. The first inner spacer 142 and the second inner spacer 142-1 may include low-k dielectric material, for example. The low-k dielectric material may be the material that has a lower dielectric constant than the silicon oxide.
  • The first gate insulating layer 147 may be formed between the first nanowire 120 and the third nanowire 125 and the first function film 131 (shown in FIG. 7). Further, the first gate insulating film 147 may also be formed between the field insulating film 105 and the first function film 131, and between the first inner spacer 142, the second inner spacer 142-1, the fifth inner spacer 142-2, and the first function film 130.
  • For example, the first gate insulating layer 147 may include a first interfacial layer 146 and a first high-k insulating film 145, but not limited thereto. Thus, the first interfacial layer 146 of the first gate insulating film 147 may be omitted depending on a material of the first nanowire 120 and the third nanowire 125, and so on.
  • Because the first interfacial layer 146 may be formed on a periphery of the first nanowire 120 and the third nanowire 125, the first interfacial layer 146 may be formed between the first nanowire 120 and the third nanowire 125 and the first function film 131, and between the first fin-type pattern 110 and the first function film 131.
  • When the first nanowire 120 and the third nanowire 125 include silicon, the first interfacial layer 146 may include silicon oxide film. The first interfacial layer 146 may be formed on a periphery of the first nanowire 120 and the third nanowire 125, but may not be formed along the sidewalls of the first inner spacer 142, the second inner spacer 142-1, the fifth inner spacer 142-2, and the first outer spacer 141.
  • However, the first high-k insulating film 145 may be formed between the first nanowire 120 and the third nanowire 125 and the first function film 131, between the first inner spacer 142 and the first function film 131, between the second inner spacer 142-1 and the first function film 131, between the fifth inner spacer 142-2 and the first function film 131, between the field insulating film 105 and the first function film 131, and between the first outer spacer 141 and the first function film 131.
  • The first high-k insulating film 145 may include a high-k material having a higher dielectric constant than silicon oxide film. For example, the high-k material may include one or more of hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, or lead zinc niobate, but is not limited thereto.
  • As described above, when the first interfacial layer 146 is omitted, the first high-k insulating film 145 may include not only the high-k material, but also at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • The first gate insulating film 147 may be formed along the periphery of the first nanowire 120 and the third nanowire 125. The first gate insulating film 147 may be formed along the upper surface of the field insulating film 105 and the upper surface of the first fin-type pattern 110. Additionally, the first gate insulating film 147 may be formed along the sidewalls of the first inner spacer 142, the second inner spacer 142-1, the fifth inner spacer 142-2, and the first outer spacer 141.
  • A first source/drain 150 may be formed on either side of the first filling film 130 and the first function film 131. The first source/drain 150 may be formed on the first fin-type pattern 110. The first source/drain 150 may include an epitaxial layer formed on an upper surface of the first fin-type pattern 110.
  • An outer circumference of the first source/drain 150 may take on a variety of shapes. For example, the outer circumference of the first source/drain 150 may be at least one of diamond, circle, rectangle, and octagon shapes. FIG. 5 illustrates a diamond shape (or pentagon or hexagon shape), for example.
  • The first source/drain 150 may be directly connected with the first nanowire 120 and the third nanowire 125 being used as a channel region. Thus, the first source/drain 150 may be directly connected with the first nanowire 120 and the third nanowire 125 that are passed through the plurality of first through holes 140 h 1 and 140 h 2 of the first gate spacer 140.
  • However, the first source/drain 150 may not be in direct contact with the first gate insulating film 147. The first gate spacer 140 may be positioned between the first source/drain 150 and the first gate insulating film 147. More specifically, because one sidewall of the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2 may be in contact with the first gate insulating film 147, while the other sidewall of the first inner spacer 142, the second inner spacer 142-1, and the fifth inner spacer 142-2 may be in contact with the first source/drain 150, the first source/drain 150 and the first gate insulating film 147 may not be in contact with each other between the first nanowire 120 and the third nanowire 125 and the substrate 100.
  • The first interlayer insulating film 180 may be formed on the first source/drain 150, the first gate spacer 140, and the field insulating film 105.
  • The first interlayer insulating film 180 may include at least one of low-k material, oxide film, nitride film, and oxynitride film. For example, the low-k material may be flowable oxide (FOX), tonen silazene (TOSZ), undoped silica glass (USG), borosilica glass (BSG), phosphosilica glass (PSG), borophosphosilica glass (BPSG), plasma enhanced tetraethyl orthosilicate (PETEOS), fluoride silicate glass (FSG), high density plasma (HDP) oxide, plasma enhanced oxide (PEOX), flowable CVD (FCVD) oxide, or a combination thereof.
  • The device formed in the second region II may be similar to that in the first region I. Specifically, the second region II may include a second fin-type pattern 210, a second nanowire 220, a fourth nanowire 225, a second gate insulating film 247, a second function film 231, a second filling film 230, a second gate spacer 240, a second source/drain 250, and all the other structures which correspond to the structures shown in first region I.
  • For example, the second fin-type pattern 210, the second nanowire 220, the fourth nanowire 225, the second gate insulating film 247, the second function film 231, the second filling film 230, the second gate spacer 240, and the second source/drain 250 may have same or similar characteristics as the first fin-type pattern 110, the first nanowire 120, the third nanowire 125, the first function film 131, the first filling film 130, the first gate spacer 140, and the first source/drain 150 described above.
  • Further, the second interlayer insulating film 280, the second through holes 240 h 1 and 240 h 2, the second interfacial layer 246, the second high-k insulating film 245, the third inner spacer 242, the fourth inner spacer 242-1, the sixth inner spacer 242-2, and the second outer spacer 241 may also have same or similar characteristics as the first interlayer insulating film 180, the first interfacial layer 146, the first high-k insulating film 145, the first inner spacer 142, the second inner spacer 142-1, the fifth inner spacer 142-2, and the first outer spacer 141, respectively.
  • However, while the second function film 231 may include TiSiN like the first function film 131, the Si concentration may be lower. A thickness of the second function film 231 may be equal to a thickness of the first function film 131.
  • The semiconductor device shown in FIGS. 5 to 8 may correspond to that shown in FIG. 1. Specifically, the first region I and the second region II in FIGS. 5 to 8 may correspond to the first region I and the second region II in FIG. 1, respectively. The first nanowire 120 and the third nanowire 125 correspond to the first channel region 1110, and the second nanowire 220 and the fourth nanowire 225 correspond to the second channel region 1210.
  • Further, the first gate insulating film 147 and the second gate insulating film 247 in FIGS. 5 to 8 correspond to the first gate insulating film 1120 and the second gate insulating film 1220 in FIG. 1. Further, the first function film 131 and the second function film 231 in FIGS. 5 to 8 correspond to the first function film 1130 and the second function film 1230 in FIG. 1. Further, the first filling film 130 and the second filling film 230 in FIGS. 5 to 8 correspond to the first filling film 1140 and the second filling film 1240 in FIG. 1.
  • The gate stack structure of FIG. 1 may be implemented as the gate-all-around structure of FIGS. 5 to 8. In the drawings, the number of nanowires is shown as two, but this is provided only for illustrative purpose and exemplary embodiments are not limited thereto. In some embodiments of the present disclosure, the number of nanowires may be one, or more than two.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 9. In the following description, the description of previously disclosed exemplary embodiments that has already been provided above will not be described here or will be described as briefly as needed for the sake of brevity.
  • FIG. 9 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • As shown in FIGS. 2 and 9, the first function film 1130 and the second function film 1230 of FIG. 2 may be formed in a gate-all-around structure, which is the semiconductor device shown in FIG. 9.
  • The first function film 131 may have a structure in which the first interfacial function film 131 a and the first barrier function film 131 b are alternately stacked. Although each of the first interfacial function film 131 a and the first barrier function film 131 b is shown as a pair in the drawings, in some embodiments, each of the first interfacial function film 131 a and the first barrier function film 131 b may be more than two, or may be one.
  • The second function film 231 may have a structure in which the second interfacial function film 231 a and the second barrier function film 231 b are alternately stacked. Although each of the second interfacial function film 231 a and the second barrier function film 231 b is shown as a pair in the drawings, in some embodiments, each of the second interfacial function film 231 a and the second barrier function film 231 b may be more than two, or may be one.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1, 10 and 11. In the following description, the previous description of exemplary embodiments already provided above will not be described here or will be described as briefly as needed for the sake of brevity.
  • FIG. 10 is a layout view provided to explain a semiconductor device according to some exemplary embodiments, and FIG. 11 is a cross sectional view taken on lines D1-D1 and D2-D2 of FIG. 10.
  • As shown in FIGS. 1, 10 and 11, a semiconductor device according to some exemplary embodiments includes a substrate 10, an interlayer insulating film 20, spacers 21 and 22, high- k films 31 and 32, gate patterns 61 and 62, capping patterns 81 and 82, and source/drains 91 and 92.
  • The substrate 10 includes a first region I and a second region II, wherein the first region I includes a first fin F1 protruding from the substrate 10 and the second region II includes a second fin F2 protruding from the substrate 10. The first gate pattern 61 may extend on the first fin F1 in a direction intersecting the first fin F1, and the second gate pattern 62 may extend on the second fin F2 in a direction intersecting the second fin F2.
  • The interlayer insulating film 20 may be formed on the substrate 10. The interlayer insulating film 20 may include a first trench T1 in the first region I, and a second trench T2 in the second region II. The interlayer insulating film 20 may be formed by stacking two or more insulating films. As illustrated, the first spacer 21 and the second spacer 22 may be formed on sidewalls of the first trench T1 and the second trench T2, respectively, and the substrate 10 may be disposed on bottom surfaces of the first trench T1 and the second trench T2. However, exemplary embodiments are not limited to the example given above.
  • The interlayer insulating film 20 may include at least one of silicon oxide, silicon nitride, silicon oxynitride, and a low-k dielectric material with a smaller dielectric constant than silicon oxide.
  • The first spacer 21 may form a sidewall of the first trench T1 and may include at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • The second spacer 22 may form a sidewall of the second trench T2 and may include at least one of silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO2), silicon oxycarbonitride (SiOCN), or a combination thereof.
  • The first high-k film 31 may be conformally formed along the sidewall and the bottom surface of the first trench T1. The first high-k film 31 may entirely cover the sidewall of the first trench T1. Thus, the height of the uppermost portion of the upper surface of the first high-k film 31 may be same as that of the upper surface of the first spacer 21. However, exemplary embodiments are not limited to the example given above.
  • The second high-k film 32 may be conformally formed along the sidewall and the bottom surface of the second trench T2. The second high-k film 32 may entirely cover the sidewall of the second trench T2. Thus, the height of the uppermost portion of the upper surface of the second high-k film 32 may be same as that of the upper surface of the second spacer 22. However, exemplary embodiments are not limited to the example given above.
  • The first high-k film 31 and the second high-k film 32 may include a high-k material having a higher dielectric constant than a silicon oxide film. For example, the high- k films 31 and 32 may include materials selected from the group consisting of HfSiON, HfO2, ZrO2, Ta2O5, TiO2, SrTiO3 or (Ba,Sr)TiO3, and so on. Such high- k films 31 and 32 may be formed to a proper thickness depending on a type of the device intended to be formed.
  • Although not illustrated in FIG. 11, in some exemplary embodiments, an interface film may be included between the high- k films 31 and 32 and the substrate 10. The interface film may be formed along the bottom surfaces of the trenches T1 and T2. The interface film 30 may play a role of preventing a defective interface between the substrate 10 and the high- k films 31 and 32. The interface film may include a low dielectric material layer having a dielectric constant (k) of 9 or lower, such as a silicon oxide film (k is approximately 4) or a silicon oxynitride film (k is approximately 4 to 8 depending on content of oxygen atoms and nitrogen atoms). Alternatively, the interface film may be formed of silicate, or a combination of films exemplified above.
  • The first gate pattern 61 may include a first function film 41 and a first filling film 51. The first function film 41 may be conformally formed along the bottom surface and the side surface of the first trench T1, and the first filling film 51 may fill the portion not filled with the first function film 41.
  • The second gate pattern 62 may include a second function film 42 and a second filling film 52. The second function film 42 may be conformally formed along the bottom surface and the side surface of the second trench T2, and the second filling film 52 may fill the portion not filled with the second function film 42.
  • The function films 41 and 42 may include TiSiN. In this case, the Si concentration in the first function film 41 may be lower than the Si concentration Si in the second function film 42. As a result, the threshold voltage of the transistor in the first region I may be lower than that of the transistor in the second region II.
  • The first source/drain 91 may be formed on the side surface of the first gate pattern 61. The second source/drain 92 may be formed on the side surface of the second gate pattern 62. A portion between the first source/drain 91 of the first fin F1 may be defined as a first channel region C1. Further, a portion between the second source/drain 92 of the second fin F2 may be defined as a second channel region C2.
  • The semiconductor device shown in FIGS. 10 and 11 may correspond to that shown in FIG. 1. The first channel region C1 and the second channel region C2 of FIGS. 10 and 11 may correspond to the first channel region 1110 and the second channel region 1210 of FIG. 1. Further, the high- k films 31 and 32 and the interface film in FIGS. 10 and 11 may correspond, respectively, to the first gate insulating film 1120 and the second gate insulating film 1220 in FIG. 1. Further, the function films 41 and 42 in FIGS. 10 and 11 may correspond, respectively, to the first function film 1130 and the second function film 1230 in FIG. 1. Finally, the filling films 51 and 52 in FIGS. 10 and 11 may correspond, respectively, to the first filling film 1140 and the second filling film 1240 in FIG. 1.
  • Thus, the gate stack structure of FIG. 1 may be implemented as the fin-type structure of FIGS. 10 and 11.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 12. In the following description, the description previously given for the exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIG. 12 is a cross sectional view provided to explain a semiconductor device according to some exemplary embodiments.
  • As shown in FIGS. 2 and 12, the first function film 1130 and the second function film 1230 of FIG. 2 may be formed in the fin-type structure, which is the semiconductor device in FIG. 12.
  • The first function film 41 may have a structure in which the first interfacial function film 41 a and the first barrier function film 41 b are alternately stacked. Although each of the first interfacial function films 41 a and the first barrier function films 41 b are shown as a pair in the drawings, in some embodiments, each of the first interfacial function films 41 a and the first barrier function films 41 b may be more than two, or may be one.
  • The second function film 42 may have a structure in which the second interfacial function films 42 a and the second barrier function films 42 b are alternately stacked. Although each of the second interfacial function films 42 a and the second barrier function films 42 b are shown as a pair in the drawings, in some embodiments, each of the second interfacial function films 42 a and the second barrier function films 42 b may be more than two, or may be one.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 1, 13 and 14. In the following description, the previous description of exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIG. 13 is a layout view provided to explain a semiconductor device according to some exemplary embodiments, and FIG. 14 is a cross sectional view taken on lines E1-E1 and E2-E2 of FIG. 13.
  • As shown in FIGS. 1, 13 and 14, a semiconductor device according to some embodiments of the present disclosure includes a substrate 500, vertical channel regions 310 and 410, upper sources/drains 312 and 412, lower source/drains 311 and 411, interlayer insulating films 300 and 400, gate insulating films 320 and 420, function films 330 and 430, and filling films 340 and 440.
  • The substrate 500 includes a first region I and second regions II.
  • The interlayer insulating films 300 and 400 may be formed on the substrate 500. The interlayer insulating films 300 and 400 may include a first interlayer insulating film 300 formed in the first region I and a second interlayer insulating film 400 formed in the second region II.
  • The vertical channel regions 310 and 410 may be formed through the interlayer insulating films 300 and 400. The upper source/ drain regions 312 and 412 are formed on the upper portions of the vertical channel regions 310 and 410, and the lower source/ drain regions 311 and 411 are formed on the lower portions of the vertical channel regions 310 and 410.
  • The gate insulating films 320 and 420, the function films 330 and 430, and the filling films 340 and 440 may be formed on the lateral sides of the vertical channel regions 310 and 410 in a horizontal direction. The gate insulating films 320 and 420 may horizontally surround the vertical channel regions 310 and 410, and may be conformally formed along the upper and lower surfaces of the interlayer insulating films 300 and 400. The function films 330 and 430 are conformally formed on the gate insulating films 320 and 420, and the filling films 340 and 440 may fill the portions left unfilled by the function films 330 and 430.
  • In each regions, the thicknesses of the gate insulating films 320 and 420, the function films 330 and 430, and the filling films 340 and 440 may be equally the first thickness H1, the second thickness H2, and the third thickness H3, respectively.
  • The function films 330 and 430 may include a first function film 330 in the first region I and a second function film 430 in the second region II. The first function film 330 and the second function film 340 may include TiSiN. The Si concentration in the first function film 330 may be less than the Si concentration in the second function film 340.
  • Accordingly, the threshold voltage in the vertical columnar FET (V-FET) structure may be lower in the first region I than in the second region II.
  • The semiconductor device shown in FIGS. 13 and 14 may correspond to that shown in FIG. 1. Specifically, the first region I and the second region II in FIGS. 13 and 14 may correspond to the first region I and the second region II in FIG. 1, respectively. In addition, the vertical channel regions 310 and 410 in FIGS. 13 and 14 may correspond to the first channel region 1110 and the second channel region 1210, respectively.
  • Further, the gate insulating films 320 and 420 in FIGS. 13 and 14 may correspond, respectively, to the first gate insulating film 1120 and the second gate insulating film 1220 in FIG. 1. Further, the function films 330 and 430 in FIGS. 13 and 14 may correspond, respectively, to the first function film 1130 and the second function film 1230 in FIG. 1. Further, the filling films 340 and 440 in FIGS. 13 and 14 may correspond, respectively, to the first filling film 1140 and the second filling film 1240 in FIG. 1.
  • The gate stack structure of FIG. 1 may be implemented as the V-FET structure of FIGS. 13 and 14. In the drawings, the number of the vertical channel regions is shown as one, but this is provided only for illustrative purpose and exemplary embodiments are not limited thereto. In some embodiments of the present disclosure, there may be two or more vertical channel regions that are vertically spaced from one another and stacked.
  • Hereinbelow, a semiconductor device according to some exemplary embodiments will be described with reference to FIGS. 2 and 15 In the following description, previous description of exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIG. 15 are cross sectional views provided to explain a semiconductor device according to some exemplary embodiments.
  • As shown in FIGS. 2 and 15, the first function film 1130 and the second function film 1230 in FIG. 2 may be formed in the V-FET structure, which is the semiconductor device in FIG. 15.
  • The first function film 330 may have a structure in which the first interfacial function filmfilms 330 a and the first barrier function films 330 b are alternately stacked. Although the first interfacial function films 330 a and the first barrier function films 330 b are each shown as a pair in the drawings, in some embodiments, the first interfacial function films 330 a and the first barrier function films 330 b may each be more than two, or may be one.
  • The second function film 430 may have a structure in which the second interfacial function film 430 a and the second barrier function film 430 b are alternately stacked. Although the second interfacial function film 430 a and the second barrier function film 430 b are each shown as a pair in the drawings, in some embodiments, the second interfacial function film 430 a and the second barrier function film 430 b may each be more than two, or may be one.
  • Hereinbelow, a method of fabricating a semiconductor device according to some exemplary embodiments will be explained with reference to FIGS. 1 and 16 to 18. In the following description, previous description of the exemplary embodiments already provided above will not be described here or will be described as briefly as necessary for the sake of brevity.
  • FIGS. 16 to 18 are views illustrating intermediate stages of fabrication, provided to explain the method for fabricating the semiconductor device according to some exemplary embodiments.
  • As shown in FIG. 16, a first gate insulating film 1120 is formed on a first channel region 1110 in a first region I, and a first Ti film 1130 a and a first N film 1130 b are sequentially formed on a first gate insulating film 1120. At this time, the order of the first Ti film 1130 a and the first N film 1130 b may be reversed.
  • Likewise, in the second region II, a second gate insulating film 1220 is formed on a second channel region 1210, and a second Ti film 1230 a and a second N film 1230 b are sequentially formed on a second gate insulating film 1220. At this time, the order of the second Ti film 1230 a and the second N film 1230 b may be reversed.
  • At this time, the first gate insulating film 1120 and the second gate insulating film 1220 may be formed with the first thickness H1. Each of the first Ti film 1130 a plus the first N film 1130 b, and the second Ti film 1230 a plus the second N film 1230 b, may be formed with the second thickness H2.
  • Next, a first heat treatment 1300 is performed in the first region I and the second region II.
  • Then, as shown in FIG. 17, the first heat treatment 1300 may cause the first Ti film 1130 a and the first N film 1130 b to become the first function film 1130, and the second Ti film 1230 a and the second N film 1230 b to become the second function film 1230.
  • Then, the first doping 1400 a may be performed in the first region I, and the second doping 1400 b may be performed in the second region II.
  • Both the first doping 1400 a and the second doping 1400 b may be Si doping. At this time, the amount of Si doping of the second doping 1400 b may be greater than that of the first doping 1400 a. This may be performed by varying the doping density, or by varying the doping time.
  • Then, as shown in FIG. 18, the Si concentration of the first function film 1130 and that of the second function film 1230 are different from each other.
  • Next, as shown in FIG. 1, a first filling film 1140 and a second filling film 1240 are formed on the first function film 1130 and the second function film 1230, respectively.
  • A method for fabricating a semiconductor device according to some embodiments of the present disclosure may implement a transistor having a multi-threshold voltage through the concentration of a function film without forming a barrier film and a work function adjusting film.
  • In particular, the process of forming the function films of the same thickness in different regions can be much simpler and lower in cost than the method of controlling the thickness of the work function adjusting film differently. As a result, the semiconductor manufacturing cost and efficiency can be significantly lowered.
  • Hereinbelow, a method for fabricating a semiconductor device according to some exemplary embodiments will be explained with reference to FIGS. 2 and 19 to 22. In the following description, previous description of exemplary embodiments already provided above will not be described here or will be described as briefily as necessary for the sake of brevity.
  • FIGS. 19 to 22 are views illustrating intermediate stages of fabrication, provided to explain a method for fabricating a semiconductor device according to some exemplary embodiments.
  • As shown in FIG. 19, a first gate insulating film 1120 is formed on a first channel region 1110 in a first region I, and a first interfacial function film 1131 a is formed on the first gate insulating film 1120 with a thickness a1.
  • A second gate insulating film 1220 is formed on a second channel region 1210 in a second region II, and a second interfacial function film 1231 a is formed on the second gate insulating film 1220 with a thickness c1.
  • The thickness a1 and the thickness c1 may be different from each other. The thickness c1 may be greater than the thickness a1. However, as will be described below, as long as the thickness a1+a2 is lower than the thickness c1+c2, whether the thickness c1 is greater or less than the thickness a1 is not limiting on the exemplary embodiments.
  • The first interfacial function film 1131 a and the second interfacial function film 1231 a may be formed by an atomic layer deposition (ALD) method.
  • Next, as shown in FIG. 20, in the first region I, the first barrier function film a is formed on the first interfacial function film 1131 a with a thickness b1.
  • In the second region II, the second barrier function film 1233 a is formed on the second interfacial function film 1231 a with a thickness d1.
  • The thickness b1 and the thickness d1 may be different from each other. The thickness b1 may be greater than the thickness d1. However, as will be described below, as long as the thickness b1+b2 is lower than the thickness d1+d2, whether the thickness b1 is greater or less than the thickness d1 is not limiting on the exemplary embodiments.
  • The first barrier function film 1133 a and the second barrier function film 1233 a may be formed in a soak method.
  • Next, as shown in FIG. 21, in the first region I, the first interfacial function film 1131 b is formed on the first barrier function film 1131 b with a thickness a2.
  • In the second region II, the second interfacial function film 1231 b is formed on the second barrier function film 1233 a with a thickness c2.
  • At this time, the thickness a1+a2 may be less than the thickness c1+c2.
  • The first interfacial function film 1131 b and the second interfacial function film 1231 b may be formed by an atomic layer deposition (ALD) method.
  • Next, as shown in FIG. 22, in the first region I, the first barrier function film 1133 b is formed on the first interfacial function film 1131 b with a thickness b2.
  • In the second region II, the second barrier function film 1233 b is formed on the second interfacial function film 1231 b with a thickness d2.
  • At this time, the thickness b1+b2 may be greater than the thickness d1+d2.
  • However, the thickness a1+a2+b1+b2 may be the second thickness H2 which is the same as the thickness c1+c2+d1+d2.
  • The first barrier function film 1133 b and the second barrier function film 1233 b may be formed in a soak method.
  • The stacked structure of the first interfacial function films 1131 a and 1131 b and the first barrier function films 1133 a and 1133 b may be completed with the first function film 1130, and the second interfacial function films 1231 a and 1231 b and the second barrier function films 1233 a and 1233 b may be completed with the second function film 1230.
  • At this time, the processes of forming the first function film 1130 and the second function film 1230 may all be performed in-situ. However, exemplary embodiments are not limited to the example given above. Thus, the semiconductor device manufacturing method according to some embodiments of the present disclosure can provide a semiconductor device with few defects and high efficiency.
  • Next, As shown in FIG. 2, the first filling film 1140 may be formed on the first function film 1130, and the second filling film 1240 may be formed on the second function film 1230.
  • While the present inventive concept has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present inventive concept as defined by the following claims. It is therefore desired that the present embodiments be considered in all respects as illustrative and not restrictive, reference being made to the appended claims rather than the foregoing description to indicate the scope of the invention.

Claims (20)

What is claimed is:
1. A semiconductor device comprising:
first and second gate stack structures formed in first and second regions, respectively, wherein the first gate stack structure is formed adjacent to a first channel region and the second gate stack structure is formed adjacent to a second channel region,
wherein the first gate stack structure comprises:
a first gate insulating film having a first thickness formed on the first channel region;
a first function film having a second thickness formed on the first gate insulating film; and
a first filling film having a third thickness formed on the first function film;
wherein the second gate stack structure comprises:
a second gate insulating film having the first thickness formed on the second channel region;
a second function film having the second thickness formed on the second gate insulating film; and
a second filling film having the third thickness formed on the second function film,
wherein the first and second function films comprise TiN, and wherein Si concentrations of the first and second function films are different from each other.
2. The semiconductor device of claim 1, wherein the first and second function films are a single film.
3. The semiconductor device of claim 1, wherein the first function film comprises a first interfacial function film and a first barrier function film alternately stacked, and
wherein the second function film comprises a second interfacial function film and a second barrier function film alternately stacked.
4. The semiconductor device of claim 3, wherein the first and second interfacial function films comprise TIN, and
wherein the first and second barrier function films comprise Si.
5. The semiconductor device of claim 3, wherein a ratio of the thickness of the first barrier function film relative to the thickness of the first interfacial function film, and
wherein a ratio of the thickness of the second barrier function film relative to the thickness of the second interfacial function film are different from each other.
6. The semiconductor device of claim 3, wherein the first and second interfacial function films comprise amorphous TIN, and
wherein the first and second barrier function films comprise crystalline Si
7. The semiconductor device of claim 1, wherein the first and second channel regions comprise Si.
8. The semiconductor device of claim 1, wherein the first gate insulating film comprises a first interfacial layer and a first high-k film on the first interfacial layer,
wherein the second gate insulating film comprises a second interfacial layer and a second high-k film on the second interfacial layer, and
wherein the first and second high-k films have a higher dielectric constant than a silicon oxide film.
9. The semiconductor device of claim 1,
wherein the first function film comprises TiSiN, and
wherein the second function film comprises TiN but not Si.
10. A semiconductor device, comprising:
a substrate comprising first and second regions;
first and second channel regions formed in the first and second regions, respectively;
first and second gate insulating films formed on the first and the second channel regions, respectively;
first and second function films formed on the first and second gate insulating films, respectively, and wherein a Si concentration of the first function film and a Si concentration of the second function film are different from each other; and
first and second filling films formed on the first and the second function films, respectively,
wherein the first and second function films are a TiSiN single film, or multiple films including a TiN film and a Si film alternately stacked.
11. The semiconductor device of claim 10,
wherein the first and second channel regions are first and second nanowires spaced apart from the substrate, respectively,
wherein the first gate insulating film, the first function film, and the first filling film surround the first nanowire, and
wherein the second gate insulating film, the second function film, and the second filling film surround the second nanowire.
12. The semiconductor device of claim 11, further comprising:
a first source/drain connecting with the first channel region on opposite sides of the first channel region, and
a second source/drain connecting with the second channel region on opposite sides of the second channel region.
13. The semiconductor device of claim 12,
wherein the first and the second channel regions extend in a horizontal direction,
wherein the first source/drain is in contact with an upper surface and a bottom surface of the first channel region, respectively, and
wherein the second source/drain is in contact with an upper surface and a bottom surface of the second channel region, respectively.
14. The semiconductor device of claim 10,
wherein the substrate comprises:
a first fin protruding in the first region and extending in a first direction; and
a second fin protruding in the second region and extending in a second direction, and
wherein the first and second channel regions are on upper portions of the first and second fins, respectively.
15. The semiconductor device of claim 14, further comprising:
a first recess formed on either side of the first channel region, within the first fin;
a first source/drain formed in the first recess;
a second recess formed on either side of the second channel region, within the second fin; and
a second source/drain formed in the second recess.
16. A method manufacturing a semiconductor device, comprising:
forming a first gate insulating film on a first channel region in a first region;
forming above the first gate insulating film a first Ti film and a first N film;
forming a second gate insulating film on a second channel in a second region adjacent to the first region;
forming above the second gate insulating film a second Ti film and a second N film,
wherein each of the first and second gate insulating films have a first thickness H1, a a first combination of the first Ti film and the first N film have a second thickness H2, and a second combination of the second Ti film and the second N film have the second thickness H2,
performing a heat treatment in first and second regions to cause the first Ti film and the first N film to become a first function film and the second Ti film and the second N film to become a second function film;
performing a first doping in the first region and a second doping in the second region, wherein both the first doping and the second doping are Si doping; and
forming a first filling film and a second filling film, respectively, on said first and second function films.
17. The method of claim 16, wherein the first and second N films directly contact, respectively, the first and second gate insulating films.
18. The method of claim 16, wherein the first and second Ti films directly contact, respectively, the first and second gate insulating films.
19. The method of claim 16, wherein the amount of Si doping in the second doping is greater than the amount of Si doping in first doping.
20. The method of claim 16, wherein concentrations of Si in the first and second function films are different from each other.
US15/620,631 2016-11-07 2017-06-12 Semiconductor device and method for fabricating the same Abandoned US20180130905A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/100,804 US10600913B2 (en) 2016-11-07 2018-08-10 Semiconductor device and method for fabricating the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2016-0147309 2016-11-07
KR1020160147309A KR102490696B1 (en) 2016-11-07 2016-11-07 Semiconductor device and method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/100,804 Continuation US10600913B2 (en) 2016-11-07 2018-08-10 Semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
US20180130905A1 true US20180130905A1 (en) 2018-05-10

Family

ID=62063706

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/620,631 Abandoned US20180130905A1 (en) 2016-11-07 2017-06-12 Semiconductor device and method for fabricating the same
US16/100,804 Active US10600913B2 (en) 2016-11-07 2018-08-10 Semiconductor device and method for fabricating the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/100,804 Active US10600913B2 (en) 2016-11-07 2018-08-10 Semiconductor device and method for fabricating the same

Country Status (2)

Country Link
US (2) US20180130905A1 (en)
KR (1) KR102490696B1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148890A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Stable work function for narrow-pitch devices
US10431585B2 (en) * 2016-12-16 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor devices with multi-gate structure and method of manufacturing the same
KR20190140564A (en) * 2018-06-12 2019-12-20 삼성전자주식회사 Semiconductor device
CN110707040A (en) * 2018-07-10 2020-01-17 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method of forming the same
US10586854B2 (en) * 2017-01-04 2020-03-10 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US11177259B2 (en) 2019-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer
EP3929150A1 (en) * 2020-06-26 2021-12-29 INTEL Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
US11245020B2 (en) * 2017-01-04 2022-02-08 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US20220085161A1 (en) * 2020-09-11 2022-03-17 Samsung Electronics Co., Ltd. Semiconductor device
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
US11411124B2 (en) * 2017-09-18 2022-08-09 Samsung Electronics Co., Ltd. Semiconductor devices
US20220359654A1 (en) * 2020-04-07 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of Forming Semiconductor Devices Including Gate Barrier Layers
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102267889B1 (en) * 2018-09-28 2021-06-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Capping layers in metal gates of transistors
CN110729248B (en) * 2019-10-28 2021-09-14 中国科学院微电子研究所 Preparation method of stacked nanowire or chip CMOS (complementary Metal oxide semiconductor) device
KR20220077741A (en) * 2020-12-02 2022-06-09 삼성전자주식회사 Semiconductor memory devices

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150076623A1 (en) * 2013-09-13 2015-03-19 United Microelectronics Corp. Metal gate transistor and method for fabricating the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
KR100476482B1 (en) 2002-12-14 2005-03-21 동부전자 주식회사 Method For Forming Barrier Metal
JP4143505B2 (en) 2003-09-03 2008-09-03 株式会社半導体理工学研究センター MOS type semiconductor device and manufacturing method thereof
KR100719342B1 (en) 2005-02-01 2007-05-17 삼성전자주식회사 Semiconductor devices having a dual gate electrode and methods of forming the same
KR100868768B1 (en) 2007-02-28 2008-11-13 삼성전자주식회사 CMOS semiconductor device and fabrication method the same
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8643121B2 (en) 2009-01-12 2014-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing a semiconductor device
US9472637B2 (en) 2010-01-07 2016-10-18 Hitachi Kokusai Electric Inc. Semiconductor device having electrode made of high work function material and method of manufacturing the same
US8765603B2 (en) 2011-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a buffer layer
US8847333B2 (en) 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
WO2013105389A1 (en) 2012-01-13 2013-07-18 東京エレクトロン株式会社 METHOD FOR FORMING TiSiN FILM AND RECORDING MEDIUM
JP2013147708A (en) 2012-01-20 2013-08-01 Tokyo Electron Ltd METHOD FOR DEPOSITING TiSiN FILM AND STORAGE MEDIUM
KR101189642B1 (en) 2012-04-09 2012-10-12 아익스트론 에스이 Method for forming tisin thin layer by using atomic layer deposition
CN103915483B (en) * 2012-12-28 2019-06-14 瑞萨电子株式会社 Field effect transistor and production method with the channel core for being modified to reduce leakage current
US9384984B2 (en) * 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
KR20150127925A (en) * 2014-05-07 2015-11-18 경북대학교 산학협력단 Nitride-based Semiconductor Device Using Gate-all-around Structure and Method Thereof
US9166025B1 (en) * 2014-06-13 2015-10-20 Globalfoundries Inc. Methods of forming a nanowire device with a gate-all-around-channel configuration and the resulting nanowire device
CN105514105B (en) 2014-09-26 2019-08-06 联华电子股份有限公司 The formed method of integrated circuit
KR102396085B1 (en) * 2015-10-28 2022-05-12 에스케이하이닉스 주식회사 Semiconductor device having buried gate structure and method for manufacturing the same, memory cell having the same and electronic device having the same
US10622356B2 (en) 2016-01-19 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
WO2018063314A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Fabricating nanowire transistors using directional selective etching

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150076623A1 (en) * 2013-09-13 2015-03-19 United Microelectronics Corp. Metal gate transistor and method for fabricating the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Chang US 2017/0207218; hereinafter *
Kang US 2017/0125422; hereinafter *

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148890A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Stable work function for narrow-pitch devices
US11894379B2 (en) 2016-12-16 2024-02-06 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same
US10431585B2 (en) * 2016-12-16 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor devices with multi-gate structure and method of manufacturing the same
US11367723B2 (en) 2016-12-16 2022-06-21 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same
US10923476B2 (en) 2016-12-16 2021-02-16 Samsung Electronics Co., Ltd. Semiconductor devices and method of manufacturing the same
US10586854B2 (en) * 2017-01-04 2020-03-10 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US12015069B2 (en) * 2017-01-04 2024-06-18 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US11245020B2 (en) * 2017-01-04 2022-02-08 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US11411124B2 (en) * 2017-09-18 2022-08-09 Samsung Electronics Co., Ltd. Semiconductor devices
US11784260B2 (en) 2017-09-18 2023-10-10 Samsung Electronics Co., Ltd. Semiconductor devices
US11967630B2 (en) * 2018-06-12 2024-04-23 Samsung Electronics Co., Ltd. Semiconductor device including work function adjusting metal gate structure
KR102495082B1 (en) * 2018-06-12 2023-02-01 삼성전자주식회사 Semiconductor device
US11282939B2 (en) * 2018-06-12 2022-03-22 Samsung Electronics Co., Ltd. Semiconductor device including work function adjusting metal gate structure
US20220165861A1 (en) * 2018-06-12 2022-05-26 Samsung Electronics Co., Ltd. Semiconductor device including work function adjusting metal gate structure
KR20190140564A (en) * 2018-06-12 2019-12-20 삼성전자주식회사 Semiconductor device
CN110707040A (en) * 2018-07-10 2020-01-17 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method of forming the same
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
US20220208984A1 (en) * 2018-09-28 2022-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Capping Layers in Metal Gates of Transistors
US11177259B2 (en) 2019-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer
TWI757734B (en) * 2019-09-27 2022-03-11 台灣積體電路製造股份有限公司 Semiconductor device and method for manufacturing the same
US20220359654A1 (en) * 2020-04-07 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of Forming Semiconductor Devices Including Gate Barrier Layers
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
EP3929150A1 (en) * 2020-06-26 2021-12-29 INTEL Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
US20220085161A1 (en) * 2020-09-11 2022-03-17 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
KR102490696B1 (en) 2023-01-19
US10600913B2 (en) 2020-03-24
US20180350983A1 (en) 2018-12-06
KR20180050817A (en) 2018-05-16

Similar Documents

Publication Publication Date Title
US10600913B2 (en) Semiconductor device and method for fabricating the same
US10403739B2 (en) Method for fabricating semiconductor device
US9793368B2 (en) Semiconductor devices including a rare earth element and methods of forming semiconductor devices including a rare earth element
US9443979B2 (en) Semiconductor devices including trench walls having multiple slopes
US10892342B2 (en) Semiconductor devices
US10177149B2 (en) Semiconductor devices with nanowires and with metal layers having different grain sizes
CN109427905A (en) The method and semiconductor devices of manufacturing semiconductor devices
US20160351569A1 (en) Semiconductor device and method for manufacturing the same
JP2020010033A (en) Semiconductor device
CN107527910A (en) IC-components and its manufacture method
TW201705475A (en) Semiconductor device
US10269962B2 (en) Semiconductor device and method for manufacturing the same
US11164869B2 (en) Semiconductor device
US10930544B2 (en) Method of manufacturing semiconductor device having buried gate electrodes
TWI776496B (en) Semiconductor device and method of forming the same
TW202249183A (en) Semiconductor device
KR20180115416A (en) Semiconductor device
US11063036B2 (en) Semiconductor device and method for fabricating the same
US11563002B2 (en) Semiconductor devices having gate electrodes and methods of manufacturing the same
US10147808B1 (en) Techniques for forming vertical tunneling FETS
TWI835165B (en) Semiconductor devices and methods for forming the same
US20190304972A1 (en) Semiconductor device
US20230052295A1 (en) Field effect transistor with air spacer and method
US20230387297A1 (en) Semiconductor devices and methods for fabricating the same
US20230343699A1 (en) Field effect transistor with source/drain via and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD, KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUNG, WON KEUN;PARK, JONG HO;OH, SEUNG HA;AND OTHERS;SIGNING DATES FROM 20170512 TO 20170522;REEL/FRAME:043050/0685

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION