US20180122705A1 - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
US20180122705A1
US20180122705A1 US15/342,114 US201615342114A US2018122705A1 US 20180122705 A1 US20180122705 A1 US 20180122705A1 US 201615342114 A US201615342114 A US 201615342114A US 2018122705 A1 US2018122705 A1 US 2018122705A1
Authority
US
United States
Prior art keywords
region
substrate
mask
recess
etching time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/342,114
Other versions
US9960083B1 (en
Inventor
Tai-You Chen
Cheng-Guo Chen
Kun-Yuan Wu
Chiu-Sheng Ho
Po-Kang Yang
Ta-Kang Lo
Shang-Jr Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US15/342,114 priority Critical patent/US9960083B1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, Cheng-guo, CHEN, SHANG-JR, CHEN, TAI-YOU, HO, CHIU-SHENG, LO, TA-KANG, WU, KUN-YUAN, YANG, PO-KANG
Application granted granted Critical
Publication of US9960083B1 publication Critical patent/US9960083B1/en
Publication of US20180122705A1 publication Critical patent/US20180122705A1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the invention relates to a method for fabricating semiconductor device, and more particularly to a method of achieving multi fin heights in a FinFET process.
  • FinFET fin field effect transistor
  • a method for fabricating semiconductor device is disclosed. First, a substrate having a first region and a second region is provided, a first gate structure is formed on the first region and a second gate structure is formed on the second region, an interlayer dielectric (ILD) layer is formed around the first gate structure and the second gate structure, and the first gate structure and the second gate structure are removed to expose the substrate on the first region and the second region. Next, part of the substrate on the first region is removed to form a first recess and part of the substrate on the second region is removed to form a second recess, in which the depths of the first recess and the second recess are different. Next, a first metal gate is formed on the first region and a second metal gate is formed on the second region.
  • ILD interlayer dielectric
  • FIGS. 1-3 illustrate a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 4 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 5 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 6 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIGS. 1-3 illustrate a method for fabricating a semiconductor device according to a first embodiment of the present invention.
  • a substrate 12 is provided, at least a fin-shaped structure 14 is formed on the substrate 12 , four transistor regions including a first region 16 , a second region 18 , a third region 20 , and a fourth region 22 are defined on the substrate 12 , a shallow trench isolation (STI) 24 is formed in the substrate 12 to separate the transistor regions 16 , 18 , 20 , 22 , and four dummy gates or gate structures 26 are formed on the substrate 12 .
  • STI shallow trench isolation
  • the four transistors regions 16 , 18 , 20 , 22 could share same or different conductive types, such as all being NMOS regions, all being PMOS regions, or part of the regions being NMOS regions and part of the regions being PMOS regions. It should be noted that even though this embodiment pertains to a FinFET process, it would also be desirable to apply the process of this embodiment to a planar MOS transistor, which is also within the scope of the present invention.
  • the formation of the gate structures 26 could be accomplished by a gate first process, a high-k first approach from gate last process, or a high-k last approach from gate last process. Since this embodiment follows a similar high-k last approach typically carried out in a metal-oxide semiconductor (MOS) transistor fabrication, it would be desirable to sequentially deposit a gate dielectric layer or interfacial layer, a gate material layer, and a selective hard mask on the substrate 12 , conduct a pattern transfer process by using a patterned resist (not shown) as mask to remove part of the gate material layer and part of the gate dielectric layer, and then strip the patterned resist to form dummy gates or gate structures 26 on the substrate 12 .
  • Each of the gate structures 26 preferably includes a patterned gate dielectric layer 28 and a patterned material layer 30 .
  • the substrate 12 could be a semiconductor substrate such as a silicon substrate, an epitaxial substrate, a SiC substrate, or a silicon-on-insulator (SOI) substrate, but not limited thereto.
  • the gate dielectric layer 28 could include SiO 2 , SiN, or high-k dielectric material and the gate material layer 30 could include metal, polysilicon, or silicide.
  • the fin-shaped structure 14 could be obtained by a sidewall image transfer (SIT) process.
  • a layout pattern is first input into a computer system and is modified through suitable calculation.
  • the modified layout is then defined in a mask and further transferred to a layer of sacrificial layer on a substrate through a photolithographic and an etching process.
  • a deposition process and an etching process are carried out such that spacers are formed on the sidewalls of the patterned sacrificial layers.
  • sacrificial layers can be removed completely by performing an etching process.
  • the pattern defined by the spacers can be transferred into the substrate underneath, and through additional fin cut processes, desirable pattern structures, such as stripe patterned fin-shaped structures could be obtained.
  • the fin-shaped structure 14 could also be obtained by first forming a patterned mask (not shown) on the substrate, 12 , and through an etching process, the pattern of the patterned mask is transferred to the substrate 12 to form the fin-shaped structure 14 .
  • the formation of the fin-shaped structure 14 could also be accomplished by first forming a patterned hard mask (not shown) on the substrate 12 , and a semiconductor layer composed of silicon germanium is grown from the substrate 12 through exposed patterned hard mask via selective epitaxial growth process to form the corresponding fin-shaped structure 14 .
  • a lightly doped drain (LDD) 32 is formed adjacent two sides of the gate structure 26 , at least a spacer 34 is formed on the sidewalls of the gate structure 26 , and a source/drain region 36 and/or epitaxial layer (not shown) is formed in the substrate 12 adjacent to two sides of the spacer 34 .
  • the spacer 34 could be a single spacer or a composite spacer.
  • the spacer 34 could further include an offset spacer (not shown) and a main spacer (not shown), and the spacer 34 could be selected from the group consisting of SiO 2 , SiN, SiON, and SiCN.
  • the lightly doped drain 32 , source/drain region 36 , and epitaxial layer could include different dopants or different material depending on the type of transistor being fabricated.
  • the lightly doped drain 32 and the source/drain region 36 could include p-type or n-type dopants and the epitaxial layer could include SiGe, SiC, or SiP.
  • an optional contact etch stop layer (CESL) (not shown) composed of silicon nitride could be selectively formed on the substrate 12 to cover the gate structure 26 , and an interlayer dielectric (ILD) layer 40 is formed on the CESL.
  • a planarizing process such as chemical mechanical polishing (CMP) process is conducted to remove part of the ILD layer 40 and part of the CESL to expose the gate material layer 30 composed of polysilicon so that the top surface of the gate material layer 30 and the top surface of the ILD layer 40 are coplanar.
  • CMP chemical mechanical polishing
  • a replacement metal gate (RMG) process is conducted to transform the gate structures 26 into metal gates.
  • the RMG process could be accomplished by first performing a selective dry etching or wet etching process, such as using etchants including ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH) to remove the gate material layer 30 along with the gate dielectric layer 28 or interfacial layer underneath for forming recesses 42 in the ILD layer 30 .
  • etchants including ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH)
  • TMAH tetramethylammonium hydroxide
  • the recesses 42 formed on the first region 16 , second region 18 , third region 20 , and fourth region 22 at this stage preferably share an equal depth D 1 , in which the depth D 1 is measured from the bottom of each recess 42 to the top surface of the ILD layer 40 .
  • a first mask 44 such as a patterned resist is formed on the first region 16 and the fourth region 22 , and an etching process is conducted by using the first mask 44 as mask to extend the depth D 1 of the recesses 42 downward on the second region 18 and third region 20 by removing part of the substrate 12 on the second region 18 and the third region 20 .
  • the recesses 42 on the second region 18 and third region 20 now share slightly greater depth D 2 measured from the bottom of the recesses 42 to the top surface of the ILD layer 40 while the depth D 1 of the recesses 42 on the first region 16 and the fourth region 22 remain unchanged.
  • the present invention it would also be desirable to conduct an extra etching process to remove part of the substrate 12 on all four regions 16 , 18 , 20 , 22 at the same time prior to the formation of the first mask 44 .
  • the recesses 42 on all four regions 16 , 18 , 20 , 22 are extended downward so that the bottom surfaces of all the recess 42 on four regions 16 , 18 , 20 , 22 are lower than the top surface of the substrate 12 or fin-shaped structure 14 .
  • the aforementioned steps of forming the first mask 44 and then using the first mask 44 to extend the recesses 42 on second region 18 and third region 20 downward could be carried out thereafter, which is also within the scope of the present invention.
  • another etching process is conducted by using the ILD layer 40 as mask to remove part of the fin-shaped structure 14 on the first region 16 , second region 18 , third region 20 , and fourth region 22 at the same time.
  • This extends the depth D 1 of the recesses 42 on first region 16 and fourth region 22 as well as the depth D 2 on second region 18 and third region 20 with equal increment downward so that the original depth D 1 on first region 16 and fourth region 22 has now been extended to a new depth D 3 while the depth D 2 on second region 18 and third region 20 has been extended to a new depth D 4 .
  • the etching process conducted in FIG. 2 for extending the depth D 1 of the recesses 42 downward on second region 18 and third region 20 was preferably carried out under a first etching time and the etching process conducted in FIG. 3 for extending the depth D 1 to depth D 3 and extending depth D 2 to depth D 4 was carried out under a second etching time, in which the first etching time and the second etching time could be the same or different depending on the demand of the process.
  • FIGS. 1-2 and 4 illustrate a method for fabricating a semiconductor device according to a second embodiment of the present invention.
  • the first mask 44 is removed, and a second mask 46 , such as another patterned resist is formed on the third region 20 and the fourth region 22 .
  • an etching process is conducted by using the second mask 46 as mask to extend the depth D 1 of the recess 42 on first region 16 and the depth D 2 of the recess 42 on second region 18 downward by removing part of fin-shaped structure 14 or substrate 12 on the first region 16 and the second region 18 at the same time.
  • This extends the original depth D 1 on first region 16 to a new depth D 4 and extends the depth D 2 on second region 18 to a new depth D 3 while the depth D 2 on third region 20 and the depth D 1 on fourth region 22 remain unchanged.
  • the etching process conducted in FIG. 2 for extending the depth D 1 of the recesses 42 downward on second region 18 and third region 20 was carried out under a first etching time and the etching process conducted in FIG. 4 for extending the depth D 1 to depth D 4 and extending the depth D 2 to D 4 was carried out under a second etching time, in which the first etching time and the second etching time are different.
  • the new depth D 4 formed on the first region 16 would be different from the depth D 2 on the third region 20 depending on the duration of each etching time. For instance, if the duration of the first etching time were to be longer than the second etching time, the depth D 2 formed on the third region 20 would be slightly greater than the depth D 4 formed on the first region 16 . Conversely, if the duration of the first etching time were to be shorter than the second etching time, the depth D 2 formed on the third region 20 would be less than the depth D 4 formed on the first region 16 .
  • the fin-shaped structure 14 are also shaped or adjusted to have different heights on the four regions 16 , 18 , 20 , 22 while the recesses 42 having different depths are formed.
  • FIG. 5 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • the second mask 46 could be removed, and an optional etching process could be conducted by using the ILD layer 40 as mask to further extend the depths D 1 , D 2 , D 3 , D 4 downward at the same time so that new depths D 5 , D 6 , D 7 , D 8 are formed on the fourth region 22 , third region 20 , second region 18 , and first region 16 respectively.
  • the bottom of the recesses 42 on four regions 16 , 18 , 20 , 22 would all be lower than the surface of the substrate 12 or fin-shaped structure 14 .
  • FIG. 6 after the steps for forming the recesses 42 in FIG. 3 , FIG. 4 , or FIG. 5 are completed, it would be desirable to continue the RMG process by sequentially forming a high-k dielectric layer 50 and a conductive layer including at least a U-shaped work function metal layer 52 and a low resistance metal layer 54 in the recesses, and a planarizing process is conducted so that the surfaces of the U-shaped high-k dielectric layer 50 , U-shaped work function metal layer 52 , low resistance metal layer 54 , and ILD layer 40 are coplanar.
  • the high-k dielectric layer 50 is preferably selected from dielectric materials having dielectric constant (k value) larger than 4.
  • the high-k dielectric layer 50 may be selected from hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), zirconium oxide (ZrO 2 ), strontium titanate oxide (SrTiO 3 ), zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1-x O 3 , PZT), barium strontium titanate (
  • the work function metal layer 52 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS.
  • the work function metal layer 52 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto.
  • the work function metal layer 52 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto.
  • An optional barrier layer (not shown) could be formed between the work function metal layer 52 and the low resistance metal layer 54 , in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN).
  • the material of the low-resistance metal layer 44 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof. Since the process of using RMG process to transform dummy gate into metal gate is well known to those skilled in the art, the details of which are not explained herein for the sake of brevity.
  • part of the high-k dielectric layer 50 , part of the work function metal layer 52 , and part of the low resistance metal layer 54 could be removed to form recesses (not shown), and hard masks (not shown) could be formed in the recesses so that the top surfaces of the hard masks and ILD layer 40 are coplanar.
  • the hard masks could be selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbon nitride.
  • a contact plug formation could be conducted to form contact plugs in the ILD layer 40 for electrically connecting the source/drain regions 36 .

Abstract

First, a substrate having a first region and a second region is provided, a first gate structure is formed on the first region and a second gate structure is formed on the second region, an interlayer dielectric (ILD) layer is formed around the first gate structure and the second gate structure, and the first gate structure and the second gate structure are removed to expose the substrate on the first region and the second region. Next, part of the substrate on the first region is removed to form a first recess and part of the substrate on the second region is removed to form a second recess, in which the depths of the first recess and the second recess are different. Next, a first metal gate is formed on the first region and a second metal gate is formed on the second region.

Description

    BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The invention relates to a method for fabricating semiconductor device, and more particularly to a method of achieving multi fin heights in a FinFET process.
  • 2. Description of the Prior Art
  • With the trend in the industry being towards scaling down the size of the metal oxide semiconductor transistors (MOS), three-dimensional or non-planar transistor technology, such as fin field effect transistor (FinFET) technology has been developed to replace planar MOS transistors. Since the three-dimensional structure of a FinFET increases the overlapping area between the gate and the fin-shaped structure of the silicon substrate, the channel region can therefore be more effectively controlled. This way, issues such as drain-induced barrier lowering (DIBL) effect and short channel effect are reduced. The channel region is also longer for an equivalent gate length so that the current between the source and the drain is increased. In addition, the threshold voltage of the fin FET can be controlled by adjusting the work function of the gate.
  • In the past, diffusion widths could be designed freely with planar MOS devices. However, as the widths of FinFET structures change from continuous to discrete throughout the decades, the limit of optimization circuit for SRAM and analog or mixed signal designers also increases accordingly. For instance, it has now become a difficult task for designers to obtain a special on current from discrete fin number. Hence, how to alter the current fabrication process to resolve this issue has become an important task in this field.
  • SUMMARY OF THE INVENTION
  • According to a preferred embodiment of the present invention, a method for fabricating semiconductor device is disclosed. First, a substrate having a first region and a second region is provided, a first gate structure is formed on the first region and a second gate structure is formed on the second region, an interlayer dielectric (ILD) layer is formed around the first gate structure and the second gate structure, and the first gate structure and the second gate structure are removed to expose the substrate on the first region and the second region. Next, part of the substrate on the first region is removed to form a first recess and part of the substrate on the second region is removed to form a second recess, in which the depths of the first recess and the second recess are different. Next, a first metal gate is formed on the first region and a second metal gate is formed on the second region.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-3 illustrate a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 4 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 5 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 6 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIGS. 1-3, FIGS. 1-3 illustrate a method for fabricating a semiconductor device according to a first embodiment of the present invention. As shown in FIG. 1, a substrate 12 is provided, at least a fin-shaped structure 14 is formed on the substrate 12, four transistor regions including a first region 16, a second region 18, a third region 20, and a fourth region 22 are defined on the substrate 12, a shallow trench isolation (STI) 24 is formed in the substrate 12 to separate the transistor regions 16, 18, 20, 22, and four dummy gates or gate structures 26 are formed on the substrate 12. In this embodiment, the four transistors regions 16, 18, 20, 22 could share same or different conductive types, such as all being NMOS regions, all being PMOS regions, or part of the regions being NMOS regions and part of the regions being PMOS regions. It should be noted that even though this embodiment pertains to a FinFET process, it would also be desirable to apply the process of this embodiment to a planar MOS transistor, which is also within the scope of the present invention.
  • Preferably, the formation of the gate structures 26 could be accomplished by a gate first process, a high-k first approach from gate last process, or a high-k last approach from gate last process. Since this embodiment follows a similar high-k last approach typically carried out in a metal-oxide semiconductor (MOS) transistor fabrication, it would be desirable to sequentially deposit a gate dielectric layer or interfacial layer, a gate material layer, and a selective hard mask on the substrate 12, conduct a pattern transfer process by using a patterned resist (not shown) as mask to remove part of the gate material layer and part of the gate dielectric layer, and then strip the patterned resist to form dummy gates or gate structures 26 on the substrate 12. Each of the gate structures 26 preferably includes a patterned gate dielectric layer 28 and a patterned material layer 30.
  • In this embodiment, the substrate 12 could be a semiconductor substrate such as a silicon substrate, an epitaxial substrate, a SiC substrate, or a silicon-on-insulator (SOI) substrate, but not limited thereto. The gate dielectric layer 28 could include SiO2, SiN, or high-k dielectric material and the gate material layer 30 could include metal, polysilicon, or silicide.
  • Preferably, the fin-shaped structure 14 could be obtained by a sidewall image transfer (SIT) process. For instance, a layout pattern is first input into a computer system and is modified through suitable calculation. The modified layout is then defined in a mask and further transferred to a layer of sacrificial layer on a substrate through a photolithographic and an etching process. In this way, several sacrificial layers distributed with a same spacing and of a same width are formed on a substrate. Each of the sacrificial layers may be stripe-shaped. Subsequently, a deposition process and an etching process are carried out such that spacers are formed on the sidewalls of the patterned sacrificial layers. In a next step, sacrificial layers can be removed completely by performing an etching process. Through the etching process, the pattern defined by the spacers can be transferred into the substrate underneath, and through additional fin cut processes, desirable pattern structures, such as stripe patterned fin-shaped structures could be obtained.
  • Alternatively, the fin-shaped structure 14 could also be obtained by first forming a patterned mask (not shown) on the substrate, 12, and through an etching process, the pattern of the patterned mask is transferred to the substrate 12 to form the fin-shaped structure 14. Moreover, the formation of the fin-shaped structure 14 could also be accomplished by first forming a patterned hard mask (not shown) on the substrate 12, and a semiconductor layer composed of silicon germanium is grown from the substrate 12 through exposed patterned hard mask via selective epitaxial growth process to form the corresponding fin-shaped structure 14. These approaches for forming fin-shaped structure are all within the scope of the present invention.
  • Next, a lightly doped drain (LDD) 32 is formed adjacent two sides of the gate structure 26, at least a spacer 34 is formed on the sidewalls of the gate structure 26, and a source/drain region 36 and/or epitaxial layer (not shown) is formed in the substrate 12 adjacent to two sides of the spacer 34. In this embodiment, the spacer 34 could be a single spacer or a composite spacer. For instance, the spacer 34 could further include an offset spacer (not shown) and a main spacer (not shown), and the spacer 34 could be selected from the group consisting of SiO2, SiN, SiON, and SiCN. The lightly doped drain 32, source/drain region 36, and epitaxial layer could include different dopants or different material depending on the type of transistor being fabricated. For instance, the lightly doped drain 32 and the source/drain region 36 could include p-type or n-type dopants and the epitaxial layer could include SiGe, SiC, or SiP.
  • Next, as shown in FIG. 2, an optional contact etch stop layer (CESL) (not shown) composed of silicon nitride could be selectively formed on the substrate 12 to cover the gate structure 26, and an interlayer dielectric (ILD) layer 40 is formed on the CESL. Next, a planarizing process, such as chemical mechanical polishing (CMP) process is conducted to remove part of the ILD layer 40 and part of the CESL to expose the gate material layer 30 composed of polysilicon so that the top surface of the gate material layer 30 and the top surface of the ILD layer 40 are coplanar.
  • Next, a replacement metal gate (RMG) process is conducted to transform the gate structures 26 into metal gates. The RMG process could be accomplished by first performing a selective dry etching or wet etching process, such as using etchants including ammonium hydroxide (NH4OH) or tetramethylammonium hydroxide (TMAH) to remove the gate material layer 30 along with the gate dielectric layer 28 or interfacial layer underneath for forming recesses 42 in the ILD layer 30. The recesses 42 formed on the first region 16, second region 18, third region 20, and fourth region 22 at this stage preferably share an equal depth D1, in which the depth D1 is measured from the bottom of each recess 42 to the top surface of the ILD layer 40.
  • After the surface of the substrate 12 within the recesses 42 are exposed, a first mask 44, such as a patterned resist is formed on the first region 16 and the fourth region 22, and an etching process is conducted by using the first mask 44 as mask to extend the depth D1 of the recesses 42 downward on the second region 18 and third region 20 by removing part of the substrate 12 on the second region 18 and the third region 20. Preferably, the recesses 42 on the second region 18 and third region 20 now share slightly greater depth D2 measured from the bottom of the recesses 42 to the top surface of the ILD layer 40 while the depth D1 of the recesses 42 on the first region 16 and the fourth region 22 remain unchanged.
  • According to an embodiment of the present invention, it would also be desirable to conduct an extra etching process to remove part of the substrate 12 on all four regions 16, 18, 20, 22 at the same time prior to the formation of the first mask 44. After the recesses 42 on all four regions 16, 18, 20, 22 are extended downward so that the bottom surfaces of all the recess 42 on four regions 16, 18, 20, 22 are lower than the top surface of the substrate 12 or fin-shaped structure 14, the aforementioned steps of forming the first mask 44 and then using the first mask 44 to extend the recesses 42 on second region 18 and third region 20 downward could be carried out thereafter, which is also within the scope of the present invention.
  • Next, as shown in FIG. 3, after removing the first mask 44, another etching process is conducted by using the ILD layer 40 as mask to remove part of the fin-shaped structure 14 on the first region 16, second region 18, third region 20, and fourth region 22 at the same time. This extends the depth D1 of the recesses 42 on first region 16 and fourth region 22 as well as the depth D2 on second region 18 and third region 20 with equal increment downward so that the original depth D1 on first region 16 and fourth region 22 has now been extended to a new depth D3 while the depth D2 on second region 18 and third region 20 has been extended to a new depth D4.
  • Preferably, the etching process conducted in FIG. 2 for extending the depth D1 of the recesses 42 downward on second region 18 and third region 20 was preferably carried out under a first etching time and the etching process conducted in FIG. 3 for extending the depth D1 to depth D3 and extending depth D2 to depth D4 was carried out under a second etching time, in which the first etching time and the second etching time could be the same or different depending on the demand of the process.
  • Referring to FIGS. 1-2 and 4, FIGS. 1-2 and 4 illustrate a method for fabricating a semiconductor device according to a second embodiment of the present invention. As shown in FIG. 4, after extending the depth D1 of the recesses 42 on second region 18 and third region 20 to depth D2 as disclosed in FIG. 2, the first mask 44 is removed, and a second mask 46, such as another patterned resist is formed on the third region 20 and the fourth region 22. Next, an etching process is conducted by using the second mask 46 as mask to extend the depth D1 of the recess 42 on first region 16 and the depth D2 of the recess 42 on second region 18 downward by removing part of fin-shaped structure 14 or substrate 12 on the first region 16 and the second region 18 at the same time. This extends the original depth D1 on first region 16 to a new depth D4 and extends the depth D2 on second region 18 to a new depth D3 while the depth D2 on third region 20 and the depth D1 on fourth region 22 remain unchanged.
  • Preferably, the etching process conducted in FIG. 2 for extending the depth D1 of the recesses 42 downward on second region 18 and third region 20 was carried out under a first etching time and the etching process conducted in FIG. 4 for extending the depth D1 to depth D4 and extending the depth D2 to D4 was carried out under a second etching time, in which the first etching time and the second etching time are different.
  • By using different etching time to carryout the aforementioned etching processes individually, the new depth D4 formed on the first region 16 would be different from the depth D2 on the third region 20 depending on the duration of each etching time. For instance, if the duration of the first etching time were to be longer than the second etching time, the depth D2 formed on the third region 20 would be slightly greater than the depth D4 formed on the first region 16. Conversely, if the duration of the first etching time were to be shorter than the second etching time, the depth D2 formed on the third region 20 would be less than the depth D4 formed on the first region 16. As a result, four different depths D1, D2, D3, D4 could be obtained for the recesses 42 on the four regions 16, 18, 20, 22 at this stage and if viewing from another perspective, the fin-shaped structure 14 are also shaped or adjusted to have different heights on the four regions 16, 18, 20, 22 while the recesses 42 having different depths are formed.
  • Referring to FIG. 5, FIG. 5 illustrates a method for fabricating a semiconductor device according to an embodiment of the present invention. As shown in FIG. 5, after forming the four different depths D1, D2, D3, D4 as disclosed in FIG. 4, the second mask 46 could be removed, and an optional etching process could be conducted by using the ILD layer 40 as mask to further extend the depths D1, D2, D3, D4 downward at the same time so that new depths D5, D6, D7, D8 are formed on the fourth region 22, third region 20, second region 18, and first region 16 respectively. By doing so, the bottom of the recesses 42 on four regions 16, 18, 20, 22 would all be lower than the surface of the substrate 12 or fin-shaped structure 14.
  • As shown in FIG. 6, after the steps for forming the recesses 42 in FIG. 3, FIG. 4, or FIG. 5 are completed, it would be desirable to continue the RMG process by sequentially forming a high-k dielectric layer 50 and a conductive layer including at least a U-shaped work function metal layer 52 and a low resistance metal layer 54 in the recesses, and a planarizing process is conducted so that the surfaces of the U-shaped high-k dielectric layer 50, U-shaped work function metal layer 52, low resistance metal layer 54, and ILD layer 40 are coplanar.
  • In this embodiment, the high-k dielectric layer 50 is preferably selected from dielectric materials having dielectric constant (k value) larger than 4. For instance, the high-k dielectric layer 50 may be selected from hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), barium strontium titanate (BaxSr1-xTiO3, BST) or a combination thereof.
  • In this embodiment, the work function metal layer 52 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS. For an NMOS transistor, the work function metal layer 52 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto. For a PMOS transistor, the work function metal layer 52 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto. An optional barrier layer (not shown) could be formed between the work function metal layer 52 and the low resistance metal layer 54, in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN). Furthermore, the material of the low-resistance metal layer 44 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof. Since the process of using RMG process to transform dummy gate into metal gate is well known to those skilled in the art, the details of which are not explained herein for the sake of brevity.
  • Next, part of the high-k dielectric layer 50, part of the work function metal layer 52, and part of the low resistance metal layer 54 could be removed to form recesses (not shown), and hard masks (not shown) could be formed in the recesses so that the top surfaces of the hard masks and ILD layer 40 are coplanar. The hard masks could be selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbon nitride. Afterwards, a contact plug formation could be conducted to form contact plugs in the ILD layer 40 for electrically connecting the source/drain regions 36.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (10)

1. A method for fabricating semiconductor device, comprising:
providing a substrate having a first region and a second region;
forming a first gate structure on the first region and a second gate structure on the second region;
forming an interlayer dielectric (ILD) layer around the first gate structure and the second gate structure;
removing the first gate structure and the second gate structure to expose the substrate on the first region and the second region;
removing part of the substrate on the second region to form a first recess exposing the substrate;
removing part of the substrate on the first region to form a second recess exposing the substrate, wherein the depths of the first recess and the second recess are different and the substrate exposed by the first recess and the substrate exposed by the second recess comprise same material; and
forming a first metal gate on the first region and a second metal gate on the second region.
2. The method of claim 1, further comprising:
forming a first mask on the first region;
using the first mask as mask to form the first recess;
removing the first mask;
using the ILD layer as mask to remove part of the substrate on the first region and part of the substrate on the second region.
3. The method of claim 2, further comprising:
using the first mask to remove part of the substrate on the second region under a first etching time; and
using the ILD layer as mask to remove part of the substrate on the first region and part of the substrate on the second region under a second etching time, wherein the first etching time and the second etching time are the same.
4. The method of claim 2, further comprising:
using the first mask to remove part of the substrate on the second region under a first etching time; and
using the ILD layer as mask to remove part of the substrate on the first region and part of the substrate on the second region under a second etching time, wherein the first etching time and the second etching time are different.
5. The method of claim 1, further comprising:
forming a first mask on the first region;
using the first mask as mask to form the first recess;
removing the first mask;
forming a second mask on the second region; and
using the second mask as mask to form the second recess.
6. The method of claim 5, further comprising:
using the first mask to form the first recess under a first etching time;
using the second mask to form the second recess under a second etching time, wherein the first etching time and the second etching time are different.
7. The method of claim 1, wherein the first metal gate comprises:
a first work function metal layer; and
a first low resistance metal layer on the first work function metal layer.
8. The method of claim 7, wherein the second metal gate comprises:
a second work function metal layer; and
a second low resistance metal layer on the second work function metal layer.
9. The method of claim 8, wherein the first work function metal layer and the second work function metal layer comprise different conductive type.
10. The method of claim 1, wherein the first metal gate and the second metal gate comprise different heights and a bottom surface of at least one of the first metal gate and the second metal gate is lower than a top surface of the substrate.
US15/342,114 2016-11-02 2016-11-02 Method for fabricating semiconductor device Active 2036-12-03 US9960083B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/342,114 US9960083B1 (en) 2016-11-02 2016-11-02 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/342,114 US9960083B1 (en) 2016-11-02 2016-11-02 Method for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
US9960083B1 US9960083B1 (en) 2018-05-01
US20180122705A1 true US20180122705A1 (en) 2018-05-03

Family

ID=62013789

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/342,114 Active 2036-12-03 US9960083B1 (en) 2016-11-02 2016-11-02 Method for fabricating semiconductor device

Country Status (1)

Country Link
US (1) US9960083B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190140110A1 (en) * 2017-11-07 2019-05-09 Arizona Board Of Regents On Behalf Of Arizona State University HIGH-VOLTAGE ALUMINUM NITRIDE (AlN) SCHOTTKY-BARRIER DIODES
KR20190131986A (en) * 2018-05-18 2019-11-27 삼성전자주식회사 Semiconductor devices
US10644149B1 (en) * 2018-10-26 2020-05-05 Globalfoundries Inc. LDMOS fin-type field-effect transistors including a dummy gate
US11011623B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US20220302130A1 (en) * 2016-11-17 2022-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory cell

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7947591B2 (en) * 2006-10-25 2011-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with dual-metal gate structures and fabrication methods thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US8432002B2 (en) * 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
US8796128B2 (en) * 2012-02-07 2014-08-05 International Business Machines Corporation Dual metal fill and dual threshold voltage for replacement gate metal devices
US9246002B2 (en) 2014-03-13 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7947591B2 (en) * 2006-10-25 2011-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with dual-metal gate structures and fabrication methods thereof

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220302130A1 (en) * 2016-11-17 2022-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory cell
US11864368B2 (en) * 2016-11-17 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory cell
US20190140110A1 (en) * 2017-11-07 2019-05-09 Arizona Board Of Regents On Behalf Of Arizona State University HIGH-VOLTAGE ALUMINUM NITRIDE (AlN) SCHOTTKY-BARRIER DIODES
KR20190131986A (en) * 2018-05-18 2019-11-27 삼성전자주식회사 Semiconductor devices
KR102560369B1 (en) * 2018-05-18 2023-07-28 삼성전자주식회사 Semiconductor devices
US11011623B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US11721745B2 (en) 2018-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for increasing germanium concentration of surfaces of a silicon germanium portion of a fin and resulting semiconductor devices
US10644149B1 (en) * 2018-10-26 2020-05-05 Globalfoundries Inc. LDMOS fin-type field-effect transistors including a dummy gate

Also Published As

Publication number Publication date
US9960083B1 (en) 2018-05-01

Similar Documents

Publication Publication Date Title
US11569133B2 (en) Semiconductor device and method for fabricating the same
US10062604B2 (en) Semiconductor device and method for fabricating the same
US11699705B2 (en) Semiconductor device
US11417564B2 (en) Semiconductor device and method for fabricating the same
US20180166571A1 (en) Method for forming recess within epitaxial layer
US10141263B2 (en) Method for fabricating semiconductor device
US10141228B1 (en) FinFET device having single diffusion break structure
US10211311B2 (en) Method for fabricating semiconductor device
US10522660B2 (en) Method for fabricating semiconductor device
US11721591B2 (en) Semiconductor device and method for fabricating the same
US10607897B2 (en) Semiconductor device and method for fabricating the same
US9960083B1 (en) Method for fabricating semiconductor device
US11011430B2 (en) Semiconductor device and method for fabricating the same
US9786662B1 (en) Semiconductor device and method for fabricating the same
US20170287843A1 (en) Semiconductor device having contact plugs with different interfacial layers

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, TAI-YOU;CHEN, CHENG-GUO;WU, KUN-YUAN;AND OTHERS;REEL/FRAME:040203/0875

Effective date: 20161027

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4