US20180026118A1 - Multiple step thin film deposition method for high conformality - Google Patents

Multiple step thin film deposition method for high conformality Download PDF

Info

Publication number
US20180026118A1
US20180026118A1 US15/174,147 US201615174147A US2018026118A1 US 20180026118 A1 US20180026118 A1 US 20180026118A1 US 201615174147 A US201615174147 A US 201615174147A US 2018026118 A1 US2018026118 A1 US 2018026118A1
Authority
US
United States
Prior art keywords
conductive layer
ion energy
active regions
layer
fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/174,147
Other versions
US9859403B1 (en
Inventor
Nicolas L. Breil
Neal A. Makela
Praneet Adusumilli
Domingo A. Ferrer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BREIL, NICOLAS L., ADASUMILLI, PRANEET, FERRER, Domingo A., MAKELA, NEAL A.
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/174,147 priority Critical patent/US9859403B1/en
Application granted granted Critical
Publication of US9859403B1 publication Critical patent/US9859403B1/en
Publication of US20180026118A1 publication Critical patent/US20180026118A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Definitions

  • the present application relates generally to semiconductor device processing, and more specifically to conformal thin film architectures and their methods of production.
  • Fully-depleted devices such as fin field effect transistors (FinFETs) are candidates to enable scaling of next generation gate lengths to 14 nm and below. As contact dimensions decrease, however, there is a corresponding increase in contact resistance that poses a challenge to device development.
  • FinFETs fin field effect transistors
  • Merging fins i.e., at respective source and drain regions
  • Due to the narrow dimensions of the device it is difficult to merge fins without consuming the silicon reservoir of the fins themselves.
  • achieving conformal coverage of deposited layers over three-dimensional structures is important in engineering robust device designs having enhanced performance.
  • the ion energy during a physical vapor deposition (PVD) process is controlled by modulating the substrate impedance.
  • PVD physical vapor deposition
  • a method of forming a semiconductor structure within a vacuum chamber includes plasma depositing a first portion of a conductive layer over raised regions disposed on a semiconductor substrate at a first ion energy, and plasma depositing a second portion of the conductive layer over the first portion at a second ion energy.
  • a further method of forming a semiconductor structure includes forming a plurality of semiconductor fins on a substrate, and forming a plurality of raised active regions on the semiconductor fins.
  • a first portion of a conductive layer is plasma deposited over the raised active regions at a first ion energy
  • a second portion of the conductive layer is plasma deposited over the first portion at a second ion energy.
  • FIG. 1 is a perspective view of a semiconductor device architecture at an intermediate stage of fabrication according to various embodiments
  • FIG. 2 is a cross-sectional schematic view of a semiconductor device architecture after the formation of a diamond-shaped epitaxial layer over exposed surfaces of adjacent fins;
  • FIG. 3 is a cross-sectional schematic view of a semiconductor device architecture after the formation of a conformal layer over the epitaxial layer of FIG. 2 ;
  • FIGS. 4A and 4B illustrate a physical vapor deposition apparatus that may be used in conjunction with various embodiments
  • FIG. 5 is a plot of self-bias voltage as a function of the setting of a variable capacitor
  • FIGS. 6A and 6B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a high ion energy deposition process;
  • FIGS. 7A and 7B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a low ion energy deposition process;
  • FIG. 8 is a plot of conductive layer thickness over a diamond-shaped epitaxial layer as a function of ion energy and location
  • FIGS. 9A and 9B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a two-step ion energy deposition process according to embodiments.
  • FIGS. 10A and 10B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a two-step ion energy deposition process according to embodiments.
  • TEM transmission electron microscope
  • Embodiments of the application relate generally to the manufacture of semiconductor devices, and more particularly to the manufacture of fin field effect transistors (FinFETs), although it will be appreciated that the methods and structures described herein may be applied to other device architectures.
  • FinFETs fin field effect transistors
  • a semiconductor structure includes a substrate 100 having a plurality of fins 202 formed on an isolation layer 120 of the substrate.
  • Epitaxial source and drain raised active regions 310 a , 310 b are formed over the fins 202 at opposing ends thereof, while a gate stack 400 is formed over the fins 202 defining a channel region between the source and drain regions.
  • Substrate 100 may be a semiconductor material such as silicon or a silicon-containing material, including a bulk substrate.
  • a plurality of fins may be formed on a bulk substrate.
  • Silicon-containing materials include, but are not limited to, single crystal Si, polycrystalline Si, single crystal silicon germanium (SiGe), polycrystalline silicon germanium, silicon doped with carbon (Si:C), amorphous Si, as well as combinations and multi-layers thereof.
  • Example silicon substrates include silicon-on-insulator (SOI) substrates, silicon-on-sapphire (SOS) substrates, and the like.
  • SOI silicon-on-insulator
  • SOS silicon-on-sapphire
  • the term “single crystal” denotes a crystalline solid, in which the crystal lattice of the entire sample is substantially continuous and substantially unbroken to the edges of the sample with substantially no grain boundaries.
  • Substrate 100 is not limited to silicon-containing materials, as the substrate 100 may include other semiconductor materials, including Ge and compound semiconductors such as GaAs, InAs and other like semiconductors.
  • the isolation layer 120 may include the buried oxide (BOX) layer of a semiconductor-on-insulator (SOI) substrate, or an oxidized layer of a bulk silicon substrate.
  • the thickness of the isolation layer 120 may range from 30 to 300 nm, e.g., 30, 50, 100, 150, 200, 250 or 300 nm, including ranges between any of the foregoing values.
  • the isolation layer 120 may include, for example, silicon dioxide (SiO 2 ).
  • isolation layer 120 may include silicon nitride, silicon oxynitride, a low-k material, or any suitable combination of these materials.
  • the isolation layer 120 may be deposited by plasma enhanced chemical vapor deposition (PECVD).
  • Exemplary low-k materials include but are not limited to, amorphous carbon, fluorine-doped oxides, carbon-doped oxides, SiCOH or SiBCN.
  • Commercially-available low-k dielectric products and materials include Dow Corning's SiLKTM and porous SiLKTM, Applied Materials' Black DiamondTM, Texas Instrument's CoralTM and TSMC's Black DiamondTM and CoralTM.
  • a low-k material has a dielectric constant less than that of silicon oxide.
  • the substrate 100 may have dimensions as typically used in the art.
  • Example substrate diameters include, but are not limited to, 50, 100, 150, 200, 300 and 450 mm.
  • the total substrate thickness may range from 250 microns to 1500 microns, although in particular embodiments the substrate thickness is in the range of 725 to 775 microns, which corresponds to thickness dimensions commonly used in silicon CMOS processing.
  • the supporting substrate 110 may include (100)-oriented silicon or (111)-oriented silicon, for example.
  • Fins 202 may be etched (i.e., patterned) from the substrate.
  • the patterning process may include photolithography, which includes forming a layer of photoresist material (not shown) atop a material or material stack to be patterned.
  • the photoresist material may include a positive-tone photoresist composition, a negative-tone photoresist composition, or a hybrid-tone photoresist composition.
  • a layer of photoresist material may be formed by a deposition process such as, for example, spin-on coating.
  • the deposited photoresist is then subjected to a pattern of irradiation, and the exposed photoresist material is developed using a conventional resist developer.
  • the pattern provided by the patterned photoresist material is thereafter transferred into the underlying material layer or material layers utilizing at least one pattern transfer etching process.
  • the pattern transfer etching process may be an isotropic etch or an anisotropic etch.
  • a dry etching process such as, for example, reactive ion etching (RIE) can be used.
  • RIE reactive ion etching
  • a wet chemical etchant can be used.
  • a combination of dry etching and wet etching can be used.
  • the pattern transfer etching may stop on the isolation layer 120 , or a portion of the isolation layer 120 may be removed during the formation of the fins 202 .
  • fins 202 are formed from a crystalline semiconductor material.
  • the semiconductor material forming fins 202 may be doped, undoped, or contain doped and undoped regions therein.
  • Each doped region within the semiconductor fins 202 may have the same or different doping concentrations and/or conductivities. Doped regions that are present can be formed, for example, by an ion implantation process or by gas phase doping.
  • the patterning process may include a sidewall image transfer (SIT) process.
  • the SIT process includes forming a mandrel material layer (not shown) atop the material or material layers (i.e., crystalline silicon) that is to be patterned.
  • the mandrel material layer can include any material (semiconductor, dielectric or conductive) that can be selectively removed from the structure during a subsequently performed etching process.
  • the mandrel material layer may be composed of amorphous silicon or polysilicon.
  • the mandrel material layer may be composed of a metal such as, for example, Al, W, or Cu.
  • the mandrel material layer can be formed, for example, by chemical vapor deposition or plasma enhanced chemical vapor deposition. Following deposition of the mandrel material layer, the mandrel material layer can be patterned by lithography and etching to form a plurality of mandrel structures (also not shown) on the topmost surface of the structure.
  • the SIT process continues by forming a dielectric spacer on each sidewall of each mandrel structure.
  • the dielectric spacer can be formed by deposition of a dielectric spacer material and then etching the deposited dielectric spacer material.
  • the dielectric spacer material may include any dielectric spacer material such as, for example, silicon dioxide, silicon nitride or a dielectric metal oxide.
  • deposition processes that can be used in providing the dielectric spacer material include, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or atomic layer deposition (ALD).
  • Examples of etching that can be used in providing the dielectric spacers include any etching process such as, for example, reactive ion etching.
  • the SIT process continues by removing each mandrel structure.
  • Each mandrel structure can be removed by an etching process that is selective for removing the mandrel material.
  • the pattern provided by the dielectric spacers is transferred into the underlying material or material layers.
  • the pattern transfer may be achieved by at least one etching process. Examples of etching processes that can used to transfer the pattern may include dry etching (i.e., reactive ion etching, plasma etching, and ion beam etching or laser ablation) and/or a chemical wet etch process. In one example, the etch process used to transfer the pattern may include one or more reactive ion etching steps.
  • the SIT process concludes by removing the dielectric spacers from the structure. Each dielectric spacer may be removed by etching or a planarization process.
  • a “fin” refers to a contiguous semiconductor material and includes a pair of vertical sidewalls that are parallel to each other.
  • a surface is “vertical” if there exists a vertical plane from which the surface does not deviate by more than three times the root mean square roughness of the surface.
  • Each of a plurality of fins 202 can include a single crystal semiconductor material that extends along a lengthwise direction.
  • a “lengthwise direction” is a horizontal direction along with an object extends the most.
  • a “widthwise direction” is a horizontal direction that is perpendicular to the lengthwise direction.
  • the as-formed fins 202 are free standing, i.e., supported only by the substrate 100 .
  • the fin height is measured normal to the major surface of the substrate from the top of isolation layer 120 to the top of the fin.
  • the fin height is measured normal to the major surface of the substrate from the top of an isolation layer disposed between adjacent fins to the top of the fin.
  • Each fin has a height (H) that may range from 10 nm to 100 nm and a width (W) that may range from 4 nm to 30 nm. Other heights and widths that are less than or greater than the ranges mentioned can also be used.
  • each fin may be spaced apart from its nearest neighbor by a pitch (i.e., repeat distance) of 20 nm to 100 nm. Such plural fins are typically oriented parallel to each other.
  • each of a plurality of semiconductor fins 202 extends along a lengthwise direction with a substantially rectangular vertical cross-sectional shape.
  • a “substantially rectangular shape” is a shape that differs from a rectangular shape only due to atomic level roughness that does not exceed 2 nm.
  • the substantially rectangular vertical cross-sectional shape is a shape within a plane including a vertical direction and a widthwise direction.
  • the supporting substrate 110 and the insulator layer 120 may collectively function as a substrate on which the plurality of semiconductor fins 202 are disposed.
  • the substantially rectangular vertical cross-sectional shape adjoins a horizontal interface with a top surface of the insulator layer 120 .
  • each fin 202 has a bottom surface that is disposed directly on a top surface of the substrate, which may be the insulator layer 120 of an SOI substrate.
  • Plural fins may have identical or substantially identical dimensions, i.e., height and/or width. As used herein, substantially identical dimensions vary by less than 10%, e.g., less than 5%, 2% or 1%.
  • a gate stack 400 formed over a portion of fins 202 is a gate stack 400 , which includes a gate dielectric 402 , a gate electrode 404 , and a gate cap 406 . Sidewall spacers 408 may be formed on the sides of the gate stack 400 . Gate stack 400 is formed generally orthogonal to the length-wise dimension of the fins 202 .
  • the gate dielectric 402 may be a conformal layer that is formed over exposed surfaces of the fins 202 and substrate 100 , and may be deposited by a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • thermal oxidation UV-ozone oxidation, or combinations thereof.
  • the formation of the gate dielectric 402 , the gate electrode 404 , and the gate cap 406 can be effected, for example, by deposition of a stack of a gate dielectric layer, a gate electrode layer, and a gate cap dielectric layer, and by subsequent patterning of the gate cap dielectric layer, the gate electrode layer, and the gate dielectric layer.
  • Patterning of the gate cap dielectric layer and the gate electrode layer can be performed using a combination of lithographic methods and at least one anisotropic etch. Patterning of the gate dielectric layer can be performed using an isotropic etch that is selective to the semiconductor material used to form semiconductor fins 202 .
  • Gate dielectric 402 may include silicon oxide, silicon nitride, silicon oxynitride, a high-k dielectric, or other suitable material.
  • a high-k material has a dielectric constant greater than that of silicon oxide.
  • a high-k dielectric may include a binary or ternary compound such as hafnium oxide (HfO 2 ).
  • high-k dielectrics include, but are not limited to, ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , BaTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , HfSiO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , SiO x N y , SiN x , a silicate thereof, and an alloy thereof.
  • Each value of x may independently vary from 0.5 to 3, and each value of y may independently vary from 0 to 2.
  • the gate dielectric thickness may range from 1 nm to 10 nm, e.g., 1, 2, 4, 6, 8 or 10 nm, including ranges between any of the foregoing.
  • a gate electrode 404 is formed over the gate dielectric 402 , and a gate cap 406 is formed over the gate electrode 404 .
  • the gate electrode 404 may include a conductive material such as polysilicon, silicon-germanium, a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re, alloys of conductive metals, e.g., Al—Cu, silicides of a conductive metal, e.g., W silicide, and Pt silicide, or other conductive metal compounds such as TiN, TiC, TiSiN, TiTaN, TaN, TaAlN, TaSiN, TaRuN, WSiN, NiSi, CoSi, as well as combinations thereof.
  • the gate electrode 404 may include one or more layers of such materials such as, for example, a metal stack including a work function metal layer and/or a liner.
  • the gate electrode 404 can be formed utilizing a conventional deposition process such as, for example, ALD, CVD, metalorganic chemical vapor deposition (MOCVD), molecular beam epitaxy (MBE), sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, or chemical solution deposition.
  • ALD ALD
  • CVD chemical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • MBE molecular beam epitaxy
  • sputtering plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, or chemical solution deposition.
  • the gate spacers 408 can be formed around the gate stack 402 , 404 , 406 .
  • the gate spacers 408 can be formed, for example, by depositing a conformal dielectric material layer on the plurality of semiconductor fins 202 and the gate stack, and anisotropically etching the conformal dielectric layer.
  • the anisotropic etch may include an over-etch component that removes vertical portions of the conformal dielectric material layer from the sidewalls of the plurality of semiconductor fins 202 .
  • An upper portion of the gate cap dielectric 406 can be vertically recessed during the over-etch of the conformal dielectric material layer.
  • the remaining portions of the conformal dielectric material layer constitute the gate spacers 408 , which laterally surround the gate stack 402 , 404 , 406 .
  • the semiconductor structure may be fabricated using a gate first process or a gate last (i.e., replacement metal gate) process.
  • a gate first process as described above, metal layers are formed and patterned to form gate structures follow by CMOS processing such as formation of the source and drain, spacers, and interlayer dielectric.
  • CMOS processing such as formation of the source and drain, spacers, and interlayer dielectric.
  • a gate last process a sacrificial gate structure is formed followed by CMOS processing. Thereafter, the sacrificial gate structure is removed followed by the formation of a replacement gate structure.
  • a plurality of raised active regions 310 are formed on the plurality of semiconductor fins 202 .
  • a “raised active region” refers to a doped semiconductor material portion that protrudes above a topmost surface of an active region of a semiconductor device.
  • An active region refers to a semiconductor material portion within a semiconductor device through which charge carriers flow during operation of the device.
  • a “raised region” refers to a material portion that protrudes above a planar surface. As seen in the perspective view of FIG.
  • the plurality of the raised active regions include raised source regions 310 a that are formed on a source side of the fins 202 with respect to the gate stack 402 , 404 , 406 , and raised drain regions 310 b that are formed on a drain side of the fins 202 with respect to the gate stack 402 , 404 , 406 .
  • Pre-merged raised active regions 310 are formed over opposing end portions of the fins 202 .
  • the epitaxial growth naturally forms into shaped structures (referred to as diamond-shaped structures hereafter).
  • the faceted shape results from the different relative growth rates over different crystallographic orientations. For example, the growth rate on silicon (Si) surfaces having (111) orientations is slower than that on other planes such as (110) or (100) planes. Accordingly, the resultant diamond-shaped structures result from the slowest epitaxial growth rate on the (111) surface.
  • the vertical surfaces of the fins 202 have a (110) crystallographic orientation, while the horizontal top surfaces have a (100) orientation.
  • the faceted top surfaces of the raised active regions 310 have a (111) orientation.
  • the angle between the (111) surface and (110) surface is 35.3°, and the angle between (111) surface and the (100) surface 54.7°.
  • lengths (L) of the facets are substantially the same and can be controlled by the epitaxial growth process conditions, such as growth rate and growth time.
  • the diamond-shaped raised active regions 310 have the advantage of a greater surface area and volume in the active regions, and the flexibility of a multi-layer fin structure (e.g., SiGe diamonds on Si fins).
  • Example epitaxial growth processes include low energy plasma deposition, liquid phase epitaxy, molecular beam epitaxy, and atmospheric pressure chemical vapor deposition.
  • the terms “epitaxy,” “epitaxial” and/or “epitaxial growth and/or deposition” refer to the growth of a semiconductor material layer on a deposition surface of a semiconductor material, in which the semiconductor material layer being grown assumes the same crystalline habit as the semiconductor material of the deposition surface.
  • chemical reactants provided by source gases are controlled and the system parameters are set so that depositing atoms alight on the deposition surface and remain sufficiently mobile via surface diffusion to orient themselves according to the crystalline orientation of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a (100) crystal surface will take on a (100) orientation.
  • the epitaxial source and drain regions may be doped. Doping changes the electron and hole carrier concentrations of an intrinsic semiconductor at thermal equilibrium.
  • a doped layer or region may be p-type or n-type.
  • the plurality of semiconductor fins 202 are doped with dopants of a first conductivity type, e.g., prior to formation of the gate stack, the plurality of raised active regions 310 can be doped with dopants of a second conductivity type, which is the opposite of the first conductivity type. If the first conductivity type is p-type, the second conductivity type is n-type, and vice versa.
  • p-type refers to the addition of impurities to an intrinsic semiconductor that creates a deficiency of valence electrons.
  • example p-type dopants i.e., impurities
  • n-type refers to the addition of impurities that contribute free electrons to an intrinsic semiconductor.
  • example n-type dopants i.e., impurities, include but are not limited to, antimony, arsenic, and phosphorus.
  • the dopant(s) may be introduced by ion implantation, plasma doping.
  • a low energy implant process e.g., at 1 keV or less
  • dopant(s) may be introduced in situ, i.e., during a process sequence used to form the layer.
  • a dopant region may be implanted with arsenic or phosphorus to form an n-type region.
  • the dopant concentration within the source and drain regions may range from 1 ⁇ 10 19 atoms/cm 3 to 1 ⁇ 10 22 atoms/cm 3 , e.g., 1 ⁇ 10 20 atoms/cm 3 to 1 ⁇ 10 21 atoms/cm 3 .
  • a dopant region is implanted with boron or BF 2 to form a p-type region.
  • the dopant concentration within the source and drain regions may range from 1 ⁇ 10 19 atoms/cm 3 to 1 ⁇ 10 22 atoms/cm 3 , e.g., 1 ⁇ 10 20 atoms/cm 3 to 1 ⁇ 10 21 atoms/cm 3 .
  • An optional drive-in anneal can be used to diffuse dopant species and generate a desired dopant profile.
  • dopant atoms within the source and drain raised active regions 310 a , 310 b may be diffused into the fins 202 using a post-epitaxy anneal (e.g., at a temperature of 600° C. to 1400° C.) to create a desired dopant profile within the fins proximate to the source and drain regions.
  • each semiconductor fin 202 that is not converted into a source region or a drain region constitutes a channel region.
  • the channel regions collectively function as a channel of a field effect transistor.
  • the source regions including raised source regions 310 a collectively function as a source of the field effect transistor.
  • the drain regions including raised drain regions 310 b collectively function as a drain of the field effect transistor.
  • adjacent raised active regions 310 i.e., raised active regions 310 formed over adjacent fins 202 , may or may not merge. Merging of the diamond-shaped epitaxy depends on a number of factors, including the height, width and spacing of the fins 202 and the thickness of the epitaxial layers. Unmerged raised active regions 310 are illustrated in FIG. 2 .
  • Conductive layers 500 are deposited over the raised active regions 310 .
  • Conductive layers 500 may provide an ohmic contact to the source and drain raised active regions 310 a , 310 b and may promote a uniform as well as low contact resistance.
  • conductive layers 500 may be used to merge adjacent and otherwise unmerged raised active regions 310 .
  • conductive layers 500 at least partially overlap and thus merge in regions 520 .
  • conductive layer 500 is formed by physical vapor deposition (PVD), i.e., sputtering.
  • PVD physical vapor deposition
  • the physical vapor process can be used to form high-purity metal and metal alloy layers.
  • the concentration in sputtered layers of impurities such as oxygen and halides such as chlorine can be less than 500 ppm, e.g., less than 200, 100, 50 or 10 ppm.
  • the oxygen and chlorine content in a comparative titanium metal layer formed by chemical vapor deposition are about 1 at. % and 2 at. %, respectively.
  • the conductive layer 500 may include a conductive material such as polysilicon, silicon-germanium, a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re, alloys of conductive metals, e.g., Al—Cu, silicides of a conductive metal, e.g., W silicide, and Pt silicide, or other conductive metal compounds such as TiN, TiC, TiNb, TiSiN, TiTaN, TaN, TaAlN, TaSiN, TaRuN, WSiN, NiSi, CoSi, as well as combinations thereof.
  • a conductive material such as polysilicon, silicon-germanium
  • a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re
  • alloys of conductive metals e.g., Al—Cu
  • silicides of a conductive metal
  • Sputtering or sputter deposition is a process where particles are ejected from a solid target material due to bombardment of the target by energetic particles.
  • the primary particles for the sputtering process can be supplied in a number of ways, for example by a plasma (e.g., diode or magnetron sputtering) or an ion source (e.g., ion beam deposition).
  • Apparatus 900 may be a combined radio frequency (RF)-direct current (DC) magnetron sputtering system, for example.
  • apparatus 900 may be a stand-alone system or be part of a cluster tool adapted to perform multiple deposition and/or etch functions.
  • apparatus 900 includes an outer enclosure in the form of a vacuum chamber, which contains an inner, plasma-confining enclosure or sheath (collectively 901 ). The plasma-confining enclosure and/or outer enclosure may be grounded.
  • an ion plasma is generated within the ion plasma-confining enclosure by at least one independently powered anode-cathode pair.
  • Sputter target (or cathode) 926 is mounted within the inner enclosure, and substrate (or anode) 100 is mounted for the receipt of sputtered material from target 926 on a pedestal or susceptor, which is connected to impedance-matching network 920 as known to those skilled in the art.
  • the ion energy of the deposited species is modulated during the deposition.
  • the deposition conditions are adjusted in order to affect a change (e.g., increase or decrease) in ion energy throughout the deposition.
  • the increase or decrease in ion energy may be continuous (i.e., monotonic) or discontinuous (i.e., stepped).
  • An increase or decrease in the incident ion energy may be controlled by a respective decrease or increase in the substrate impedance (Z).
  • Changing the substrate impedance changes the voltage (i.e., self-bias voltage or SBV) between the plasma and the substrate.
  • the ion energy may be increased or decreased, according to exemplary embodiments, one or both of the chamber pressure and the applied power are held constant during sputtering.
  • the impedance-matching network 920 includes a variable capacitor.
  • the variable capacitor changes position, the impedance to ground through the substrate varies.
  • a voltage is induced on the substrate by the processing conditions and geometry of the system.
  • the voltage induced on the substrate (self-bias voltage) is reported as the center tap voltage, which is measured from the center of backside of the substrate.
  • RF current (J RF ) through the substrate will be high, which yields a high sheath voltage, low self-bias voltage, and a relatively high ion energy ( FIG. 4A ).
  • the high current flux through the substrate may induce heating of the substrate.
  • RF current through the substrate will be low as more RF current is directed to the wall sheath.
  • the attendant sheath voltage will be close to the system's floating voltage, the self-bias voltage will be high, and the ion energy will be low ( FIG. 4B ).
  • these disparate process conditions i.e., high incident ion energy and low incident ion energy
  • the self-bias voltage may range from ⁇ 500V to 200V.
  • high ion energies correspond to a self-bias voltage of ⁇ 50 to 90V, e.g., ⁇ 50, ⁇ 40, ⁇ 30, ⁇ 20, ⁇ 10, 0, 10, 20, 40, 60, 80 or 90V, including ranges between any of the foregoing values
  • low ion energies correspond to a self-bias voltage of 100 to 200V, e.g., 100, 125, 150, 175 or 200V, including ranges between any of the foregoing values.
  • variable capacitor setting can be achieved using a variable capacitor setting of 10 to 25%, while stable low ion energies can be achieved using a variable capacitor setting of 75 to 95%.
  • FIGS. 6 and 7 depicted are the effects of incident ion energy on the conformality of a metal (Ti) layer 502 deposited onto three-dimensional, diamond-shaped raised active regions 310 .
  • Depositions are performed using a combined radio frequency (RF)-direct current (DC) magnetron sputtering system. For each case, the RF and DC power are held constant at 1000 W and 4500 W, respectively.
  • the target titanium thickness is 6 nm, and a capping layer of titanium nitride (TiN) having a target thickness of 3.5 nm is deposited by atomic layer deposition over the sputtered titanium prior to a laser anneal.
  • FIGS. 6A and 7A shown the morphology of the as-deposited (titanium and titanium nitride) layers, while FIGS. 6B and 7B show the structure (including titanium silicide and titanium nitride layers) following a 900° C. laser anneal.
  • the thickness of the conductive layer 500 may be selected such that, in conjunction with the deposition parameters, the metal layers 500 merge to form respective source-side and/or drain side contiguous structures.
  • laser annealing includes irradiating the conductive layer 500 with a pulsed laser beam while a parameter of the beam, such as the intensity and/or pulse width, is regulated to suitably heat the conductive layer 500 .
  • a parameter of the beam such as the intensity and/or pulse width
  • the metal layer 502 reacts with silicon to form a metal silicide layer 502 b .
  • a titanium (Ti) metal layer 502 may react with the silicon (Si) raised active regions 310 to form titanium silicide (e.g., TiSi 2 ) 502 b .
  • DSA dynamic surface annealing
  • titanium metal is deposited preferentially at the diamond lateral tips with a high ion energy condition, whereas the deposition rate is higher and the net accumulation is greater at the diamond apex with a low ion energy condition.
  • adjustment of the ion energy is accomplished by changing the substrate impedance.
  • the net deposition rate and hence the final thickness of the titanium layer are exaggerated near the lateral edges of the diamond-shaped structures, which results in merging of adjacent titanium silicide layers (i.e., layers disposed over adjacent raised active regions 310 ).
  • the net deposition rate at the diamond apex is significantly lower, which is believed to be due to the combined effects of deposition and re-sputtering during deposition.
  • the post-anneal thickness of the titanium silicide layer 502 b at the diamond apex is 11 nm OD, while the corresponding maximum thickness at the lateral edge of the diamond structure is 17.7 nm (t 2 ).
  • the stoichiometry of the titanium silicide layer is TiSi 2.17 .
  • the post-anneal thickness of the titanium silicide layer 502 b at the diamond apex is 12 nm OD, while the corresponding thickness at the lateral edge of the diamond-shaped structure is 5.45 nm (t 2 ).
  • the titanium silicide layer 502 b is not merged between adjacent diamond-shaped structures.
  • the stoichiometry of the titanium silicide layer is TiSi 2.19 .
  • FIG. 8 is a plot of post-anneal conductive layer thickness at various locations over the top facets of the diamond-shaped structure for depositions performed at high energy and low energy.
  • the location of the apex, turn and lateral edge of the conductive layer on a facet top surface are annotated in FIG. 8 .
  • the high energy data are derived from FIG. 6B and the low energy data are derived from FIG. 7B .
  • the observation that the ion energy can affect the profile of the sputtered layer is used to tailor the conformality of the layer and the degree of merger between adjacent structures.
  • a multi-step deposition process includes successive sub-steps of varying ion energies.
  • a deposition method includes an initial deposition step at a first ion energy followed by one or more steps of successively higher ion energy. In another embodiment, a deposition method includes an initial deposition step at a first ion energy followed by one or more steps of successively lower ion energy. In various embodiments, the ion energy is increased or decreased by at least 5% between steps, e.g., 10, 20, 50, 100, 150 or 200%, including ranges between any of the foregoing values.
  • the first ion energy may be characterized as a high ion energy (i.e., sputter deposition at a self-bias voltage of at most 90V, e.g., ⁇ 50 to 90V) or a low ion energy (i.e., sputter deposition at a self-bias voltage of at least 100V, e.g., 100 to 200V).
  • the first ion energy is a low ion energy, which is followed by one or more steps of successively higher ion energies terminating with a high ion energy step.
  • the first ion energy is a high ion energy, which is followed by one or more steps of successively lower ion energies terminating with a low (non-zero) ion energy step.
  • Example results from this aggregated, multi-step approach are illustrated in FIGS. 9 and 10 .
  • FIGS. 9A, 10A and 9B, 10B show the as-deposited and post-laser anneal (900° C.) morphologies, respectively, of a conductive layer 500 deposited over raised active regions 310 .
  • silicon epitaxial raised active region 310 is grown over a fin (not shown) formed on a surface of semiconductor substrate 100 .
  • the conductive layer 500 includes a PVD titanium layer 502 deposited on the raised active region 310 and an ALD (18 cycles) titanium nitride capping layer 504 deposited over the titanium layer 502 .
  • a titanium metal layer 502 is deposited using a two-step process at a constant DC power of 1000 W, and a constant RF power of 4500 W.
  • the target thickness of the deposited titanium layer 502 is 6 nm.
  • the duration of each deposition step is 25 seconds.
  • the post-laser anneal titanium silicide layers 502 b formed over adjacent structures where the ion energy increases from a low ion energy to a high ion energy are separate and unconnected ( FIG. 9B ), while as highlighted by the block arrow, the titanium silicide layers 502 b formed over adjacent structures by decreasing the ion energy between successive deposition steps are merged ( FIG. 10B ) and the epitaxial raised active regions 310 are thus electrically connected.
  • the normalized range (t max ⁇ t min )/t max of the conductive layer thickness over the top facets of the diamond-shaped structure may be 0.1 to 0.5, i.e., 0.1, 0.2, 0.3, 0.4, 0.45 or 0.5, including ranges between any of the foregoing values.
  • the coefficient of variation of the conductive layer thickness measured at the apex, turn and lateral edge on a facet top surface may be 0.1 to 0.45, i.e., 0.1, 0.2, 0.3 or 0.4, including ranges between any of the foregoing values.
  • the coefficient of variation also known as the relative standard deviation, is defined as the absolute value of the ratio of the standard deviation to the arithmetic mean of a set of data. For the idealized condition of no thickness variation between the apex, turn and lateral edge, the normalized range and the coefficient of variation are each zero.
  • control of the conformality can be used to promote or suppress merger of the deposited layer between adjacent three-dimensional structures.
  • merged layers can be used to electrically interconnect discrete structures.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

During a physical vapor deposition (PVD) process, the ion energy of a depositing species is controlled. By varying the ion energy throughout the process, the degree of conformality of the deposited layer over three-dimensional structures, including the extent to which the deposited layer merges between adjacent structures can be controlled.

Description

    BACKGROUND
  • The present application relates generally to semiconductor device processing, and more specifically to conformal thin film architectures and their methods of production.
  • Fully-depleted devices such as fin field effect transistors (FinFETs) are candidates to enable scaling of next generation gate lengths to 14 nm and below. As contact dimensions decrease, however, there is a corresponding increase in contact resistance that poses a challenge to device development.
  • Merging fins (i.e., at respective source and drain regions) enables partial strapping of the contact plugs, which provides reduced contact resistance without excessively increasing parasitic capacitance. However, due to the narrow dimensions of the device, it is difficult to merge fins without consuming the silicon reservoir of the fins themselves. Moreover, achieving conformal coverage of deposited layers over three-dimensional structures is important in engineering robust device designs having enhanced performance.
  • In view of the foregoing, it would be advantageous to develop a deposition method to provide controlled conformality of deposited layers, which may additionally be used to enhance or suppress the merging of adjacent structures.
  • SUMMARY
  • In accordance with embodiments of the present application, the ion energy during a physical vapor deposition (PVD) process is controlled by modulating the substrate impedance. By varying the ion energy throughout the process, geometric shadowing, angle-of-incidence, and sputtering effects inherent to line-of-sight deposition can be balanced to increase or decrease conformality of the deposited layer over three-dimensional structures and merge or unmerge adjacent features.
  • A method of forming a semiconductor structure within a vacuum chamber includes plasma depositing a first portion of a conductive layer over raised regions disposed on a semiconductor substrate at a first ion energy, and plasma depositing a second portion of the conductive layer over the first portion at a second ion energy.
  • A further method of forming a semiconductor structure includes forming a plurality of semiconductor fins on a substrate, and forming a plurality of raised active regions on the semiconductor fins. Within a vacuum chamber, a first portion of a conductive layer is plasma deposited over the raised active regions at a first ion energy, and a second portion of the conductive layer is plasma deposited over the first portion at a second ion energy.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • The following detailed description of specific embodiments of the present application can be best understood when read in conjunction with the following drawings, where like structure is indicated with like reference numerals and in which:
  • FIG. 1 is a perspective view of a semiconductor device architecture at an intermediate stage of fabrication according to various embodiments;
  • FIG. 2 is a cross-sectional schematic view of a semiconductor device architecture after the formation of a diamond-shaped epitaxial layer over exposed surfaces of adjacent fins;
  • FIG. 3 is a cross-sectional schematic view of a semiconductor device architecture after the formation of a conformal layer over the epitaxial layer of FIG. 2;
  • FIGS. 4A and 4B illustrate a physical vapor deposition apparatus that may be used in conjunction with various embodiments;
  • FIG. 5 is a plot of self-bias voltage as a function of the setting of a variable capacitor;
  • FIGS. 6A and 6B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a high ion energy deposition process;
  • FIGS. 7A and 7B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a low ion energy deposition process;
  • FIG. 8 is a plot of conductive layer thickness over a diamond-shaped epitaxial layer as a function of ion energy and location;
  • FIGS. 9A and 9B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a two-step ion energy deposition process according to embodiments; and
  • FIGS. 10A and 10B are cross-sectional transmission electron microscope (TEM) micrographs showing the formation of conductive layers over a diamond-shaped epitaxial layer using a two-step ion energy deposition process according to embodiments.
  • DETAILED DESCRIPTION
  • Reference will now be made in greater detail to various embodiments of the subject matter of the present application, some embodiments of which are illustrated in the accompanying drawings. The same reference numerals will be used throughout the drawings to refer to the same or similar parts.
  • Embodiments of the application relate generally to the manufacture of semiconductor devices, and more particularly to the manufacture of fin field effect transistors (FinFETs), although it will be appreciated that the methods and structures described herein may be applied to other device architectures.
  • With reference to FIG. 1, a semiconductor structure includes a substrate 100 having a plurality of fins 202 formed on an isolation layer 120 of the substrate. Epitaxial source and drain raised active regions 310 a, 310 b are formed over the fins 202 at opposing ends thereof, while a gate stack 400 is formed over the fins 202 defining a channel region between the source and drain regions.
  • Substrate 100 may be a semiconductor material such as silicon or a silicon-containing material, including a bulk substrate. Thus, according to various embodiments, a plurality of fins may be formed on a bulk substrate. Silicon-containing materials include, but are not limited to, single crystal Si, polycrystalline Si, single crystal silicon germanium (SiGe), polycrystalline silicon germanium, silicon doped with carbon (Si:C), amorphous Si, as well as combinations and multi-layers thereof. Example silicon substrates include silicon-on-insulator (SOI) substrates, silicon-on-sapphire (SOS) substrates, and the like. As used herein, the term “single crystal” denotes a crystalline solid, in which the crystal lattice of the entire sample is substantially continuous and substantially unbroken to the edges of the sample with substantially no grain boundaries.
  • Substrate 100 is not limited to silicon-containing materials, as the substrate 100 may include other semiconductor materials, including Ge and compound semiconductors such as GaAs, InAs and other like semiconductors.
  • The isolation layer 120 may include the buried oxide (BOX) layer of a semiconductor-on-insulator (SOI) substrate, or an oxidized layer of a bulk silicon substrate. The thickness of the isolation layer 120 may range from 30 to 300 nm, e.g., 30, 50, 100, 150, 200, 250 or 300 nm, including ranges between any of the foregoing values. The isolation layer 120 may include, for example, silicon dioxide (SiO2). Alternatively, isolation layer 120 may include silicon nitride, silicon oxynitride, a low-k material, or any suitable combination of these materials. The isolation layer 120 may be deposited by plasma enhanced chemical vapor deposition (PECVD).
  • Exemplary low-k materials include but are not limited to, amorphous carbon, fluorine-doped oxides, carbon-doped oxides, SiCOH or SiBCN. Commercially-available low-k dielectric products and materials include Dow Corning's SiLK™ and porous SiLK™, Applied Materials' Black Diamond™, Texas Instrument's Coral™ and TSMC's Black Diamond™ and Coral™. As used herein, a low-k material has a dielectric constant less than that of silicon oxide.
  • The substrate 100 may have dimensions as typically used in the art. Example substrate diameters include, but are not limited to, 50, 100, 150, 200, 300 and 450 mm. The total substrate thickness may range from 250 microns to 1500 microns, although in particular embodiments the substrate thickness is in the range of 725 to 775 microns, which corresponds to thickness dimensions commonly used in silicon CMOS processing. The supporting substrate 110 may include (100)-oriented silicon or (111)-oriented silicon, for example.
  • Fins 202 may be etched (i.e., patterned) from the substrate. The patterning process may include photolithography, which includes forming a layer of photoresist material (not shown) atop a material or material stack to be patterned. The photoresist material may include a positive-tone photoresist composition, a negative-tone photoresist composition, or a hybrid-tone photoresist composition. A layer of photoresist material may be formed by a deposition process such as, for example, spin-on coating.
  • The deposited photoresist is then subjected to a pattern of irradiation, and the exposed photoresist material is developed using a conventional resist developer. The pattern provided by the patterned photoresist material is thereafter transferred into the underlying material layer or material layers utilizing at least one pattern transfer etching process.
  • The pattern transfer etching process may be an isotropic etch or an anisotropic etch. In embodiments, a dry etching process such as, for example, reactive ion etching (RIE) can be used. In other embodiments, a wet chemical etchant can be used. In still further embodiments, a combination of dry etching and wet etching can be used. The pattern transfer etching may stop on the isolation layer 120, or a portion of the isolation layer 120 may be removed during the formation of the fins 202.
  • In embodiments, fins 202 are formed from a crystalline semiconductor material. The semiconductor material forming fins 202 may be doped, undoped, or contain doped and undoped regions therein. Each doped region within the semiconductor fins 202 may have the same or different doping concentrations and/or conductivities. Doped regions that are present can be formed, for example, by an ion implantation process or by gas phase doping.
  • In another embodiment, the patterning process may include a sidewall image transfer (SIT) process. The SIT process includes forming a mandrel material layer (not shown) atop the material or material layers (i.e., crystalline silicon) that is to be patterned. The mandrel material layer can include any material (semiconductor, dielectric or conductive) that can be selectively removed from the structure during a subsequently performed etching process. For instance, the mandrel material layer may be composed of amorphous silicon or polysilicon. In another embodiment, the mandrel material layer may be composed of a metal such as, for example, Al, W, or Cu. The mandrel material layer can be formed, for example, by chemical vapor deposition or plasma enhanced chemical vapor deposition. Following deposition of the mandrel material layer, the mandrel material layer can be patterned by lithography and etching to form a plurality of mandrel structures (also not shown) on the topmost surface of the structure.
  • The SIT process continues by forming a dielectric spacer on each sidewall of each mandrel structure. The dielectric spacer can be formed by deposition of a dielectric spacer material and then etching the deposited dielectric spacer material. The dielectric spacer material may include any dielectric spacer material such as, for example, silicon dioxide, silicon nitride or a dielectric metal oxide. Examples of deposition processes that can be used in providing the dielectric spacer material include, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or atomic layer deposition (ALD). Examples of etching that can be used in providing the dielectric spacers include any etching process such as, for example, reactive ion etching.
  • After formation of the dielectric spacers, the SIT process continues by removing each mandrel structure. Each mandrel structure can be removed by an etching process that is selective for removing the mandrel material. Following the mandrel structure removal, the pattern provided by the dielectric spacers is transferred into the underlying material or material layers. The pattern transfer may be achieved by at least one etching process. Examples of etching processes that can used to transfer the pattern may include dry etching (i.e., reactive ion etching, plasma etching, and ion beam etching or laser ablation) and/or a chemical wet etch process. In one example, the etch process used to transfer the pattern may include one or more reactive ion etching steps. Upon completion of the pattern transfer, the SIT process concludes by removing the dielectric spacers from the structure. Each dielectric spacer may be removed by etching or a planarization process.
  • As used herein, a “fin” refers to a contiguous semiconductor material and includes a pair of vertical sidewalls that are parallel to each other. As used herein, a surface is “vertical” if there exists a vertical plane from which the surface does not deviate by more than three times the root mean square roughness of the surface. Each of a plurality of fins 202 can include a single crystal semiconductor material that extends along a lengthwise direction. As used herein, a “lengthwise direction” is a horizontal direction along with an object extends the most. A “widthwise direction” is a horizontal direction that is perpendicular to the lengthwise direction.
  • In embodiments, the as-formed fins 202 are free standing, i.e., supported only by the substrate 100. In the case of fins that are formed on an SOI substrate, the fin height is measured normal to the major surface of the substrate from the top of isolation layer 120 to the top of the fin. In the case of fins that are formed on a bulk substrate, the fin height is measured normal to the major surface of the substrate from the top of an isolation layer disposed between adjacent fins to the top of the fin. Each fin has a height (H) that may range from 10 nm to 100 nm and a width (W) that may range from 4 nm to 30 nm. Other heights and widths that are less than or greater than the ranges mentioned can also be used. In structures comprising plural fins, each fin may be spaced apart from its nearest neighbor by a pitch (i.e., repeat distance) of 20 nm to 100 nm. Such plural fins are typically oriented parallel to each other.
  • In embodiments, each of a plurality of semiconductor fins 202 extends along a lengthwise direction with a substantially rectangular vertical cross-sectional shape. As used herein, a “substantially rectangular shape” is a shape that differs from a rectangular shape only due to atomic level roughness that does not exceed 2 nm. The substantially rectangular vertical cross-sectional shape is a shape within a plane including a vertical direction and a widthwise direction.
  • The supporting substrate 110 and the insulator layer 120 may collectively function as a substrate on which the plurality of semiconductor fins 202 are disposed. The substantially rectangular vertical cross-sectional shape adjoins a horizontal interface with a top surface of the insulator layer 120. A shown in FIGS. 1 and 2, each fin 202 has a bottom surface that is disposed directly on a top surface of the substrate, which may be the insulator layer 120 of an SOI substrate. Plural fins may have identical or substantially identical dimensions, i.e., height and/or width. As used herein, substantially identical dimensions vary by less than 10%, e.g., less than 5%, 2% or 1%.
  • With reference still to FIG. 1, formed over a portion of fins 202 is a gate stack 400, which includes a gate dielectric 402, a gate electrode 404, and a gate cap 406. Sidewall spacers 408 may be formed on the sides of the gate stack 400. Gate stack 400 is formed generally orthogonal to the length-wise dimension of the fins 202.
  • The gate dielectric 402 may be a conformal layer that is formed over exposed surfaces of the fins 202 and substrate 100, and may be deposited by a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof.
  • The formation of the gate dielectric 402, the gate electrode 404, and the gate cap 406 can be effected, for example, by deposition of a stack of a gate dielectric layer, a gate electrode layer, and a gate cap dielectric layer, and by subsequent patterning of the gate cap dielectric layer, the gate electrode layer, and the gate dielectric layer. Patterning of the gate cap dielectric layer and the gate electrode layer can be performed using a combination of lithographic methods and at least one anisotropic etch. Patterning of the gate dielectric layer can be performed using an isotropic etch that is selective to the semiconductor material used to form semiconductor fins 202.
  • Gate dielectric 402 may include silicon oxide, silicon nitride, silicon oxynitride, a high-k dielectric, or other suitable material. As used herein, a high-k material has a dielectric constant greater than that of silicon oxide. A high-k dielectric may include a binary or ternary compound such as hafnium oxide (HfO2). Further exemplary high-k dielectrics include, but are not limited to, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, BaTiO3, LaAlO3, Y2O3, HfOxNy, HfSiOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, SiOxNy, SiNx, a silicate thereof, and an alloy thereof. Each value of x may independently vary from 0.5 to 3, and each value of y may independently vary from 0 to 2. The gate dielectric thickness may range from 1 nm to 10 nm, e.g., 1, 2, 4, 6, 8 or 10 nm, including ranges between any of the foregoing.
  • A gate electrode 404 is formed over the gate dielectric 402, and a gate cap 406 is formed over the gate electrode 404. The gate electrode 404 may include a conductive material such as polysilicon, silicon-germanium, a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re, alloys of conductive metals, e.g., Al—Cu, silicides of a conductive metal, e.g., W silicide, and Pt silicide, or other conductive metal compounds such as TiN, TiC, TiSiN, TiTaN, TaN, TaAlN, TaSiN, TaRuN, WSiN, NiSi, CoSi, as well as combinations thereof. The gate electrode 404 may include one or more layers of such materials such as, for example, a metal stack including a work function metal layer and/or a liner.
  • The gate electrode 404 can be formed utilizing a conventional deposition process such as, for example, ALD, CVD, metalorganic chemical vapor deposition (MOCVD), molecular beam epitaxy (MBE), sputtering, plating, evaporation, ion beam deposition, electron beam deposition, laser assisted deposition, or chemical solution deposition.
  • The gate spacers 408 can be formed around the gate stack 402, 404, 406. The gate spacers 408 can be formed, for example, by depositing a conformal dielectric material layer on the plurality of semiconductor fins 202 and the gate stack, and anisotropically etching the conformal dielectric layer. The anisotropic etch may include an over-etch component that removes vertical portions of the conformal dielectric material layer from the sidewalls of the plurality of semiconductor fins 202. An upper portion of the gate cap dielectric 406 can be vertically recessed during the over-etch of the conformal dielectric material layer. The remaining portions of the conformal dielectric material layer constitute the gate spacers 408, which laterally surround the gate stack 402, 404, 406.
  • The semiconductor structure may be fabricated using a gate first process or a gate last (i.e., replacement metal gate) process. In a gate first process, as described above, metal layers are formed and patterned to form gate structures follow by CMOS processing such as formation of the source and drain, spacers, and interlayer dielectric. In a gate last process, a sacrificial gate structure is formed followed by CMOS processing. Thereafter, the sacrificial gate structure is removed followed by the formation of a replacement gate structure.
  • Referring now to FIG. 2, a plurality of raised active regions 310 are formed on the plurality of semiconductor fins 202. As used herein, a “raised active region” refers to a doped semiconductor material portion that protrudes above a topmost surface of an active region of a semiconductor device. An active region refers to a semiconductor material portion within a semiconductor device through which charge carriers flow during operation of the device. A “raised region” refers to a material portion that protrudes above a planar surface. As seen in the perspective view of FIG. 1, the plurality of the raised active regions include raised source regions 310 a that are formed on a source side of the fins 202 with respect to the gate stack 402, 404, 406, and raised drain regions 310 b that are formed on a drain side of the fins 202 with respect to the gate stack 402, 404, 406.
  • Pre-merged raised active regions 310 are formed over opposing end portions of the fins 202. The epitaxial growth naturally forms into shaped structures (referred to as diamond-shaped structures hereafter). The faceted shape results from the different relative growth rates over different crystallographic orientations. For example, the growth rate on silicon (Si) surfaces having (111) orientations is slower than that on other planes such as (110) or (100) planes. Accordingly, the resultant diamond-shaped structures result from the slowest epitaxial growth rate on the (111) surface.
  • In the illustrated embodiment, the vertical surfaces of the fins 202 have a (110) crystallographic orientation, while the horizontal top surfaces have a (100) orientation. The faceted top surfaces of the raised active regions 310 have a (111) orientation. The angle between the (111) surface and (110) surface is 35.3°, and the angle between (111) surface and the (100) surface 54.7°. In embodiments, lengths (L) of the facets are substantially the same and can be controlled by the epitaxial growth process conditions, such as growth rate and growth time. Compared to a rectangular shape, the diamond-shaped raised active regions 310 have the advantage of a greater surface area and volume in the active regions, and the flexibility of a multi-layer fin structure (e.g., SiGe diamonds on Si fins).
  • Example epitaxial growth processes include low energy plasma deposition, liquid phase epitaxy, molecular beam epitaxy, and atmospheric pressure chemical vapor deposition. The terms “epitaxy,” “epitaxial” and/or “epitaxial growth and/or deposition” refer to the growth of a semiconductor material layer on a deposition surface of a semiconductor material, in which the semiconductor material layer being grown assumes the same crystalline habit as the semiconductor material of the deposition surface. For example, in an epitaxial deposition process, chemical reactants provided by source gases are controlled and the system parameters are set so that depositing atoms alight on the deposition surface and remain sufficiently mobile via surface diffusion to orient themselves according to the crystalline orientation of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a (100) crystal surface will take on a (100) orientation.
  • The epitaxial source and drain regions may be doped. Doping changes the electron and hole carrier concentrations of an intrinsic semiconductor at thermal equilibrium. A doped layer or region may be p-type or n-type. For instance, if the plurality of semiconductor fins 202 are doped with dopants of a first conductivity type, e.g., prior to formation of the gate stack, the plurality of raised active regions 310 can be doped with dopants of a second conductivity type, which is the opposite of the first conductivity type. If the first conductivity type is p-type, the second conductivity type is n-type, and vice versa.
  • As used herein, “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates a deficiency of valence electrons. For silicon, example p-type dopants, i.e., impurities, include but are not limited to, boron, aluminum, gallium, and indium. As used herein, “n-type” refers to the addition of impurities that contribute free electrons to an intrinsic semiconductor. For silicon, example n-type dopants, i.e., impurities, include but are not limited to, antimony, arsenic, and phosphorus. The dopant(s) may be introduced by ion implantation, plasma doping. For instance, a low energy implant process (e.g., at 1 keV or less) can be used to implant arsenic or boron. Alternatively, dopant(s) may be introduced in situ, i.e., during a process sequence used to form the layer.
  • By way of example, a dopant region may be implanted with arsenic or phosphorus to form an n-type region. The dopant concentration within the source and drain regions may range from 1×1019 atoms/cm3 to 1×1022 atoms/cm3, e.g., 1×1020 atoms/cm3 to 1×1021 atoms/cm3. In another example, a dopant region is implanted with boron or BF2 to form a p-type region. The dopant concentration within the source and drain regions may range from 1×1019 atoms/cm3 to 1×1022 atoms/cm3, e.g., 1×1020 atoms/cm3 to 1×1021 atoms/cm3.
  • An optional drive-in anneal can be used to diffuse dopant species and generate a desired dopant profile. In embodiments, dopant atoms within the source and drain raised active regions 310 a, 310 b may be diffused into the fins 202 using a post-epitaxy anneal (e.g., at a temperature of 600° C. to 1400° C.) to create a desired dopant profile within the fins proximate to the source and drain regions.
  • The portion of each semiconductor fin 202 that is not converted into a source region or a drain region constitutes a channel region. The channel regions collectively function as a channel of a field effect transistor. The source regions including raised source regions 310 a collectively function as a source of the field effect transistor. The drain regions including raised drain regions 310 b collectively function as a drain of the field effect transistor.
  • As formed, adjacent raised active regions 310, i.e., raised active regions 310 formed over adjacent fins 202, may or may not merge. Merging of the diamond-shaped epitaxy depends on a number of factors, including the height, width and spacing of the fins 202 and the thickness of the epitaxial layers. Unmerged raised active regions 310 are illustrated in FIG. 2.
  • As seen with reference to FIG. 3, one or more conductive layers 500 are deposited over the raised active regions 310. Conductive layers 500 may provide an ohmic contact to the source and drain raised active regions 310 a, 310 b and may promote a uniform as well as low contact resistance. As explained in further detail below, conductive layers 500 may be used to merge adjacent and otherwise unmerged raised active regions 310. In the illustrated embodiment, conductive layers 500 at least partially overlap and thus merge in regions 520.
  • In embodiments, at least a portion of conductive layer 500 is formed by physical vapor deposition (PVD), i.e., sputtering. The physical vapor process can be used to form high-purity metal and metal alloy layers. For instance, the concentration in sputtered layers of impurities such as oxygen and halides such as chlorine can be less than 500 ppm, e.g., less than 200, 100, 50 or 10 ppm. By way of comparison, the oxygen and chlorine content in a comparative titanium metal layer formed by chemical vapor deposition are about 1 at. % and 2 at. %, respectively.
  • The conductive layer 500 may include a conductive material such as polysilicon, silicon-germanium, a conductive metal such as Al, W, Cu, Ti, Ta, W, Pt, Ag, Au, Ru, Ir, Rh and Re, alloys of conductive metals, e.g., Al—Cu, silicides of a conductive metal, e.g., W silicide, and Pt silicide, or other conductive metal compounds such as TiN, TiC, TiNb, TiSiN, TiTaN, TaN, TaAlN, TaSiN, TaRuN, WSiN, NiSi, CoSi, as well as combinations thereof.
  • Sputtering or sputter deposition is a process where particles are ejected from a solid target material due to bombardment of the target by energetic particles. The primary particles for the sputtering process can be supplied in a number of ways, for example by a plasma (e.g., diode or magnetron sputtering) or an ion source (e.g., ion beam deposition).
  • An example sputter apparatus for forming one or more PVD layers is shown schematically in FIGS. 4A and 4B. Apparatus 900 may be a combined radio frequency (RF)-direct current (DC) magnetron sputtering system, for example. As will be appreciated, apparatus 900 may be a stand-alone system or be part of a cluster tool adapted to perform multiple deposition and/or etch functions. In general, apparatus 900 includes an outer enclosure in the form of a vacuum chamber, which contains an inner, plasma-confining enclosure or sheath (collectively 901). The plasma-confining enclosure and/or outer enclosure may be grounded. During operation, an ion plasma is generated within the ion plasma-confining enclosure by at least one independently powered anode-cathode pair. Sputter target (or cathode) 926 is mounted within the inner enclosure, and substrate (or anode) 100 is mounted for the receipt of sputtered material from target 926 on a pedestal or susceptor, which is connected to impedance-matching network 920 as known to those skilled in the art.
  • According to embodiments, the ion energy of the deposited species (e.g., Ti) is modulated during the deposition. Thus, the deposition conditions are adjusted in order to affect a change (e.g., increase or decrease) in ion energy throughout the deposition. The increase or decrease in ion energy may be continuous (i.e., monotonic) or discontinuous (i.e., stepped).
  • An increase or decrease in the incident ion energy may be controlled by a respective decrease or increase in the substrate impedance (Z). Changing the substrate impedance changes the voltage (i.e., self-bias voltage or SBV) between the plasma and the substrate. Although the ion energy may be increased or decreased, according to exemplary embodiments, one or both of the chamber pressure and the applied power are held constant during sputtering.
  • In exemplary sputter systems, the impedance-matching network 920 includes a variable capacitor. When the variable capacitor changes position, the impedance to ground through the substrate varies. During sputtering, a voltage is induced on the substrate by the processing conditions and geometry of the system. The voltage induced on the substrate (self-bias voltage) is reported as the center tap voltage, which is measured from the center of backside of the substrate.
  • Without wishing to be bound by theory, as the substrate impedance to ground decreases, RF current (JRF) through the substrate will be high, which yields a high sheath voltage, low self-bias voltage, and a relatively high ion energy (FIG. 4A). The high current flux through the substrate may induce heating of the substrate. On the other hand, as the substrate impedance to ground increases, RF current through the substrate will be low as more RF current is directed to the wall sheath. The attendant sheath voltage will be close to the system's floating voltage, the self-bias voltage will be high, and the ion energy will be low (FIG. 4B).
  • According to various embodiments, these disparate process conditions (i.e., high incident ion energy and low incident ion energy) can be manipulated to control the conformality of a sputtered thin film.
  • When using the sputtering apparatus 900 in a device manufacturing process, the self-bias voltage may range from −500V to 200V. In various embodiments, high ion energies correspond to a self-bias voltage of −50 to 90V, e.g., −50, −40, −30, −20, −10, 0, 10, 20, 40, 60, 80 or 90V, including ranges between any of the foregoing values, while low ion energies correspond to a self-bias voltage of 100 to 200V, e.g., 100, 125, 150, 175 or 200V, including ranges between any of the foregoing values. Without wishing to be bound by theory, it was unexpectedly determined that resonant instabilities within the process chamber, which challenge process reproducibility, are associated with intermediate settings of the variable capacitor. The effect of the variable capacitor setting on the self-bias voltage can be seen with reference to FIG. 5, which illustrates that relatively large changes in the self-bias voltage are associated with relatively small changes in the variable capacitor setting over the range of 30% to 70%. Accordingly, in certain embodiments, stable high ion energies can be achieved using a variable capacitor setting of 10 to 25%, while stable low ion energies can be achieved using a variable capacitor setting of 75 to 95%.
  • Turning to FIGS. 6 and 7, depicted are the effects of incident ion energy on the conformality of a metal (Ti) layer 502 deposited onto three-dimensional, diamond-shaped raised active regions 310. Depositions are performed using a combined radio frequency (RF)-direct current (DC) magnetron sputtering system. For each case, the RF and DC power are held constant at 1000 W and 4500 W, respectively. The target titanium thickness is 6 nm, and a capping layer of titanium nitride (TiN) having a target thickness of 3.5 nm is deposited by atomic layer deposition over the sputtered titanium prior to a laser anneal. FIGS. 6A and 7A shown the morphology of the as-deposited (titanium and titanium nitride) layers, while FIGS. 6B and 7B show the structure (including titanium silicide and titanium nitride layers) following a 900° C. laser anneal. The thickness of the conductive layer 500 may be selected such that, in conjunction with the deposition parameters, the metal layers 500 merge to form respective source-side and/or drain side contiguous structures.
  • In embodiments, laser annealing includes irradiating the conductive layer 500 with a pulsed laser beam while a parameter of the beam, such as the intensity and/or pulse width, is regulated to suitably heat the conductive layer 500. By virtue of the laser annealing, at least a portion of the metal layer 502 reacts with silicon to form a metal silicide layer 502 b. For instance, a titanium (Ti) metal layer 502 may react with the silicon (Si) raised active regions 310 to form titanium silicide (e.g., TiSi2) 502 b. In various embodiments, dynamic surface annealing (DSA) can be used in lieu of laser annealing.
  • As illustrated, and according to various embodiments, titanium metal is deposited preferentially at the diamond lateral tips with a high ion energy condition, whereas the deposition rate is higher and the net accumulation is greater at the diamond apex with a low ion energy condition. In embodiments, adjustment of the ion energy is accomplished by changing the substrate impedance.
  • Thus, under conditions of high ion energy (FIG. 6), the net deposition rate and hence the final thickness of the titanium layer are exaggerated near the lateral edges of the diamond-shaped structures, which results in merging of adjacent titanium silicide layers (i.e., layers disposed over adjacent raised active regions 310). The net deposition rate at the diamond apex is significantly lower, which is believed to be due to the combined effects of deposition and re-sputtering during deposition. In the illustrated example, the post-anneal thickness of the titanium silicide layer 502 b at the diamond apex is 11 nm OD, while the corresponding maximum thickness at the lateral edge of the diamond structure is 17.7 nm (t2). Following high ion energy deposition conditions, the stoichiometry of the titanium silicide layer is TiSi2.17.
  • Turning to FIG. 7, under conditions of low ion energy, deposition on the top surfaces of the diamond-shaped structure is more conformal. In the illustrated example, the post-anneal thickness of the titanium silicide layer 502 b at the diamond apex is 12 nm OD, while the corresponding thickness at the lateral edge of the diamond-shaped structure is 5.45 nm (t2). The titanium silicide layer 502 b is not merged between adjacent diamond-shaped structures. Following low ion energy deposition conditions, the stoichiometry of the titanium silicide layer is TiSi2.19.
  • FIG. 8 is a plot of post-anneal conductive layer thickness at various locations over the top facets of the diamond-shaped structure for depositions performed at high energy and low energy. The location of the apex, turn and lateral edge of the conductive layer on a facet top surface are annotated in FIG. 8. The high energy data are derived from FIG. 6B and the low energy data are derived from FIG. 7B.
  • According to embodiments, the observation that the ion energy can affect the profile of the sputtered layer is used to tailor the conformality of the layer and the degree of merger between adjacent structures. A multi-step deposition process includes successive sub-steps of varying ion energies.
  • In one embodiment, a deposition method includes an initial deposition step at a first ion energy followed by one or more steps of successively higher ion energy. In another embodiment, a deposition method includes an initial deposition step at a first ion energy followed by one or more steps of successively lower ion energy. In various embodiments, the ion energy is increased or decreased by at least 5% between steps, e.g., 10, 20, 50, 100, 150 or 200%, including ranges between any of the foregoing values. The first ion energy may be characterized as a high ion energy (i.e., sputter deposition at a self-bias voltage of at most 90V, e.g., −50 to 90V) or a low ion energy (i.e., sputter deposition at a self-bias voltage of at least 100V, e.g., 100 to 200V). In a particular embodiment, the first ion energy is a low ion energy, which is followed by one or more steps of successively higher ion energies terminating with a high ion energy step. In a further embodiment, the first ion energy is a high ion energy, which is followed by one or more steps of successively lower ion energies terminating with a low (non-zero) ion energy step. Example results from this aggregated, multi-step approach are illustrated in FIGS. 9 and 10.
  • FIGS. 9A, 10A and 9B, 10B show the as-deposited and post-laser anneal (900° C.) morphologies, respectively, of a conductive layer 500 deposited over raised active regions 310. In the illustrated embodiment, silicon epitaxial raised active region 310 is grown over a fin (not shown) formed on a surface of semiconductor substrate 100. The conductive layer 500 includes a PVD titanium layer 502 deposited on the raised active region 310 and an ALD (18 cycles) titanium nitride capping layer 504 deposited over the titanium layer 502.
  • For each of FIGS. 9 and 10, a titanium metal layer 502 is deposited using a two-step process at a constant DC power of 1000 W, and a constant RF power of 4500 W. The target thickness of the deposited titanium layer 502 is 6 nm. For the structure shown in FIG. 9, the first deposition step is at low ion energy (SBV=170V), which is followed by a high ion energy deposition step (SBV=80V). For the structure shown in FIG. 10, the first deposition step is at high ion energy (SBV=80V), which is followed by a low ion energy deposition step (SBV=170V). The duration of each deposition step is 25 seconds.
  • The post-laser anneal titanium silicide layers 502 b formed over adjacent structures where the ion energy increases from a low ion energy to a high ion energy are separate and unconnected (FIG. 9B), while as highlighted by the block arrow, the titanium silicide layers 502 b formed over adjacent structures by decreasing the ion energy between successive deposition steps are merged (FIG. 10B) and the epitaxial raised active regions 310 are thus electrically connected.
  • According to various embodiments, the normalized range (tmax−tmin)/tmax of the conductive layer thickness over the top facets of the diamond-shaped structure may be 0.1 to 0.5, i.e., 0.1, 0.2, 0.3, 0.4, 0.45 or 0.5, including ranges between any of the foregoing values.
  • According to various embodiments, the coefficient of variation of the conductive layer thickness measured at the apex, turn and lateral edge on a facet top surface may be 0.1 to 0.45, i.e., 0.1, 0.2, 0.3 or 0.4, including ranges between any of the foregoing values. The coefficient of variation, also known as the relative standard deviation, is defined as the absolute value of the ratio of the standard deviation to the arithmetic mean of a set of data. For the idealized condition of no thickness variation between the apex, turn and lateral edge, the normalized range and the coefficient of variation are each zero.
  • Normalized range (R) and coefficient of variation (CV) data are summarized in Table 1 for single-step (comparative), and multi-step depositions according to various embodiments. The conductive layer thicknesses are measured in nm.
  • TABLE 1
    Effect of incident ion energy on conductive layer thickness
    Var. Cap Var. Cap
    Energy (#1) (#2) Apex Turn Lateral R CV
    High* 10 11 5.92 17.7 0.67 0.51
    Low* 75 12 5.27 6.08 0.56 0.47
    High-low 10 75 10.8 5.5 8 0.49 0.33
    Low-high 75 10 9.22 2.78 10.8 0.74 0.56
    Low* 95 12.2 4.93 5.65 0.60 0.53
    *Comparative
  • Disclosed herein are methods to manipulate the conformality of a sputtered thin film. In particular embodiments, control of the conformality can be used to promote or suppress merger of the deposited layer between adjacent three-dimensional structures. In various architectures, merged layers can be used to electrically interconnect discrete structures.
  • As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to a “fin” includes examples having two or more such “fins” unless the context clearly indicates otherwise.
  • Unless otherwise expressly stated, it is in no way intended that any method set forth herein be construed as requiring that its steps be performed in a specific order. Accordingly, where a method claim does not actually recite an order to be followed by its steps or it is not otherwise specifically stated in the claims or descriptions that the steps are to be limited to a specific order, it is no way intended that any particular order be inferred. Any recited single or multiple feature or aspect in any one claim can be combined or permuted with any other recited feature or aspect in any other claim or claims.
  • It will be understood that when an element such as a layer, region or substrate is referred to as being formed on, deposited on, or disposed “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, no intervening elements are present.
  • While various features, elements or steps of particular embodiments may be disclosed using the transitional phrase “comprising,” it is to be understood that alternative embodiments, including those that may be described using the transitional phrases “consisting” or “consisting essentially of,” are implied. Thus, for example, implied alternative embodiments to a conductive layer that includes titanium silicide include embodiments where a conductive layer consists essentially of titanium silicide and embodiments where a conductive layer consists of titanium silicide.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the present invention without departing from the spirit and scope of the invention. Since modifications, combinations, sub-combinations and variations of the disclosed embodiments incorporating the spirit and substance of the invention may occur to persons skilled in the art, the invention should be construed to include everything within the scope of the appended claims and their equivalents.

Claims (21)

1. A method of forming a semiconductor structure comprising:
forming a plurality of semiconductor fins on a substrate;
forming a plurality of raised active regions on the semiconductor fins;
forming a plasma within a vacuum chamber;
depositing a first portion of a conformal conductive layer over the raised active regions at a first ion energy; and
depositing a second portion of the conformal conductive layer over the first portion at a second ion energy.
2. The method of claim 1, wherein the first ion energy is less than the second ion energy.
3. The method of claim 2, wherein the conformal conductive layer does not merge adjacent raised active regions.
4. The method of claim 2, wherein a self-bias voltage during deposition of the first portion is from 100 to 200 V.
5. The method of claim 2, wherein a self-bias voltage during deposition of the second portion is from −50 to 90 V.
6. The method of claim 1, wherein the first ion energy is greater than the second ion energy.
7. The method of claim 6, wherein the conformal conductive layer merges adjacent raised active regions.
8. The method of claim 6, wherein a self-bias voltage during deposition of the first portion is from −50 to 90 V.
9. The method of claim 6, wherein a self-bias voltage during deposition of the second portion is from 100 to 200 V.
10. The method of claim 1, wherein the first portion and the second portion each comprise titanium.
11. The method of claim 1, wherein the vacuum chamber pressure is constant during deposition of the conformal conductive layer.
12. The method of claim 1, wherein the power applied to the plasma is constant during deposition of the conformal conductive layer.
13. The method of claim 1, wherein the oxygen concentration within the deposited layer is less than 500 ppm.
14. The method of claim 1, wherein the halide concentration within the deposited layer is less than 500 ppm.
15. A method of forming a semiconductor structure within a vacuum chamber comprising:
plasma depositing a first portion of a conformal conductive layer over raised regions disposed on a semiconductor substrate at a first ion energy; and
plasma depositing a second portion of the conformal conductive layer over the first portion at a second ion energy.
16. The method of claim 15, wherein a self-bias voltage during deposition of the first portion is from −50 to 90 V and a self-bias voltage during deposition of the second portion is from 100 to 200 V.
17. The method of claim 15, wherein the vacuum chamber pressure is constant during deposition of the conformal conductive layer.
18. The method of claim 15, wherein the power applied to the plasma is constant during deposition of the conformal conductive layer.
19. A semiconductor structure comprising:
a semiconductor substrate having a plurality of fins formed thereon;
epitaxial active regions disposed over of the fins; and
a conductive layer disposed over the epitaxial active regions, wherein the conductive layer on an upper surface of the epitaxial active regions has an apex thickness, a turn thickness and a lateral edge thickness, and a coefficient of variation of the apex thickness, turn thickness and lateral edge thickness is 0.1 to 0.45.
20. The semiconductor structure of claim 19, wherein the conductive layer merges adjacent active regions.
21. A method of forming a semiconductor structure comprising:
forming a plurality of semiconductor fins on a substrate;
forming a plurality of raised active regions on the semiconductor fins;
depositing a first portion of a conductive layer over the raised active regions at a first ion energy; and
depositing a second portion of the conductive layer over the first portion at a second ion energy, wherein the oxygen concentration within the deposited layer is less than 500 ppm.
US15/174,147 2016-07-22 2016-07-22 Multiple step thin film deposition method for high conformality Active US9859403B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/174,147 US9859403B1 (en) 2016-07-22 2016-07-22 Multiple step thin film deposition method for high conformality

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/174,147 US9859403B1 (en) 2016-07-22 2016-07-22 Multiple step thin film deposition method for high conformality

Publications (2)

Publication Number Publication Date
US9859403B1 US9859403B1 (en) 2018-01-02
US20180026118A1 true US20180026118A1 (en) 2018-01-25

Family

ID=60788813

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/174,147 Active US9859403B1 (en) 2016-07-22 2016-07-22 Multiple step thin film deposition method for high conformality

Country Status (1)

Country Link
US (1) US9859403B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180090496A1 (en) * 2016-09-23 2018-03-29 International Business Machines Corporation Multiple finfet formation with epitaxy separation
US10084093B1 (en) * 2017-05-22 2018-09-25 Globalfoundries Inc. Low resistance conductive contacts

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US11380993B2 (en) * 2019-12-19 2022-07-05 Globalfoundries U.S. Inc. Transceiver front-end with receiver branch matching network including integrated electrostatic discharge protection

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US20150079751A1 (en) * 2013-09-18 2015-03-19 International Business Machines Corporation Fin field effect transistor with merged metal semiconductor alloy regions
US9324820B1 (en) * 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2658951B1 (en) 1990-02-23 1992-05-07 Bonis Maurice PROCESS FOR MANUFACTURING AN INTEGRATED CIRCUIT FOR A FAST ANALOGUE DIE USING LOCAL SILICIIDE INTERCONNECTION LINES.
US5173450A (en) 1991-12-30 1992-12-22 Texas Instruments Incorporated Titanium silicide local interconnect process
US5403759A (en) 1992-10-02 1995-04-04 Texas Instruments Incorporated Method of making thin film transistor and a silicide local interconnect
US5635426A (en) 1993-08-26 1997-06-03 Fujitsu Limited Method of making a semiconductor device having a silicide local interconnect
JPH10189483A (en) 1996-12-26 1998-07-21 Fujitsu Ltd Manufacture of semiconductor device and the semiconductor device
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6077779A (en) 1998-05-22 2000-06-20 Taiwan Semiconductor Manufacturing Company Multi-step deposition to improve the conformality of ionized PVD films
US6458251B1 (en) 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6740900B2 (en) 2002-02-27 2004-05-25 Konica Corporation Organic thin-film transistor and manufacturing method for the same
US20040140196A1 (en) 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6969677B2 (en) 2003-10-20 2005-11-29 Micron Technology, Inc. Methods of forming conductive metal silicides by reaction of metal with silicon
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US20110001169A1 (en) 2009-07-01 2011-01-06 International Business Machines Corporation Forming uniform silicide on 3d structures
US8362574B2 (en) 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8637931B2 (en) 2011-12-27 2014-01-28 International Business Machines Corporation finFET with merged fins and vertical silicide
US8900934B2 (en) 2013-04-18 2014-12-02 International Business Machines Corporation FinFET devices containing merged epitaxial Fin-containing contact regions
US9224675B1 (en) 2014-07-31 2015-12-29 International Business Machines Corporation Automatic capacitance tuning for robust middle of the line contact and silicide applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US20150079751A1 (en) * 2013-09-18 2015-03-19 International Business Machines Corporation Fin field effect transistor with merged metal semiconductor alloy regions
US9324820B1 (en) * 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180090496A1 (en) * 2016-09-23 2018-03-29 International Business Machines Corporation Multiple finfet formation with epitaxy separation
US10453843B2 (en) * 2016-09-23 2019-10-22 International Business Machines Corporation Multiple finFET Formation with epitaxy separation
US10084093B1 (en) * 2017-05-22 2018-09-25 Globalfoundries Inc. Low resistance conductive contacts

Also Published As

Publication number Publication date
US9859403B1 (en) 2018-01-02

Similar Documents

Publication Publication Date Title
US10243043B2 (en) Self-aligned air gap spacer for nanosheet CMOS devices
US10256302B2 (en) Vertical transistor with air-gap spacer
US9443982B1 (en) Vertical transistor with air gap spacers
US10840351B2 (en) Transistor with airgap spacer and tight gate pitch
US9991382B1 (en) Vertical field effect transistor with abrupt extensions at a bottom source/drain structure
US9786739B2 (en) Stacked nanosheets by aspect ratio trapping
US20180138046A1 (en) Method and structure to control channel length in vertical fet device
US10269956B2 (en) Asymmetric vertical device
US20190140080A1 (en) Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
US10074571B1 (en) Device with decreased pitch contact to active regions
US20190109052A1 (en) Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10014409B1 (en) Method and structure to provide integrated long channel vertical FinFET device
US10164104B2 (en) Method to form air-gap spacers and air-gap spacer-containing structures
US10957780B2 (en) Non-uniform gate dielectric for U-shape MOSFET
US9859403B1 (en) Multiple step thin film deposition method for high conformality
US10559692B2 (en) Nanosheet substrate isolation scheme by lattice matched wide bandgap semiconductor
US20180108654A1 (en) Finfet device with low resistance fins
US10777679B2 (en) Removal of work function metal wing to improve device yield in vertical FETs
US20190067474A1 (en) Vertical finfet with improved top source/drain contact
US20180254220A1 (en) Trench gate first cmos
US20200303503A1 (en) Vtfet having a v-shaped groove at the top junction region
US20190385914A1 (en) Method and structure to provide integrated long channel vertical finfet device
US9419102B1 (en) Method to reduce parasitic gate capacitance and structure for same
US10734479B1 (en) FinFET CMOS with asymmetric gate threshold voltage

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MAKELA, NEAL A.;FERRER, DOMINGO A.;ADASUMILLI, PRANEET;AND OTHERS;SIGNING DATES FROM 20160512 TO 20160601;REEL/FRAME:038818/0475

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4