US20170365528A1 - Semiconductor device and method of manufacturing the semiconductor device - Google Patents

Semiconductor device and method of manufacturing the semiconductor device Download PDF

Info

Publication number
US20170365528A1
US20170365528A1 US15/692,752 US201715692752A US2017365528A1 US 20170365528 A1 US20170365528 A1 US 20170365528A1 US 201715692752 A US201715692752 A US 201715692752A US 2017365528 A1 US2017365528 A1 US 2017365528A1
Authority
US
United States
Prior art keywords
gate electrode
semiconductor device
amorphous silicon
forming
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/692,752
Inventor
Hidenobu Fukutome
Hiroyuki Ohta
Mitsugu Tajima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to US15/692,752 priority Critical patent/US20170365528A1/en
Assigned to FUJITSU SEMICONDUCTOR LIMITED reassignment FUJITSU SEMICONDUCTOR LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJITSU MICROELECTONICS LIMITED
Publication of US20170365528A1 publication Critical patent/US20170365528A1/en
Priority to US16/004,035 priority patent/US20180294195A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Definitions

  • the embodiments discussed herein are related to a semiconductor device and a method of manufacturing the semiconductor device.
  • CMOS devices Due to the significant advances in the miniaturization of semiconductor devices including CMOS devices, MOSFET operations are close to reaching their physical limits. As the miniaturization advances, characteristics and circuit properties of a CMOS device are difficult to improve simply by scaling of device dimensions including a simple gate length. Therefore, as a method of improving transistor characteristics other than miniaturization, strained silicon technology is being researched.
  • the strained silicon technology is a technology for improving transistor characteristics by increasing the mobility of carriers by applying strain to channel regions of a CMOS transistor. As typical methods of applying strain to channel regions, there is a method of applying a coat of a stress film after forming a transistor or a method of burying a substance having a lattice constant different from silicon into a source/drain region. These methods are being employed for actual products.
  • a gate is formed by polysilicon and is made amorphous (non-crystal) by injecting an impurity having a relatively large atomic mass number. After arranging the shape, the gate is heated at a temperature of approximately 1000° C. and re-crystallized. In this re-crystallization, by taking advantage of strong compressive stress remaining inside a gate electrode and applying a tensile stress to a channel region below the gate electrode, carrier mobility of an nMOS transistor is improved.
  • a semiconductor device including: a transistor configuration including first and second gate electrodes, each of the first and the second gate electrodes having at least a bottom layer and an upper layer including polycrystalline silicon grains; wherein the first gate electrode is a nMOS gate electrode formed in an nMOS region of the transistor configuration; wherein the polycrystalline silicon grains included in the bottom layer of the first gate electrode have a greater particle diameter than the polycrystalline grains included in the upper layer of the first gate electrode.
  • FIGS. 1A and 1B are schematic diagrams for describing a basic configuration of a semiconductor device according to an embodiment of the present invention
  • FIG. 2A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a first embodiment of the present invention
  • FIG. 2B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention
  • FIG. 2C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 2D is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 2E is a schematic diagram illustrating a step of manufacturing a semiconductor device according according to the first embodiment of the present invention.
  • FIG. 2F is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 2G is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 2H is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 21 is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 2J is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 2K is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention.
  • FIG. 3A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a second embodiment of the present invention.
  • FIG. 3B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIG. 3C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIG. 4A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a third embodiment of the present invention.
  • FIG. 4B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the third embodiment of the present invention.
  • FIG. 4C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the third embodiment of the present invention.
  • FIG. 5A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a fourth embodiment of the present invention.
  • FIG. 5B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the fourth embodiment of the present invention.
  • FIG. 5C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the fourth embodiment of the present invention.
  • FIGS. 1A and 1B are schematic diagrams for describing a basic structure of a semiconductor device according to an embodiment of the present invention.
  • the structure illustrated in FIG. 1A includes a gate electrode pattern 4 having a double layer structure.
  • the gate electrode pattern 4 includes an amorphous silicon bottom layer 1 into which an impurity is included and an amorphous silicon upper layer 3 formed on top of the bottom layer 1 .
  • the impurity is contained into the bottom layer 1 before performing patterning on the gate electrode pattern 4 .
  • phosphorous (P) is implanted (doped) as the impurity with respect to an nMOS region
  • boron (B) is implanted (doped) as the impurity with respect to a pMOS region.
  • a thermal process is performed on the gate electrode pattern 4 .
  • grains in the bottom layer 1 of the nMOS region of the gate electrode pattern 4 become a large size.
  • the gate electrode pattern 4 becomes a gate electrode 9 including a polysilicon bottom layer 2 having large size grains.
  • the phosphor (P) implanted into the bottom layer 1 of the nMOS region of the gate electrode pattern 4 has a relatively large atomic radius and a large lattice constant. Accordingly, internal force is generated by volume expansion caused by the thermal process as illustrated in FIG. 1B . Because a polysilicon upper layer 8 is formed on top of the bottom layer 2 and a sidewall spacer 7 is formed on both sides of the polysilicon upper layer 8 , the internal force is mainly applied to a channel region CH of a semiconductor substrate 11 located below the gate electrode 9 . As a result, a tensile stress is generated in the source/drain direction in the N channel region. This enables the driving force of a transistor to be increased.
  • the gate electrode 9 may be a layered structure having three or more layers because force can be efficiently applied to an N channel region if large sized grains are included in the bottommost gate layer nearest to the N channel region.
  • at least the bottommost polysilicon layer is to include grains larger than those of the layers formed above the bottommost polysilicon layer.
  • the boron (B) implanted in the bottom layer 2 of the pMOS region of the gate electrode 9 has a relatively small grain size compared to that of the nMOS region.
  • the stress applied to the p channel region CH is relatively small. Accordingly, the tensile stress toward the p channel region can be suppressed. As a result, the mobility of carriers can be prevented from decreasing. Further, a Tinv (apparent film thickness determined by inverted gate capacitance) which is thinner than that for the gate using a single layer of polysilicon can be attained owing to the impurity included in the bottom layer 2 of the pMOS region of the gate electrode 9 .
  • the thermal process is performed after the bottom layer 1 and the upper layer 3 are formed into the configuration (shape) of the gate electrode pattern 4 as illustrated in FIG. 1A .
  • the thermal process may be performed when annealing the source and drain or when forming the sidewall spacer 7 (film deposition of sidewall spacer 7 ).
  • the thermal process causes the impurities to spread to the upper amorphous silicon layer 3 . Therefore, penetration of impurities to the channel regions can be sufficiently prevented. In addition, depletion of the amorphous silicon gate can also be prevented.
  • a tensile stress can be efficiently generated in the n channel region.
  • degradation of the penetration of impurities in the channel regions can be prevented and depletion of the gate electrode can be prevented.
  • FIGS. 2A through 2H illustrate the steps of manufacturing a semiconductor device 100 according to a first embodiment of the present invention.
  • an STI (Shallow Trench Isolation) structure 12 and a gate insulation film 13 are formed on a semiconductor substrate 11 by performing a regular CMOS (Complementary Metal Oxide Semiconductor) process.
  • An amorphous silicon film 14 is deposited as a first layer of a gate electrode 20 ( 20 N, 20 P).
  • the film thickness of the amorphous silicon film 14 is, for example, 10 nm-50 nm.
  • a resist mask 15 is formed by performing a lithography process on the amorphous silicon film 14 coated with resist.
  • the resist mask 15 is formed with a pattern in which an aperture (opening) is formed in the area corresponding to the nMOS region whereas the area corresponding to the however, to be noted that, although the resist mask 15 of FIG. 2B is formed having an aperture formed in the area corresponding to the nMOS region, the resist mask 15 may alternatively be formed having an aperture formed in the area corresponding to the pMOS region and the nMOS region being covered by the resist mask 15 . That is, the below-described resist mask 16 may be formed before forming the resist mask 15 .
  • an amorphous silicon film 14 a is formed as a bottom layer (in this example, first layer of nMOS region) by implanting phosphorus (P) in the nMOS region of the amorphous silicon film 14 .
  • the concentration of the implantation is, for example, 1E14-3E16 cm ⁇ 2 .
  • As, Sb, N, Ge, or Si may also be implanted according to necessity. Because an impurity is introduced into the amorphous silicon film 14 a (first layer of the nMOS region) by the implantation, the amorphous silicon of the amorphous silicon film 14 a is changed into polycrystalline silicon having large grain size by performing a thermal process on the semiconductor device 100 in a subsequent step. In addition, depletion of the gate electrode can be prevented.
  • an amorphous silicon film 14 b is formed as a bottom layer (first layer of the pMOS region) by implanting an impurity such as B, BF2, Ge, N, or F to the pMOS region of the amorphous silicon film 14 .
  • the semiconductor device 100 can attain a Tinv thinner than that for the gate using a single layer of the polysilicon.
  • an amorphous silicon film 18 is deposited as a second layer of the gate electrode 20 ( 20 N, 20 P).
  • the film thickness of the amorphous silicon film 18 is, for example, 50 nm-100 nm.
  • the second layer may be deposited on a natural oxide layer according to necessity.
  • a resist mask 19 is formed by performing a lithography process on the amorphous silicon film 18 coated with resist.
  • the resist mask 19 is formed with a pattern in which an aperture (opening) is formed in the area corresponding to the pMOS region whereas the area corresponding to the nMOS region is covered by the resist mask 19 .
  • an amorphous silicon film 18 b is formed as a second layer of the pMOS region by implanting an impurity such as B, BF2, Ge, N, or F to the pMOS region of the amorphous silicon film 18 .
  • an impurity is introduced into the amorphous silicon film 18 b by the implantation, processing (e.g., dry-etching) of the below-described pMOS gate electrode 20 P becomes easier such that a rectangular shaped gate electrode 20 P can be formed.
  • processing e.g., dry-etching
  • FIG. 2E may be omitted depending on the conditions of the dry-etching process.
  • an amorphous silicon film 18 a may also be formed as a second layer of the nMOS region by also performing ion implantation to the nMOS region of the amorphous silicon film 18 .
  • a hard mask (not illustrated) may be formed by depositing silicon oxide or silicon nitride at a low temperature to the extent that the amorphous silicon formed on the semiconductor device 100 is not crystallized (e.g. approximately equal to or below 530° C.) and performing a lithography process on the semiconductor device 100 .
  • gate electrodes 20 including gate electrode patterns 20 N and 20 P are formed as illustrated in FIG. 2F .
  • a lithography process is performed so that an aperture (opening) is formed in the area corresponding to the nMOS region whereas the region corresponding to the pMOS region is covered with resist (not illustrated).
  • an aperture may first be formed in the area corresponding to the pMOS region and then an aperture may be formed in the area corresponding to the nMOS region.
  • a pocket area 22 is formed by implanting a pocket impurity (e.g., B) of the nMOS region at the area of the opening.
  • the pocket impurity is implanted at a tilt angle of 0°-45°.
  • In or BF2 may be used as the pocket impurity.
  • nitrogen, fluorine, carbon, or germanium (Ge) may also be implanted in addition to the pocket impurity such as B.
  • an extension region 21 is formed by implanting an extension impurity (e.g., As) at the area of the opening.
  • P or Sb may be used as the extension impurity.
  • a lithography process is performed so that an aperture (opening) is formed in the area corresponding to the pMOS region whereas the region corresponding to the nMOS region is covered with resist (not illustrated).
  • a pocket area 22 is formed by implanting a pocket impurity (e.g., P) of the pMOS region at the area of the opening.
  • the pocket impurity is implanted at a tilt angle of 0°-45°.
  • As or Sb may be used as the pocket impurity.
  • nitrogen, fluorine, carbon, or germanium (Ge) may also be implanted in addition to the pocket impurity such as P.
  • an extension region 21 is formed by implanting an extension impurity (e.g., B) at the area of the opening.
  • an extension impurity e.g., B
  • BF2 may be used as the extension impurity.
  • the resist covering the region corresponding to the nMOS region is removed.
  • an insulation film (low temperature insulation film) 24 to be used as a sidewall spacer is deposited at a low temperature to the extent of not crystallizing amorphous silicon.
  • a silicon oxide film is deposited at a temperature equal to or less than 530° C. by using a CVD method.
  • the silicon oxide film is formed with a thickness of approximately 5-20 nm.
  • a sidewall spacer 25 is formed at the gate electrodes 20 ( 20 N, 20 P) by performing anisotropic etching on the low temperature insulation film 24 .
  • a silicon oxide film is used as the insulation film forming the sidewall spacer 25
  • other films may be used as the insulation film as long as the insulation film can be deposited at a sufficiently low temperature without crystallizing amorphous silicon.
  • a silicon nitride film may be used as the insulation film.
  • the low temperature insulation film 24 is not limited to a single layer structure but may be formed with plural insulation layers. Further, the thickness of the low temperature insulation film 24 may be adjusted where appropriate.
  • an aperture (opening) is formed in the area corresponding to the nMOS region and then another aperture (opening) is formed in the area corresponding to the pMOS region. It is, however, to be noted that the order for forming the openings in the nMOS and pMOS regions may be switched. Then, a source 26 and a drain 26 are formed by implanting impurities via the sidewalls of the sidewall spacers 25 in the nMOS and pMOS regions.
  • an activation annealing process is performed on the semiconductor device 100 .
  • the impurities in the source 26 and the drain 26 can be activated and the amorphous silicon film of the gate electrodes 20 can be poly-crystallized.
  • the grain size of crystals increases only in the first layer of the gate electrode 20 N of the nMOS region. Accordingly, a polysilicon film 32 having large grain size is formed in the first layer of the gate electrode 20 N of the nMOS region.
  • the crystal grain size in other amorphous silicon films does not increase as much as the first layer of the gate electrode 20 N.
  • the other amorphous silicon films are poly-crystallized, so that a polysilicon film 33 a is formed in the second layer of the gate electrode 20 N of the nMOS region, and polysilicon films 32 b , 33 b are formed in the first and second layers of the gate electrode 20 P of the pMOS region.
  • volume expansion occurs only in the first layer 32 located at the vicinity of the bottom surface of the gate electrode 20 N of the nMOS region, so that compressive stress in a vertical direction can be selectively applied to an area immediately below the nMOS gate electrode 20 N.
  • amorphous silicon is poly-crystallized by performing the activation annealing process after forming the source/drain 26 .
  • another thermal process, separate (independent) from the activation annealing process is performed.
  • the steps performed until the step illustrated in FIG. 2H of the first embodiment are the same as the second embodiment.
  • different impurities are implanted into the amorphous silicon films 14 a and 14 b in the pMOS region and the nMOS region.
  • another amorphous silicon film 18 is deposited on the amorphous silicon films 14 a , 14 b .
  • gate electrodes 20 having gate electrode patterns 20 N, 20 P with double layer structures are formed.
  • an insulator film 24 is formed on the entire surface of the semiconductor device 200 by depositing the insulator film 24 at a low temperature.
  • a thermal process is performed on the semiconductor device 200 .
  • the amorphous silicon films 14 , 18 are poly-crystallized.
  • a given thermal process may be used as long as the poly-crystallization can be achieved.
  • the thermal process may be a furnace annealing process or a RTA (Rapid Thermal the particle diameter of the grains increases in the bottom layer 14 a of the gate electrode pattern 20 N of the nMOS region. Accordingly, a polysilicon film 32 having large grain size is formed in the first layer of the gate electrode 20 N of the nMOS region.
  • the crystal grain size formed in the amorphous silicon films of the pMOS region does not significantly increase. Accordingly, a polysilicon film 32 b having its volume expansion suppressed is obtained. Further, the thermal process causes the impurities in the bottom surfaces 32 , 32 b to diffuse to the layers formed thereabove. As a result, polysilicon films 33 a and 33 b are formed as the upper layers of the gate electrodes 20 N, 20 P.
  • this thermal process may be performed at a given stage after the sidewall spacers 25 are formed.
  • amorphous silicon is poly-crystallized by performing the activation annealing process.
  • amorphous silicon is poly-crystallized by using the heat generated during selective epitaxial growth instead of performing the activation annealing process.
  • the steps performed until the step illustrated in FIG. 2H of the first embodiment are the same as the third embodiment.
  • different impurities are implanted into the amorphous silicon films 14 a and 14 b in the pMOS region and the nMOS region.
  • another amorphous silicon film 18 is deposited on the amorphous silicon films 14 a , 14 b .
  • gate electrodes 20 having gate electrode patterns 20 N, 20 P with double layer structures are formed.
  • an insulator film 24 is formed on the entire surface of the semiconductor device 300 by depositing the insulator film 24 at a low temperature.
  • an insulator film 24 a (not shown) is formed on the entire surface of the semiconductor device 300 by depositing the insulator film 24 a at a low temperature.
  • an aperture opening
  • an aperture is formed only in the area corresponding to the pMOS region whereas the area corresponding to the nMOS region remains covered by the insulation film 24 .
  • areas of the silicon substrate 11 corresponding to the source and the drain of the pMOS region are recessed by performing a dry-etching process.
  • recesses may be formed by performing a wet-etching process using, for example, TMAH (tetramethylammonium hydroxide). Then, a selective epitaxial growth is performed on the recessed portions of the substrate 11 . In the selective epitaxial growth process, SiGe grows in the recessed portions at a growth temperature of approximately 600° C. As a result, a SiGe strain generating layer 41 is formed. The SiGe strain generating layer 41 applies a compressive stress from both the source side and the drain side to the channel area of the pMOS region in a horizontal direction. Thereby, carrier mobility can be improved.
  • TMAH tetramethylammonium hydroxide
  • the heat during the SiGe growth causes poly-crystallization of the amorphous silicon in the gate electrode patterns 20 N and 20 P.
  • the particle size of crystal grains increases only in the bottom layer of the gate electrode 20 N of the nMOS region. Accordingly, a polysilicon film 32 having large grain size is formed in the first bottom layer of the gate electrode 20 N of the nMOS region. Because volume expansion occurs in the polysilicon film 32 in correspondence with the increase of size (particle diameter) of the grains in the polysilicon film 32 , compressive stress in a vertical direction can be selectively applied to an area immediately below the nMOS gate electrode 20 N. The compressive stress in the vertical direction contributes to generation of a tensile stress in a horizontal direction in the nMOS channel region.
  • ion implantation is performed on areas corresponding to the source and drain in the nMOS transistor. Then, processes such as active annealing and silicide formation are performed on the semiconductor device 300 .
  • the third embodiment is described by using an example of a SiGe source and drain (SD), the heat from other epitaxial growth processes may be used.
  • SD SiGe source and drain
  • amorphous silicon is poly-crystallized by performing the activation annealing process.
  • amorphous silicon is poly-crystallized by using the heat generated during the forming of sidewall spacers instead of performing the activation annealing process.
  • the steps performed until the step illustrated in FIG. 21 of the first embodiment are the same as the fourth embodiment.
  • different impurities are implanted into the amorphous silicon films 14 a and 14 b in the pMOS region and the nMOS region.
  • another amorphous silicon film 18 is deposited on the amorphous silicon films 14 a , 14 b .
  • gate electrodes 20 having gate electrode patterns 20 N, 20 P with double layer structures are formed.
  • the below-described insulator films 51 , 52 are formed on the entire surface of the semiconductor device 400 by depositing the insulation films 51 , 52 at a low temperature.
  • a first insulation film 51 which is to be an offset spacer is deposited at a low temperature to an extent that amorphous silicon does not crystallize.
  • the first insulation film 51 may be formed by depositing a silicon oxide film at a temperature equal to or less than 530° C. by using a CVD method.
  • the silicon oxide film has a thickness of approximately 5 nm-20 nm.
  • a second insulation film 52 is deposited at a temperature more than that amorphous silicon is crystallized.
  • the second insulation film 52 may be formed by depositing a silicon nitride film at a temperature of approximately 550° C. by using a CVD method.
  • the silicon nitride film has a thickness of approximately 20 nm-50 nm.
  • the deposition of the second insulation film 52 increases the particle size (particle diameter) of the grains in the bottom layer of the gate electrode 20 N in the nMOS region and causes volume expansion.
  • the polysilicon in the gate electrode 20 is polycrystallized. Therefore, a polysilicon film 32 containing polysilicon grains having large particle diameter can be obtained in the bottom layer of the nMOS gate electrode 20 N.
  • the other amorphous silicon films are poly-crystallized, so that a polysilicon film 33 a is formed in the second layer of the gate electrode 20 N of the nMOS region, and polysilicon films 32 b , 33 b are formed in the first and second layers of the gate electrode 20 P of the pMOS region.
  • sidewall spacers 53 are formed at the sides of the gate electrodes 20 N, 20 P by anisotropic etching.
  • the sidewall spacers 53 have a double-layer configuration including a first insulation film (e.g., silicon oxide film) 51 and a second insulation film (e.g., silicon nitride film) 52 .
  • a first insulation film e.g., silicon oxide film
  • a second insulation film e.g., silicon nitride film
  • an impurity is implanted in an area of a source region and a drain region of the semiconductor substrate 11 via the sidewall spacers 53 , to thereby perform, for example, activation annealing or forming of silicide.
  • the double-layer configuration of the sidewall spacer 53 in the embodiment illustrated with FIGS. 5A-5C is formed by the silicon oxide film 51 and the silicon nitride film 52 , other insulator films may be used as long as the insulator film can be deposited at a sufficiently low temperature enough to avoid crystallization of amorphous silicon as the film 51 .
  • the sidewall spacer 53 is not limited to a double-layer configuration having two different kinds of insulator films.
  • the double-layer configuration of the sidewall spacer 53 may be fabricated by depositing the same kind of insulation film but with different deposition temperatures. Further, the thickness of the insulation films of the sidewall spacer 53 may be adjusted where appropriate.
  • a layered configuration including two or more amorphous silicon layers is formed in which an impurity is included into a bottom layer of the layered configuration. Then, by performing a thermal process after depositing a sidewall insulation film of a gate electrode, the bottom layer of an nMOS gate electrode is formed as a polysilicon layer containing grains having large particle diameter. In contrast, the upper layer of the nMOS gate electrode and the pMOS gate electrode are formed as polysilicon layers containing grains having a relatively small particle diameter.
  • the volume expansion in the bottom part of the nMOS gate electrode allows force in the vertical direction to be efficiently applied to an n channel region below the nMOS gate electrode.
  • Tinv thinner than that for the gate using a single polysilicon layer can be attained.
  • implanted impurity diffuse in the upper layer of the gate electrodes by performing a thermal process thereto. Accordingly, gate depletion can be prevented without affecting the impurity profile of corresponding channel regions. As a result, the driving force of a fine transistor can be improved.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A semiconductor device includes a transistor configuration including first and second gate electrodes, each of the first and second gate electrodes having at least a bottom layer and an upper layer including polycrystalline silicon grains, wherein the first gate electrode is a nMOS gate electrode formed in an nMOS region of the transistor configuration, wherein the polycrystalline silicon grains included in the bottom layer of the first gate electrode have a greater particle diameter than the polycrystalline grains included in the upper layer of the second gate electrode.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This patent application is a Divisional of U.S. application Ser. No. 12/567,084, filed Sep. 25, 2009, which is based upon and claims the benefit of priority under 35 USC 120 and 365(c) of PCT application JP2007/056458 filed in Japan on Mar. 27, 2007, the entire contents of which are incorporated herein by reference.
  • FIELD
  • The embodiments discussed herein are related to a semiconductor device and a method of manufacturing the semiconductor device.
  • BACKGROUND
  • Due to the significant advances in the miniaturization of semiconductor devices including CMOS devices, MOSFET operations are close to reaching their physical limits. As the miniaturization advances, characteristics and circuit properties of a CMOS device are difficult to improve simply by scaling of device dimensions including a simple gate length. Therefore, as a method of improving transistor characteristics other than miniaturization, strained silicon technology is being researched. The strained silicon technology is a technology for improving transistor characteristics by increasing the mobility of carriers by applying strain to channel regions of a CMOS transistor. As typical methods of applying strain to channel regions, there is a method of applying a coat of a stress film after forming a transistor or a method of burying a substance having a lattice constant different from silicon into a source/drain region. These methods are being employed for actual products.
  • Further, there is also a technology of applying stress to a channel by using a volume expansion of a gate (see, for example, Japanese Laid-Open Patent Publication No. 2004-172389). In Japanese Laid-Open Patent Publication No. 2004-172389, a gate is formed by polysilicon and is made amorphous (non-crystal) by injecting an impurity having a relatively large atomic mass number. After arranging the shape, the gate is heated at a temperature of approximately 1000° C. and re-crystallized. In this re-crystallization, by taking advantage of strong compressive stress remaining inside a gate electrode and applying a tensile stress to a channel region below the gate electrode, carrier mobility of an nMOS transistor is improved.
  • However, with the technology of Japanese Laid-Open Patent Publication No. 2004-172389, due to the gate electrode being formed by amorphous silicon of a single layer, the compressive stress generated in the gate electrode is easily released upward (exerted upward) from the gate electrode. Accordingly, stress cannot be efficiently applied to the channel region immediately below the gate electrode. In order to prevent this from occurring, a cap film may be provided on the gate electrode. However, the presence of the cap film may cause impurities inside the single layer gate electrode to pass through a gate insulating layer and horizontally spread in a surface region of the substrate. In such a case, the distribution of the impurities may be degraded and lead to degrading of transistor characteristics.
  • SUMMARY
  • According to an aspect of the invention, there is provided a semiconductor device including: a transistor configuration including first and second gate electrodes, each of the first and the second gate electrodes having at least a bottom layer and an upper layer including polycrystalline silicon grains; wherein the first gate electrode is a nMOS gate electrode formed in an nMOS region of the transistor configuration; wherein the polycrystalline silicon grains included in the bottom layer of the first gate electrode have a greater particle diameter than the polycrystalline grains included in the upper layer of the first gate electrode.
  • Additional objects and advantages of the embodiments are set forth in part in the description which follows, and in part will become obvious from the description, or may be learned by practice of the invention.
  • The object and advantages of the invention may be realized and attained by means of the elements and combinations particularly pointed out in the appended claims.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are are not restrictive of the invention as claimed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic diagrams for describing a basic configuration of a semiconductor device according to an embodiment of the present invention;
  • FIG. 2A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a first embodiment of the present invention;
  • FIG. 2B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2D is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2E is a schematic diagram illustrating a step of manufacturing a semiconductor device according according to the first embodiment of the present invention;
  • FIG. 2F is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2G is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2H is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 21 is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2J is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 2K is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the first embodiment of the present invention;
  • FIG. 3A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a second embodiment of the present invention;
  • FIG. 3B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the second embodiment of the present invention;
  • FIG. 3C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the second embodiment of the present invention;
  • FIG. 4A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a third embodiment of the present invention;
  • FIG. 4B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the third embodiment of the present invention;
  • FIG. 4C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the third embodiment of the present invention;
  • FIG. 5A is a schematic diagram illustrating a step of manufacturing a semiconductor device according to a fourth embodiment of the present invention;
  • FIG. 5B is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the fourth embodiment of the present invention; and
  • FIG. 5C is a schematic diagram illustrating a step of manufacturing a semiconductor device according to the fourth embodiment of the present invention.
  • DESCRIPTION OF EMBODIMENT(S)
  • Preferred embodiments of the present invention will be explained with reference to accompanying drawings.
  • FIGS. 1A and 1B are schematic diagrams for describing a basic structure of a semiconductor device according to an embodiment of the present invention. By performing a thermal process on a structure illustrated in FIG. 1A, a configuration illustrated in FIG. 1B can be obtained. The structure illustrated in FIG. 1A includes a gate electrode pattern 4 having a double layer structure. The gate electrode pattern 4 includes an amorphous silicon bottom layer 1 into which an impurity is included and an amorphous silicon upper layer 3 formed on top of the bottom layer 1. The impurity is contained into the bottom layer 1 before performing patterning on the gate electrode pattern 4. For example, phosphorous (P) is implanted (doped) as the impurity with respect to an nMOS region, and boron (B) is implanted (doped) as the impurity with respect to a pMOS region. After the implantation of the impurity, a thermal process is performed on the gate electrode pattern 4. Thereby, grains in the bottom layer 1 of the nMOS region of the gate electrode pattern 4 become a large size. As a result, the gate electrode pattern 4 becomes a gate electrode 9 including a polysilicon bottom layer 2 having large size grains.
  • The phosphor (P) implanted into the bottom layer 1 of the nMOS region of the gate electrode pattern 4 has a relatively large atomic radius and a large lattice constant. Accordingly, internal force is generated by volume expansion caused by the thermal process as illustrated in FIG. 1B. Because a polysilicon upper layer 8 is formed on top of the bottom layer 2 and a sidewall spacer 7 is formed on both sides of the polysilicon upper layer 8, the internal force is mainly applied to a channel region CH of a semiconductor substrate 11 located below the gate electrode 9. As a result, a tensile stress is generated in the source/drain direction in the N channel region. This enables the driving force of a transistor to be increased. It is to be noted that the gate electrode 9 may be a layered structure having three or more layers because force can be efficiently applied to an N channel region if large sized grains are included in the bottommost gate layer nearest to the N channel region. In a case where the gate electrode 9 is formed with three or more layers, at least the bottommost polysilicon layer is to include grains larger than those of the layers formed above the bottommost polysilicon layer.
  • Even after the thermal process, the boron (B) implanted in the bottom layer 2 of the pMOS region of the gate electrode 9 has a relatively small grain size compared to that of the nMOS region.
  • Therefore, the stress applied to the p channel region CH is relatively small. Accordingly, the tensile stress toward the p channel region can be suppressed. As a result, the mobility of carriers can be prevented from decreasing. Further, a Tinv (apparent film thickness determined by inverted gate capacitance) which is thinner than that for the gate using a single layer of polysilicon can be attained owing to the impurity included in the bottom layer 2 of the pMOS region of the gate electrode 9.
  • The thermal process is performed after the bottom layer 1 and the upper layer 3 are formed into the configuration (shape) of the gate electrode pattern 4 as illustrated in FIG. 1A. For example, the thermal process may be performed when annealing the source and drain or when forming the sidewall spacer 7 (film deposition of sidewall spacer 7).
  • In both the pMOS region and the nMOS region, the thermal process causes the impurities to spread to the upper amorphous silicon layer 3. Therefore, penetration of impurities to the channel regions can be sufficiently prevented. In addition, depletion of the amorphous silicon gate can also be prevented.
  • Accordingly, even if no cap layer is formed above the gate electrode 9, a tensile stress can be efficiently generated in the n channel region. In addition, degradation of the penetration of impurities in the channel regions can be prevented and depletion of the gate electrode can be prevented.
  • Next, a method of manufacturing a semiconductor device 100 according to embodiments of the present invention is described.
  • First Embodiment
  • FIGS. 2A through 2H illustrate the steps of manufacturing a semiconductor device 100 according to a first embodiment of the present invention. As illustrated in FIG. 2A, an STI (Shallow Trench Isolation) structure 12 and a gate insulation film 13 are formed on a semiconductor substrate 11 by performing a regular CMOS (Complementary Metal Oxide Semiconductor) process. An amorphous silicon film 14 is deposited as a first layer of a gate electrode 20 (20N, 20P). The film thickness of the amorphous silicon film 14 is, for example, 10 nm-50 nm.
  • Then, as illustrated in FIG. 2B, after coating the entire surface of the amorphous silicon film 14 with resist, a resist mask 15 is formed by performing a lithography process on the amorphous silicon film 14 coated with resist. The resist mask 15 is formed with a pattern in which an aperture (opening) is formed in the area corresponding to the nMOS region whereas the area corresponding to the however, to be noted that, although the resist mask 15 of FIG. 2B is formed having an aperture formed in the area corresponding to the nMOS region, the resist mask 15 may alternatively be formed having an aperture formed in the area corresponding to the pMOS region and the nMOS region being covered by the resist mask 15. That is, the below-described resist mask 16 may be formed before forming the resist mask 15.
  • In this state illustrated in FIG. 2B, an amorphous silicon film 14 a is formed as a bottom layer (in this example, first layer of nMOS region) by implanting phosphorus (P) in the nMOS region of the amorphous silicon film 14. The concentration of the implantation is, for example, 1E14-3E16 cm−2.
  • In addition to implanting phosphorus, As, Sb, N, Ge, or Si, for example, may also be implanted according to necessity. Because an impurity is introduced into the amorphous silicon film 14 a (first layer of the nMOS region) by the implantation, the amorphous silicon of the amorphous silicon film 14 a is changed into polycrystalline silicon having large grain size by performing a thermal process on the semiconductor device 100 in a subsequent step. In addition, depletion of the gate electrode can be prevented.
  • Then, as illustrated in FIG. 2C, the resist mask 15 is removed. Then, a new resist coating is applied onto the entire surface of the semiconductor device 100. Then, a resist mask 16 is formed by performing a lithography process. The resist mask 16 is formed with a pattern in which an aperture (opening) is formed in the area corresponding to the pMOS region whereas the area corresponding to the nMOS region is covered by the resist mask 16. In this state illustrated in FIG. 2C, an amorphous silicon film 14 b is formed as a bottom layer (first layer of the pMOS region) by implanting an impurity such as B, BF2, Ge, N, or F to the pMOS region of the amorphous silicon film 14. Because an impurity is introduced into the amorphous silicon film 14 b (first layer of the pMOS region) by the implantation, depletion of the gate electrode can be prevented. In addition, the semiconductor device 100 can attain a Tinv thinner than that for the gate using a single layer of the polysilicon.
  • Then, in FIG. 2D, an amorphous silicon film 18 is deposited as a second layer of the gate electrode 20 (20N, 20P). The film thickness of the amorphous silicon film 18 is, for example, 50 nm-100 nm. The second layer may be deposited on a natural oxide layer according to necessity.
  • Then, as illustrated in FIG. 2E, after coating the entire surface of the amorphous silicon film 18 with resist, a resist mask 19 is formed by performing a lithography process on the amorphous silicon film 18 coated with resist. The resist mask 19 is formed with a pattern in which an aperture (opening) is formed in the area corresponding to the pMOS region whereas the area corresponding to the nMOS region is covered by the resist mask 19. In this state illustrated in FIG. 2E, an amorphous silicon film 18 b is formed as a second layer of the pMOS region by implanting an impurity such as B, BF2, Ge, N, or F to the pMOS region of the amorphous silicon film 18. Because an impurity is introduced into the amorphous silicon film 18 b by the implantation, processing (e.g., dry-etching) of the below-described pMOS gate electrode 20P becomes easier such that a rectangular shaped gate electrode 20P can be formed. However, the steps illustrated with FIG. 2E may be omitted depending on the conditions of the dry-etching process. Further, an amorphous silicon film 18 a may also be formed as a second layer of the nMOS region by also performing ion implantation to the nMOS region of the amorphous silicon film 18.
  • Then, according to necessity, a hard mask (not illustrated) may be formed by depositing silicon oxide or silicon nitride at a low temperature to the extent that the amorphous silicon formed on the semiconductor device 100 is not crystallized (e.g. approximately equal to or below 530° C.) and performing a lithography process on the semiconductor device 100. By performing a dry-etching process using the hard mask, gate electrodes 20 including gate electrode patterns 20N and 20P are formed as illustrated in FIG. 2F.
  • Then, a lithography process is performed so that an aperture (opening) is formed in the area corresponding to the nMOS region whereas the region corresponding to the pMOS region is covered with resist (not illustrated). In this embodiment, although an aperture is formed in the area corresponding to the nMOS region before forming an aperture in the area corresponding to the pMOS region, an aperture may first be formed in the area corresponding to the pMOS region and then an aperture may be formed in the area corresponding to the nMOS region. Then, in FIG. 26, a pocket area 22 is formed by implanting a pocket impurity (e.g., B) of the nMOS region at the area of the opening. The pocket impurity is implanted at a tilt angle of 0°-45°. Alternatively, In or BF2 may be used as the pocket impurity. Further, according to necessity, nitrogen, fluorine, carbon, or germanium (Ge) may also be implanted in addition to the pocket impurity such as B. Then, an extension region 21 is formed by implanting an extension impurity (e.g., As) at the area of the opening. Alternatively, P or Sb may be used as the extension impurity.
  • Then, after removing resist covering the area corresponding to the pMOS region, a lithography process is performed so that an aperture (opening) is formed in the area corresponding to the pMOS region whereas the region corresponding to the nMOS region is covered with resist (not illustrated). Then, a pocket area 22 is formed by implanting a pocket impurity (e.g., P) of the pMOS region at the area of the opening. The pocket impurity is implanted at a tilt angle of 0°-45°. Alternatively, As or Sb may be used as the pocket impurity. Further, according to necessity, nitrogen, fluorine, carbon, or germanium (Ge) may also be implanted in addition to the pocket impurity such as P. Then, an extension region 21 is formed by implanting an extension impurity (e.g., B) at the area of the opening. Alternatively, BF2 may be used as the extension impurity. Then, the resist covering the region corresponding to the nMOS region is removed.
  • Then, as illustrated in FIG. 2H, an insulation film (low temperature insulation film) 24 to be used as a sidewall spacer is deposited at a low temperature to the extent of not crystallizing amorphous silicon. For example, a silicon oxide film is deposited at a temperature equal to or less than 530° C. by using a CVD method. The silicon oxide film is formed with a thickness of approximately 5-20 nm.
  • Then, as illustrated in FIG. 21, a sidewall spacer 25 is formed at the gate electrodes 20 (20N, 20P) by performing anisotropic etching on the low temperature insulation film 24. Although a silicon oxide film is used as the insulation film forming the sidewall spacer 25, other films may be used as the insulation film as long as the insulation film can be deposited at a sufficiently low temperature without crystallizing amorphous silicon. For example, a silicon nitride film may be used as the insulation film. Further, the low temperature insulation film 24 is not limited to a single layer structure but may be formed with plural insulation layers. Further, the thickness of the low temperature insulation film 24 may be adjusted where appropriate.
  • As illustrated in FIG. 2J, an aperture (opening) is formed in the area corresponding to the nMOS region and then another aperture (opening) is formed in the area corresponding to the pMOS region. It is, however, to be noted that the order for forming the openings in the nMOS and pMOS regions may be switched. Then, a source 26 and a drain 26 are formed by implanting impurities via the sidewalls of the sidewall spacers 25 in the nMOS and pMOS regions.
  • Then, as illustrated in FIG. 2K, an activation annealing process is performed on the semiconductor device 100. By performing the activation annealing process, the impurities in the source 26 and the drain 26 can be activated and the amorphous silicon film of the gate electrodes 20 can be poly-crystallized. During the annealing process, the grain size of crystals increases only in the first layer of the gate electrode 20N of the nMOS region. Accordingly, a polysilicon film 32 having large grain size is formed in the first layer of the gate electrode 20N of the nMOS region. The crystal grain size in other amorphous silicon films does not increase as much as the first layer of the gate electrode 20N. Thus, the other amorphous silicon films are poly-crystallized, so that a polysilicon film 33 a is formed in the second layer of the gate electrode 20N of the nMOS region, and polysilicon films 32 b, 33 b are formed in the first and second layers of the gate electrode 20P of the pMOS region.
  • Accordingly, volume expansion occurs only in the first layer 32 located at the vicinity of the bottom surface of the gate electrode 20N of the nMOS region, so that compressive stress in a vertical direction can be selectively applied to an area immediately below the nMOS gate electrode 20N.
  • Second Embodiment
  • Next, the steps of manufacturing a semiconductor device 200 according to a second embodiment of the present invention are described with reference to FIGS. 3A-3C. In the above-described first embodiment, amorphous silicon is poly-crystallized by performing the activation annealing process after forming the source/drain 26. In the second embodiment, another thermal process, separate (independent) from the activation annealing process is performed.
  • The steps performed until the step illustrated in FIG. 2H of the first embodiment are the same as the second embodiment. As illustrated in FIG. 3A, different impurities are implanted into the amorphous silicon films 14 a and 14 b in the pMOS region and the nMOS region. Then, another amorphous silicon film 18 is deposited on the amorphous silicon films 14 a, 14 b. Then, gate electrodes 20 having gate electrode patterns 20N, 20P with double layer structures are formed. Then, as illustrated in FIG. 3B, an insulator film 24 is formed on the entire surface of the semiconductor device 200 by depositing the insulator film 24 at a low temperature.
  • In FIG. 3C, after sidewall spacers 25 are formed, a thermal process is performed on the semiconductor device 200. By performing the thermal process, the amorphous silicon films 14, 18 are poly-crystallized. A given thermal process may be used as long as the poly-crystallization can be achieved. For example, the thermal process may be a furnace annealing process or a RTA (Rapid Thermal the particle diameter of the grains increases in the bottom layer 14 a of the gate electrode pattern 20N of the nMOS region. Accordingly, a polysilicon film 32 having large grain size is formed in the first layer of the gate electrode 20N of the nMOS region.
  • The crystal grain size formed in the amorphous silicon films of the pMOS region does not significantly increase. Accordingly, a polysilicon film 32 b having its volume expansion suppressed is obtained. Further, the thermal process causes the impurities in the bottom surfaces 32, 32 b to diffuse to the layers formed thereabove. As a result, polysilicon films 33 a and 33 b are formed as the upper layers of the gate electrodes 20N, 20P.
  • It is to be noted that, in the second embodiment, this thermal process may be performed at a given stage after the sidewall spacers 25 are formed.
  • Third Embodiment
  • Next, the steps of manufacturing a semiconductor device 300 according to a third embodiment of the present invention are described with reference to FIGS. 4A-4C. In the above-described first embodiment, amorphous silicon is poly-crystallized by performing the activation annealing process. In the third embodiment, amorphous silicon is poly-crystallized by using the heat generated during selective epitaxial growth instead of performing the activation annealing process.
  • The steps performed until the step illustrated in FIG. 2H of the first embodiment are the same as the third embodiment. As illustrated in FIG. 4A, different impurities are implanted into the amorphous silicon films 14 a and 14 b in the pMOS region and the nMOS region. Then, another amorphous silicon film 18 is deposited on the amorphous silicon films 14 a, 14 b. Then, gate electrodes 20 having gate electrode patterns 20N, 20P with double layer structures are formed. Then, as illustrated in FIG. 4B, an insulator film 24 is formed on the entire surface of the semiconductor device 300 by depositing the insulator film 24 at a low temperature.
  • Then, after sidewall spacers 25 are formed, an insulator film 24 a (not shown) is formed on the entire surface of the semiconductor device 300 by depositing the insulator film 24 a at a low temperature. By performing, for example, a lithography process and an etching process on the semiconductor device 300, an aperture (opening) is formed only in the area corresponding to the pMOS region whereas the area corresponding to the nMOS region remains covered by the insulation film 24. Then, areas of the silicon substrate 11 corresponding to the source and the drain of the pMOS region are recessed by performing a dry-etching process. Other than the dry-etching process, recesses may be formed by performing a wet-etching process using, for example, TMAH (tetramethylammonium hydroxide). Then, a selective epitaxial growth is performed on the recessed portions of the substrate 11. In the selective epitaxial growth process, SiGe grows in the recessed portions at a growth temperature of approximately 600° C. As a result, a SiGe strain generating layer 41 is formed. The SiGe strain generating layer 41 applies a compressive stress from both the source side and the drain side to the channel area of the pMOS region in a horizontal direction. Thereby, carrier mobility can be improved.
  • The heat during the SiGe growth causes poly-crystallization of the amorphous silicon in the gate electrode patterns 20N and 20P. During the SiGe growth, the particle size of crystal grains increases only in the bottom layer of the gate electrode 20N of the nMOS region. Accordingly, a polysilicon film 32 having large grain size is formed in the first bottom layer of the gate electrode 20N of the nMOS region. Because volume expansion occurs in the polysilicon film 32 in correspondence with the increase of size (particle diameter) of the grains in the polysilicon film 32, compressive stress in a vertical direction can be selectively applied to an area immediately below the nMOS gate electrode 20N. The compressive stress in the vertical direction contributes to generation of a tensile stress in a horizontal direction in the nMOS channel region.
  • Then, ion implantation is performed on areas corresponding to the source and drain in the nMOS transistor. Then, processes such as active annealing and silicide formation are performed on the semiconductor device 300.
  • Although the third embodiment is described by using an example of a SiGe source and drain (SD), the heat from other epitaxial growth processes may be used.
  • Fourth Embodiment
  • Next, the steps of manufacturing a semiconductor device 400 according to a fourth embodiment of the present invention are described with reference to FIGS. 5A-5C. In the above-described first embodiment, amorphous silicon is poly-crystallized by performing the activation annealing process. In the fourth embodiment, amorphous silicon is poly-crystallized by using the heat generated during the forming of sidewall spacers instead of performing the activation annealing process.
  • The steps performed until the step illustrated in FIG. 21 of the first embodiment are the same as the fourth embodiment. As illustrated in FIG. 5A, different impurities are implanted into the amorphous silicon films 14 a and 14 b in the pMOS region and the nMOS region. Then, another amorphous silicon film 18 is deposited on the amorphous silicon films 14 a, 14 b. Then, gate electrodes 20 having gate electrode patterns 20N, 20P with double layer structures are formed. Then, as illustrated in FIG. 5B, the below-described insulator films 51, 52 are formed on the entire surface of the semiconductor device 400 by depositing the insulation films 51, 52 at a low temperature.
  • In this embodiment, a first insulation film 51 which is to be an offset spacer is deposited at a low temperature to an extent that amorphous silicon does not crystallize. For example, the first insulation film 51 may be formed by depositing a silicon oxide film at a temperature equal to or less than 530° C. by using a CVD method. The silicon oxide film has a thickness of approximately 5 nm-20 nm. Then, a second insulation film 52 is deposited at a temperature more than that amorphous silicon is crystallized. For example, the second insulation film 52 may be formed by depositing a silicon nitride film at a temperature of approximately 550° C. by using a CVD method. The silicon nitride film has a thickness of approximately 20 nm-50 nm. The deposition of the second insulation film 52 increases the particle size (particle diameter) of the grains in the bottom layer of the gate electrode 20N in the nMOS region and causes volume expansion. In addition, the polysilicon in the gate electrode 20 is polycrystallized. Therefore, a polysilicon film 32 containing polysilicon grains having large particle diameter can be obtained in the bottom layer of the nMOS gate electrode 20N. The other amorphous silicon films are poly-crystallized, so that a polysilicon film 33 a is formed in the second layer of the gate electrode 20N of the nMOS region, and polysilicon films 32 b, 33 b are formed in the first and second layers of the gate electrode 20P of the pMOS region.
  • Then, as illustrated in FIG. 5C, sidewall spacers 53 are formed at the sides of the gate electrodes 20N, 20P by anisotropic etching. The sidewall spacers 53 have a double-layer configuration including a first insulation film (e.g., silicon oxide film) 51 and a second insulation film (e.g., silicon nitride film) 52. By forming the sidewall spacers 53, the lattice strain caused by the force applied to the silicon substrate 11 from the volume expansion of the polysilicon film 32 (bottom layer of nMOS gate electrode 20N) can be maintained.
  • Then, according to necessity, an impurity is implanted in an area of a source region and a drain region of the semiconductor substrate 11 via the sidewall spacers 53, to thereby perform, for example, activation annealing or forming of silicide.
  • Although the double-layer configuration of the sidewall spacer 53 in the embodiment illustrated with FIGS. 5A-5C is formed by the silicon oxide film 51 and the silicon nitride film 52, other insulator films may be used as long as the insulator film can be deposited at a sufficiently low temperature enough to avoid crystallization of amorphous silicon as the film 51. Further, the sidewall spacer 53 is not limited to a double-layer configuration having two different kinds of insulator films. For example, the double-layer configuration of the sidewall spacer 53 may be fabricated by depositing the same kind of insulation film but with different deposition temperatures. Further, the thickness of the insulation films of the sidewall spacer 53 may be adjusted where appropriate.
  • In the stage of forming the gate electrode film pattern of a gate electrode of a gate electrode according to the above-described embodiments of the present invention, a layered configuration including two or more amorphous silicon layers is formed in which an impurity is included into a bottom layer of the layered configuration. Then, by performing a thermal process after depositing a sidewall insulation film of a gate electrode, the bottom layer of an nMOS gate electrode is formed as a polysilicon layer containing grains having large particle diameter. In contrast, the upper layer of the nMOS gate electrode and the pMOS gate electrode are formed as polysilicon layers containing grains having a relatively small particle diameter.
  • The volume expansion in the bottom part of the nMOS gate electrode allows force in the vertical direction to be efficiently applied to an n channel region below the nMOS gate electrode. By introducing an impurity to a bottom part of the pMOS gate electrode before processing the gate electrode, depletion of the gate electrode can be prevented.
  • In addition, a Tinv thinner than that for the gate using a single polysilicon layer can be attained.
  • In both the nMOS and pMOS regions, implanted impurity diffuse in the upper layer of the gate electrodes by performing a thermal process thereto. Accordingly, gate depletion can be prevented without affecting the impurity profile of corresponding channel regions. As a result, the driving force of a fine transistor can be improved.
  • All examples and conditional language recited herein are intended for pedagogical purposes to aid the reader in understanding the invention and the concepts contributed by the inventor to furthering the art, and are to be construed as being without limitation to such specifically recited examples and and conditions, nor does the organization of such examples in the specification relate to a showing of the superiority and inferiority of the invention. Although the embodiments of the present invention have been described in detail, it should be understood that the various changes, substitutions, and alterations could be made hereto without departing from the spirit and scope of the invention.

Claims (9)

What what is claimed is:
1. A method of manufacturing a semiconductor device comprising:
forming a first amorphous silicon film on a semiconductor substrate;
implanting an impurity including at least one of P, Ge, and Si to the first amorphous silicon film in a nMOS region of the semiconductor substrate;
forming a second amorphous silicon film on top of the first amorphous silicon film;
forming a gate electrode pattern in each of the first and second amorphous silicon films; and
polycrystalizing the first amorphous silicon film in the nMOS region by performing a thermal process after the forming of the gate electrode pattern.
2. The method of manufacturing a semiconductor device as claimed in claim 1, further comprising:
implanting an impurity including at least one of B, BF2, Ge, N, and F to the first amorphous silicon film in a pMOS region of the semiconductor substrate.
3. The method of manufacturing a semiconductor device as claimed in claim 1, wherein the thermal process includes performing an activation annealing process after forming a source and a drain by implanting an impurity into the nMOS region and the pMOS region of the semiconductor substrate.
4. The method of manufacturing a semiconductor device as claimed in claim 1, further comprising:
performing an activation annealing process after forming a source and a drain by implanting an impurity into the nMOS region and the pMOS region of the semiconductor substrate;
wherein the thermal process and the activation annealing process are performed separately.
5. The method of manufacturing a semiconductor device as claimed in claim 1, wherein the thermal process is performed by using the heat generated when performing selective epitaxial growth.
6. The method of manufacturing a semiconductor device as claimed in claim 1, wherein the thermal process is performed by using the heat generated when forming sidewall spacers at the sides of the gate electrode patterns of the first and second amorphous films.
7. The method of manufacturing a semiconductor device as claimed in claim 1, further comprising:
forming a source and a drain in the pMOS region of the semiconductor substrate after the forming of the gate electrode pattern;
wherein the thermal process is performed by using the heat generated when forming an SiGe layer by performing selective epitaxial growth.
8. The method of manufacturing a semiconductor device as claimed in claim 6, wherein the forming of the sidewall spacers includes
forming a first insulation film covering the gate electrode pattern, and
forming a second insulation film on top of the first insulation film,
wherein the thermal process is performed by using the heat generated when performing the forming of the second insulation film.
9. The method of manufacturing a semiconductor device as claimed in claim 8, wherein the first insulation film is formed at a temperature that does not cause crystallization of amorphous silicon, wherein the second insulation film is formed at a temperature that causes crystallization of the amorphous silicon.
US15/692,752 2007-03-27 2017-08-31 Semiconductor device and method of manufacturing the semiconductor device Abandoned US20170365528A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/692,752 US20170365528A1 (en) 2007-03-27 2017-08-31 Semiconductor device and method of manufacturing the semiconductor device
US16/004,035 US20180294195A1 (en) 2007-03-27 2018-06-08 Semiconductor device and method of manufacturing the semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/JP2007/056458 WO2008117464A1 (en) 2007-03-27 2007-03-27 Semiconductor device, and its manufacturing method
US12/567,084 US9786565B2 (en) 2007-03-27 2009-09-25 Semiconductor device and method of manufacturing the semiconductor device
US15/692,752 US20170365528A1 (en) 2007-03-27 2017-08-31 Semiconductor device and method of manufacturing the semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/567,084 Division US9786565B2 (en) 2007-03-27 2009-09-25 Semiconductor device and method of manufacturing the semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/004,035 Division US20180294195A1 (en) 2007-03-27 2018-06-08 Semiconductor device and method of manufacturing the semiconductor device

Publications (1)

Publication Number Publication Date
US20170365528A1 true US20170365528A1 (en) 2017-12-21

Family

ID=39788207

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/567,084 Expired - Fee Related US9786565B2 (en) 2007-03-27 2009-09-25 Semiconductor device and method of manufacturing the semiconductor device
US15/692,752 Abandoned US20170365528A1 (en) 2007-03-27 2017-08-31 Semiconductor device and method of manufacturing the semiconductor device
US16/004,035 Abandoned US20180294195A1 (en) 2007-03-27 2018-06-08 Semiconductor device and method of manufacturing the semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/567,084 Expired - Fee Related US9786565B2 (en) 2007-03-27 2009-09-25 Semiconductor device and method of manufacturing the semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/004,035 Abandoned US20180294195A1 (en) 2007-03-27 2018-06-08 Semiconductor device and method of manufacturing the semiconductor device

Country Status (3)

Country Link
US (3) US9786565B2 (en)
JP (1) JP5195747B2 (en)
WO (1) WO2008117464A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048750B2 (en) * 2008-03-10 2011-11-01 Texas Instruments Incorporated Method to enhance channel stress in CMOS processes
US8999861B1 (en) * 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
KR101993321B1 (en) * 2013-11-11 2019-06-26 에스케이하이닉스 주식회사 Transistor, method for fabricating the same and electronic device including the same
KR102133490B1 (en) * 2013-11-11 2020-07-13 에스케이하이닉스 주식회사 Transistor, method for fabricating the same and electronic device including the same
WO2016110990A1 (en) * 2015-01-09 2016-07-14 株式会社日立製作所 Power semiconductor element, power module, and power conversion device
KR102618607B1 (en) 2016-09-06 2023-12-26 삼성전자주식회사 Semiconductor device and method for fabricating the same
JP6935596B2 (en) 2017-09-08 2021-09-15 ジェイ・ツー マテリアルズ, エル・エル・シーJ2 Materials, Llc Diamond and diamond heteroepitaxial formation method
US11018229B2 (en) 2018-09-05 2021-05-25 Micron Technology, Inc. Methods of forming semiconductor structures
US10790145B2 (en) 2018-09-05 2020-09-29 Micron Technology, Inc. Methods of forming crystallized materials from amorphous materials
US10707298B2 (en) 2018-09-05 2020-07-07 Micron Technology, Inc. Methods of forming semiconductor structures

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6406952B2 (en) * 1997-07-14 2002-06-18 Agere Systems Guardian Corp. Process for device fabrication
JP2000150882A (en) * 1998-09-04 2000-05-30 Toshiba Corp Mis type semiconductor device and its manufacture
JP2001244436A (en) * 2000-03-01 2001-09-07 Hitachi Ltd Semiconductor integrated circuit device and manufacturing method thereof
JP2002198368A (en) * 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
JP4173658B2 (en) * 2001-11-26 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
TWI252565B (en) * 2002-06-24 2006-04-01 Hitachi Ltd Semiconductor device and manufacturing method thereof
JP2004172389A (en) * 2002-11-20 2004-06-17 Renesas Technology Corp Semiconductor device and method for manufacturing the same
JP2004356431A (en) * 2003-05-29 2004-12-16 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing the same
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
JP2005251801A (en) * 2004-03-01 2005-09-15 Nec Electronics Corp Semiconductor device
US20050253205A1 (en) * 2004-05-17 2005-11-17 Fujitsu Limited Semiconductor device and method for fabricating the same
JP2005340327A (en) * 2004-05-25 2005-12-08 Renesas Technology Corp Semiconductor device and its manufacturing method
JP4375619B2 (en) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4426988B2 (en) * 2005-03-09 2010-03-03 富士通マイクロエレクトロニクス株式会社 Method for manufacturing p-channel MOS transistor
US7462524B1 (en) * 2005-08-16 2008-12-09 Advanced Micro Devices, Inc. Methods for fabricating a stressed MOS device
JP2007157924A (en) * 2005-12-02 2007-06-21 Fujitsu Ltd Semiconductor device and method of manufacturing same
US7538387B2 (en) * 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure

Also Published As

Publication number Publication date
US9786565B2 (en) 2017-10-10
JPWO2008117464A1 (en) 2010-07-08
US20100078729A1 (en) 2010-04-01
WO2008117464A1 (en) 2008-10-02
JP5195747B2 (en) 2013-05-15
US20180294195A1 (en) 2018-10-11

Similar Documents

Publication Publication Date Title
US20180294195A1 (en) Semiconductor device and method of manufacturing the semiconductor device
US7750381B2 (en) Semiconductor device and method of manufacturing semiconductor device
JP4493536B2 (en) Semiconductor device and manufacturing method thereof
US8404546B2 (en) Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US7482615B2 (en) High performance MOSFET comprising stressed phase change material
US7122435B2 (en) Methods, systems and structures for forming improved transistors
CN103165536B (en) The pinch off of gate edge dislocation controls
US20060234455A1 (en) Structures and methods for forming a locally strained transistor
US8927374B2 (en) Semiconductor device and fabrication method thereof
US7550336B2 (en) Method for fabricating an NMOS transistor
KR101868803B1 (en) Method of manufacturing a semiconductor device using stress memorization technique(SMT)
US20110070703A1 (en) Disposable Spacer Integration with Stress Memorization Technique and Silicon-Germanium
WO2013020255A1 (en) Semiconductor device and manufacturing method thereof
KR20070041757A (en) Semiconductor transistor having structural elements of differing materials and method of formation
US8450171B2 (en) Strained semiconductor device and method of making same
US20090065807A1 (en) Semiconductor device and fabrication method for the same
US20140248749A1 (en) Stress memorization technique
US9331174B2 (en) Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
US20090142892A1 (en) Method of fabricating semiconductor device having thin strained relaxation buffer pattern and related device
US20070222035A1 (en) Stress intermedium engineering
JP5034332B2 (en) Manufacturing method of semiconductor device
CN107039277B (en) Stress memorization techniques for transistor devices
US20080070360A1 (en) Method and structure for forming silicide contacts on embedded silicon germanium regions of cmos devices
KR101714613B1 (en) Semiconductor device and manufacturing method thereof
US9224604B2 (en) Device and method for forming sharp extension region with controllable junction depth and lateral overlap

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUJITSU SEMICONDUCTOR LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJITSU MICROELECTONICS LIMITED;REEL/FRAME:043467/0374

Effective date: 20100401

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE