US20170222041A1 - Trench having thick dielectric selectively on bottom portion - Google Patents

Trench having thick dielectric selectively on bottom portion Download PDF

Info

Publication number
US20170222041A1
US20170222041A1 US15/486,888 US201715486888A US2017222041A1 US 20170222041 A1 US20170222041 A1 US 20170222041A1 US 201715486888 A US201715486888 A US 201715486888A US 2017222041 A1 US2017222041 A1 US 2017222041A1
Authority
US
United States
Prior art keywords
trench
dielectric
semiconductor device
semiconductor
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/486,888
Inventor
Hideaki Kawahara
Hong Yang
Christopher Boguslaw Kocon
Yufei Xiong
Yunlong Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US15/486,888 priority Critical patent/US20170222041A1/en
Publication of US20170222041A1 publication Critical patent/US20170222041A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/765Making of isolation regions between components by field effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66727Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • H01L29/945Trench capacitors

Definitions

  • Disclosed embodiments relate to filled dielectrically lined trench structures for semiconductor devices.
  • Some trench structures include a dielectric liner filled with a doped semiconductor or an electrical conductor.
  • the dielectric liner needs to support significant electrical field strengths, for example, when trenches are used for trench field type metal-oxide-semiconductor field-effect transistors (MOSFETs) or trench gate type MOSFETs which both operate at significant operating voltages.
  • MOSFETs metal-oxide-semiconductor field-effect transistors
  • trench gate type MOSFETs which both operate at significant operating voltages.
  • One way to sustain higher breakdown voltages for such trench structures is to increase the thickness the dielectric liner or use a relatively high refractive index liner material.
  • Disclosed embodiments recognize for dielectrically lined trench structures although increasing the thickness the dielectric liner or using a relatively high refractive index liner material raises the operating voltage the trench dielectric can sustain, there is trade-off for some devices.
  • the substrate material e.g., silicon
  • reduced dielectric (e.g. oxide) thickness is preferred for a charge balance improvement but may cause reliability or a high E-field concern especially at the trench bottom corner.
  • Increased dielectric thickness can reduce such kinds of risk, but introduces the difficulty to keep charge balance for an appropriate breakdown voltage.
  • Disclosed trench structures includes both a conventional dielectric trench liner comprising a first dielectric material (e.g., silicon oxide) a second dielectric material (e.g., SiN) at only the bottom of the trench to increase total dielectric thickness only at the bottom of the trench.
  • a conventional dielectric trench liner comprising a first dielectric material (e.g., silicon oxide)
  • a second dielectric material e.g., SiN
  • FIG. 1 is a flow chart that shows steps in an example method for fabricating a semiconductor device including forming trenches having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 2A is a cross section portion of a conventional trench field plate FET.
  • FIG. 2B is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 2C is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion that extends through an epitaxial layer into the underlying substrate, according to an example embodiment.
  • FIG. 2D is a cross section portion of a conventional trench gate FET.
  • FIG. 2E is a cross section portion of a disclosed trench gate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 3A depicts a cross sectional view of an example trench gate MOSFET including a plurality of transistor cells each including a disclosed trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 3B depicts a cross sectional view of an example planar gate trench MOSFET including a plurality of active transistor cells each including a disclosed trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • Example embodiments are described with reference to the drawings, wherein like reference numerals are used to designate similar or equivalent elements. Illustrated ordering of acts or events should not be considered as limiting, as some acts or events may occur in different order and/or concurrently with other acts or events. Furthermore, some illustrated acts or events may not be required to implement a methodology in accordance with this disclosure.
  • FIG. 1 is a flow chart that shows steps in an example method 100 for fabricating a semiconductor device including forming trenches having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • Step 101 comprises etching a semiconductor substrate having a top surface to form a trench having sidewalls and a bottom surface that extends from the top surface into the semiconductor substrate.
  • the substrate can be an epitaxial layer on a bulk semiconductor (e.g., silicon or comprising silicon), but can also be solely a bulk semiconductor.
  • the trench depth is generally from 2 ⁇ m to 50 ⁇ m.
  • Step 102 comprises forming a dielectric liner comprising a first dielectric material on the bottom surface and sidewalls of the trench to line the trench.
  • the dielectric liner can be thermally grown or deposited such as by low pressure chemical vapor deposition (LPCVD).
  • Step 103 comprises depositing a second dielectric layer comprising a second dielectric material to at least partially fill the trench.
  • the trench filling is optionally a complete trench filling.
  • the second dielectric material generally has a k-value ⁇ 5.
  • Silicon nitride is an example a second dielectric material, others examples include SiON, SiC, or other dielectric materials such as HfO 2 , ZrO 2 , Al 2 O 3 and HfSiO 3 .
  • Step 104 comprises partially etching the second dielectric layer to selectively remove the second dielectric layer from an upper portion of the trench while preserving the second dielectric layer on a lower portion of the trench.
  • Wet or dry (e.g., plasma) processing can be used for this etch back process, and there is generally no need for a masking pattern.
  • the length of the upper portion of the trench without the second dielectric layer is generally ⁇ a length of the lower portion of the trench with the second dielectric layer.
  • a typical length ratio of the upper portion without the second dielectric layer to the lower portion with the second dielectric layer is >10:1.
  • Step 105 comprises filling the trench with a fill material which provides an electrical conductivity that is at least that of a semiconductor.
  • a fill material which provides an electrical conductivity that is at least that of a semiconductor.
  • the semiconductor may later in the process be doped.
  • fill materials include polysilicon, and silicides such as tungsten silicide.
  • the fill material is generally deposited and is then planarized to remove overburden fill materials, such as by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • the fabrication process is then completed including implants, masking levels, depositions and diffusions forming gates, sources, drain, interconnects and bond pads, and passivation.
  • FIG. 2A is a cross section portion of a conventional trench field plate FET formed on an epitaxial semiconductor layer (epi layer) 180 on an n+ substrate 196 .
  • the FET shown includes a gate electrode 270 on a gate dielectric 271 that is on a top surface 180 a of the epi layer 180 .
  • a silicide layer 272 is shown on the gate electrode 270 which will generally be present when the gate electrode 270 comprises polysilicon.
  • the surface 180 a of the epi layer 180 over the FET is shown covered with a dielectric film 190 .
  • the trench is shown including a dielectric liner 140 comprising a first dielectric material that is filled by a filler material 170 .
  • a source 250 and a body region 260 are shown formed in the epi layer 180 .
  • a source metal layer 195 is shown contacting the source 250 , the body region 260 , and the filler material 170 .
  • FIG. 2B is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • a second dielectric layer 141 comprising a second dielectric material is shown only on a lower portion of the trench.
  • the source metal layer 195 is again shown contacting the source 250 , the body region 260 , and the filler material 170 .
  • FIG. 2C is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion that extends through the epi layer 180 into the underlying substrate 196 , according to an example embodiment.
  • the source metal layer 195 is again shown contacting the source 250 , the body region 260 , and the filler material 170 .
  • FIG. 2D is a cross section portion of a conventional trench gate FET.
  • the FET shown includes the filler material 170 as its gate electrode and the dielectric liner 140 as its gate dielectric layer.
  • a source 150 and a body region 160 are shown formed in the epi layer 180 .
  • a source metal layer 195 is shown contacting the source 150 and body region 160 .
  • the filler material 170 is contacted by another metal (or polysilicon) pattern through vias cut in the dielectric film 190 shown in FIG. 3A that is over the filler material 170 .
  • FIG. 2E is a cross section portion of a disclosed trench gate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • a second dielectric layer 141 comprising a second dielectric material is shown only on a lower portion of the trench.
  • FIG. 3A depicts a cross sectional view of an example trench gate MOSFET 300 (trench gate MOSFET 300 ) shown as being an n-channel device (NMOS) including a plurality of transistor cells (cells) 110 each including a trench having thick dielectric selectively on its bottom portion, according to an example embodiment.
  • NMOS n-channel device
  • cells transistor cells
  • FIG. 3A depicts a cross sectional view of an example trench gate MOSFET 300 (trench gate MOSFET 300 ) shown as being an n-channel device (NMOS) including a plurality of transistor cells (cells) 110 each including a trench having thick dielectric selectively on its bottom portion, according to an example embodiment.
  • NMOS n-channel device
  • transistor cells transistor cells
  • Trench gate MOSFET 100 is formed on a substrate 196 shown as an n+substrate that provides a drain for the device having an n ⁇ epitaxial semiconductor layer 180 thereon which provides an n ⁇ drain drift region.
  • the n+ substrate 196 /semiconductor layer 180 can comprise silicon; alternatively, the n+ substrate 196 /epitaxial semiconductor layer 180 may comprise other semiconductor materials such as germanium, silicon carbide, gallium nitride, gallium arsenide, etc.
  • a p ⁇ doped body region 160 is formed in the semiconductor layer 180 , where n+ doped source regions 150 are formed at the surface 180 a of the semiconductor layer 180 within the body regions 160 .
  • Conductor filled dielectric lined gate trenches 170 / 140 provide the gate structure for the respective cells 110 .
  • the gate trench walls are lined with a dielectric film 140 (or liner) comprising a first dielectric material that functions as a gate dielectric.
  • the trenches include a thick dielectric selectively on their bottom provided by the second dielectric layer 141 shown.
  • the dielectric film 140 can be silicon dioxide.
  • the dielectric film 140 may comprise other dielectric material such as silicon nitride, or other dielectrics.
  • the dielectric lined trenches are filled with polysilicon or other electrically conductive material such as tungsten to form the gate electrode 170 for the cells 110 .
  • the gate trenches can be etched from the surface 180 a of the epitaxial semiconductor layer 180 .
  • the five depicted gate trenches can be processed concurrently with a pattern step and then an etch step.
  • the trench gate MOSFET 300 in this embodiment can be formed by a process flow for conventional trench MOSFETs such as including ion implantation or dopant diffusion to form the body regions 160 and the source regions 150 .
  • the surface 180 a of the semiconductor layer 180 is shown covered with a dielectric film 190 .
  • the dielectric film 190 can comprise silicon oxide or silicon oxynitride.
  • the dielectric film 190 may comprise other dielectric materials as known in the art of semiconductor device fabrication.
  • the cells 110 also include source/body contact holes 112 which are formed through the top surface 180 a of the semiconductor layer 180 between the gate trenches through the source regions 150 and the body regions 160 .
  • the source/body contact holes 112 are shown in FIG. 3A extending into the semiconductor layer 180 , disclosed embodiments also include the option of having planar source/body contacts.
  • Source metal layer 195 can be more generally any electrically conductive material such as tungsten or doped polysilicon, which in operation is generally grounded.
  • the backside 196 a of the n+ substrate 196 of the trench gate MOSFET 300 in FIG. 3A is shown covered with a separate metal film 197 .
  • This metal film 197 makes a low resistance ohmic contact to the n+ substrate 196 which provides the drain region, which in operation is connected to Vds.
  • metal film 197 may be omitted and the backside 196 a of the n+ substrate 196 may instead be mounted to a die pad of a leadframe.
  • the gate electrodes 170 of the active transistor cells 110 are separately tied together by another metal or doped polycrystalline element (not shown) which is connected to the gate electrode terminal of the device package.
  • trench gate MOSFET 300 is an enhancement device, provided the device is properly biased between gate and source, an inversion channel forms in the mesa region between the source region 150 and the drain drift region 180 ′, adjacent to the dielectric film 140 that lines the trench walls.
  • an appropriate potential difference is established between the source terminal and the drain terminal, electrical current flows vertically through the channel. If the body region is doped more heavily with n-type dopant (PMOS), the current is carried through the channel by holes; if it is doped more heavily with p-type dopant (NMOS) as shown in FIG. 3A , electrons.
  • PMOS n-type dopant
  • NMOS p-type dopant
  • FIG. 3B depicts a simplified cross-section view of an example planar gate trench MOSFET device 350 (planar gate trench MOSFET 350 ) including a plurality of transistor cells (cells) 210 each including a trench having thick dielectric selectively on its bottom portion, according to an example embodiment.
  • the planar gate trench MOSFET 350 includes dielectric lined trenches with a polysilicon filler 240 lined by a dielectric liner 140 comprising a first dielectric material having a thick dielectric selectively on the bottom of the trench provided by the second dielectric layer 141 shown to provide field plates (sometimes referred to as “RESURF trenches) on both sides of the gate stacks for the cells 210 .
  • field plates sometimes referred to as “RESURF trenches
  • the cells 210 are shown having a gate stack comprising a gate electrode 270 on a gate dielectric 271 .
  • gate contacts and metal connections are provided to provide electrical contact to the gate electrodes 270 of the cells 210 .
  • An n+ doped source region 250 is on the top surface 180 a of the semiconductor layer 180 between the gate stacks and the trenches, and the substrate 196 is shown as an n+ substrate which provides a drain for the device having an epi layer 180 thereon that provides a drain drift region 180 ′.
  • a single gate is shown for each of the cells 210 , the respective cells 210 may also have a split dual-gate.
  • the planar gate trench MOSFET 350 in this embodiment can be formed by a process flow for conventional MOSFETs such as including ion implantation or diffusion to form the p-doped body regions 260 and source regions 250 .
  • the surface 180 a includes a dielectric layer 190 thereon.
  • the dielectric film material can be silicon dioxide.
  • the dielectric film 190 may comprise other dielectric material such as silicon nitride or other dielectrics.
  • the source metal layer 195 is shown contacting the polysilicon filler 240 in the dielectric lined trenches as well as the adjacent source 250 and body region 260 .
  • the gate electrodes 270 for the active transistor cells 210 are separately tied together by another metallic or polysilicon element which is generally connected to the gate terminal of the device package.
  • the planar gate trench MOSFET 350 is an enhancement device, provided the device is properly biased, an inversion channel forms in the body region 260 under the gate 270 . Electrical current flows through the channel when an electric field gradient is established between the source and the drain. If the body region is doped more heavily with n-type dopant (PMOS), the current is carried through the channel by holes; if it is doped more heavily with p-type dopant (NMOS), electrons.
  • PMOS n-type dopant
  • NMOS p-type dopant
  • Advantages of disclosed trench structures include enhancing the charge balance for specific ON-resistance (Rsp)-breakdown voltage (BV) trade-off without any degradation of reliability or high E-field concern at the bottom of trench.
  • Rsp ON-resistance
  • BV breakdown voltage
  • the output capacitance (Coss) can be reduced more than 20% without any performance degradation in Rsp, BV, or threshold voltage (Vt).
  • trench gate FETs disclosed trenches reduce the gate to drain charge (Qgd) 50%, and Coss can be reduced 10% while keeping the same range of Rsp, BV and Vt.
  • disclosed trenches increase the integrity between the substrate (e.g., silicon) and fill material (e.g., polysilicon) for trench gate FETs, and improve the operating voltage (Vop) for trench capacitors at the trench bottom where typically reliability is concerned due to a higher electric E-field.
  • substrate e.g., silicon
  • fill material e.g., polysilicon
  • Vop operating voltage
  • disclosed trenches may also be used for isolation trenches, for example for shallow trench isolation (STI) and DEEP trench isolation.
  • Disclosed embodiments can be used to form semiconductor die that may be integrated into a variety of assembly flows to form a variety of different devices and related products.
  • the semiconductor die may include various elements therein and/or layers thereon, including barrier layers, dielectric layers, device structures, active elements and passive elements including source regions, drain regions, bit lines, bases, emitters, collectors, conductive lines, conductive vias, etc.
  • the semiconductor die can be formed from a variety of processes including bipolar, Insulated Gate Bipolar Transistor (IGBT), CMOS, BiCMOS and MEMS.
  • IGBT Insulated Gate Bipolar Transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method of fabricating a semiconductor device includes etching a semiconductor substrate having a top surface to form a trench having sidewalls and a bottom surface that extends from the top surface into the semiconductor substrate. A dielectric liner of a first dielectric material is formed on the bottom surface and sidewalls of the trench to line the trench. A second dielectric layer of a second dielectric material is deposited to at least partially fill the trench. The second dielectric layer is partially etched to selectively remove the second dielectric layer from an upper portion of the trench while preserving the second dielectric layer on a lower portion of the trench. The trench is filled with a fill material which provides an electrical conductivity that is at least that of a semiconductor.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 14/548,029, filed Nov. 19, 2014, the contents of which is herein incorporated by reference in its entirety.
  • FIELD
  • Disclosed embodiments relate to filled dielectrically lined trench structures for semiconductor devices.
  • BACKGROUND
  • Some trench structures include a dielectric liner filled with a doped semiconductor or an electrical conductor. For certain uses, the dielectric liner needs to support significant electrical field strengths, for example, when trenches are used for trench field type metal-oxide-semiconductor field-effect transistors (MOSFETs) or trench gate type MOSFETs which both operate at significant operating voltages. One way to sustain higher breakdown voltages for such trench structures is to increase the thickness the dielectric liner or use a relatively high refractive index liner material.
  • SUMMARY
  • This Summary is provided to introduce a brief selection of disclosed concepts in a simplified form that are further described below in the Detailed Description including the drawings provided. This Summary is not intended to limit the claimed subject matter's scope.
  • Disclosed embodiments recognize for dielectrically lined trench structures although increasing the thickness the dielectric liner or using a relatively high refractive index liner material raises the operating voltage the trench dielectric can sustain, there is trade-off for some devices. For example, for trench gate-type MOSFETs and trench field plate type planar gate MOSFETs there is a tradeoff between breakdown voltage and ON-state resistance attributed to the charge balance between the trench dielectric liner and the substrate material (e.g., silicon). Typically, reduced dielectric (e.g. oxide) thickness is preferred for a charge balance improvement but may cause reliability or a high E-field concern especially at the trench bottom corner. Increased dielectric thickness can reduce such kinds of risk, but introduces the difficulty to keep charge balance for an appropriate breakdown voltage. Disclosed trench structures includes both a conventional dielectric trench liner comprising a first dielectric material (e.g., silicon oxide) a second dielectric material (e.g., SiN) at only the bottom of the trench to increase total dielectric thickness only at the bottom of the trench.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Reference will now be made to the accompanying drawings, which are not necessarily drawn to scale, wherein:
  • FIG. 1 is a flow chart that shows steps in an example method for fabricating a semiconductor device including forming trenches having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 2A is a cross section portion of a conventional trench field plate FET.
  • FIG. 2B is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 2C is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion that extends through an epitaxial layer into the underlying substrate, according to an example embodiment.
  • FIG. 2D is a cross section portion of a conventional trench gate FET.
  • FIG. 2E is a cross section portion of a disclosed trench gate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 3A depicts a cross sectional view of an example trench gate MOSFET including a plurality of transistor cells each including a disclosed trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • FIG. 3B depicts a cross sectional view of an example planar gate trench MOSFET including a plurality of active transistor cells each including a disclosed trench having a thick dielectric selectively on its bottom portion, according to an example embodiment.
  • DETAILED DESCRIPTION
  • Example embodiments are described with reference to the drawings, wherein like reference numerals are used to designate similar or equivalent elements. Illustrated ordering of acts or events should not be considered as limiting, as some acts or events may occur in different order and/or concurrently with other acts or events. Furthermore, some illustrated acts or events may not be required to implement a methodology in accordance with this disclosure.
  • FIG. 1 is a flow chart that shows steps in an example method 100 for fabricating a semiconductor device including forming trenches having a thick dielectric selectively on its bottom portion, according to an example embodiment. Step 101 comprises etching a semiconductor substrate having a top surface to form a trench having sidewalls and a bottom surface that extends from the top surface into the semiconductor substrate. The substrate can be an epitaxial layer on a bulk semiconductor (e.g., silicon or comprising silicon), but can also be solely a bulk semiconductor. The trench depth is generally from 2 μm to 50 μm.
  • Step 102 comprises forming a dielectric liner comprising a first dielectric material on the bottom surface and sidewalls of the trench to line the trench. The dielectric liner can be thermally grown or deposited such as by low pressure chemical vapor deposition (LPCVD).
  • Step 103 comprises depositing a second dielectric layer comprising a second dielectric material to at least partially fill the trench. The trench filling is optionally a complete trench filling. The second dielectric material generally has a k-value≧5. Silicon nitride is an example a second dielectric material, others examples include SiON, SiC, or other dielectric materials such as HfO2, ZrO2, Al2O3 and HfSiO3.
  • Step 104 comprises partially etching the second dielectric layer to selectively remove the second dielectric layer from an upper portion of the trench while preserving the second dielectric layer on a lower portion of the trench. Wet or dry (e.g., plasma) processing can be used for this etch back process, and there is generally no need for a masking pattern. The length of the upper portion of the trench without the second dielectric layer is generally ≧a length of the lower portion of the trench with the second dielectric layer. A typical length ratio of the upper portion without the second dielectric layer to the lower portion with the second dielectric layer is >10:1.
  • Step 105 comprises filling the trench with a fill material which provides an electrical conductivity that is at least that of a semiconductor. In the case of a semiconductor fill material, the semiconductor may later in the process be doped. Examples of fill materials include polysilicon, and silicides such as tungsten silicide. The fill material is generally deposited and is then planarized to remove overburden fill materials, such as by chemical mechanical polishing (CMP). The fabrication process is then completed including implants, masking levels, depositions and diffusions forming gates, sources, drain, interconnects and bond pads, and passivation.
  • FIG. 2A is a cross section portion of a conventional trench field plate FET formed on an epitaxial semiconductor layer (epi layer) 180 on an n+ substrate 196. The FET shown includes a gate electrode 270 on a gate dielectric 271 that is on a top surface 180 a of the epi layer 180. A silicide layer 272 is shown on the gate electrode 270 which will generally be present when the gate electrode 270 comprises polysilicon. The surface 180 a of the epi layer 180 over the FET is shown covered with a dielectric film 190. The trench is shown including a dielectric liner 140 comprising a first dielectric material that is filled by a filler material 170. A source 250 and a body region 260 are shown formed in the epi layer 180. A source metal layer 195 is shown contacting the source 250, the body region 260, and the filler material 170.
  • FIG. 2B is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment. A second dielectric layer 141 comprising a second dielectric material is shown only on a lower portion of the trench. The source metal layer 195 is again shown contacting the source 250, the body region 260, and the filler material 170.
  • FIG. 2C is a cross section portion of a disclosed trench field plate FET including a trench having a thick dielectric selectively on its bottom portion that extends through the epi layer 180 into the underlying substrate 196, according to an example embodiment. The source metal layer 195 is again shown contacting the source 250, the body region 260, and the filler material 170.
  • FIG. 2D is a cross section portion of a conventional trench gate FET. The FET shown includes the filler material 170 as its gate electrode and the dielectric liner 140 as its gate dielectric layer. A source 150 and a body region 160 are shown formed in the epi layer 180. A source metal layer 195 is shown contacting the source 150 and body region 160. Although not shown in FIG. 2D or FIG. 2E described below, the filler material 170 is contacted by another metal (or polysilicon) pattern through vias cut in the dielectric film 190 shown in FIG. 3A that is over the filler material 170.
  • FIG. 2E is a cross section portion of a disclosed trench gate FET including a trench having a thick dielectric selectively on its bottom portion, according to an example embodiment. A second dielectric layer 141 comprising a second dielectric material is shown only on a lower portion of the trench.
  • FIG. 3A depicts a cross sectional view of an example trench gate MOSFET 300 (trench gate MOSFET 300) shown as being an n-channel device (NMOS) including a plurality of transistor cells (cells) 110 each including a trench having thick dielectric selectively on its bottom portion, according to an example embodiment. Although generally described herein as being NMOS devices, disclosed MOSFET devices may also be PMOS. Moreover, in a practical device, there may be hundreds or thousands of cells hooked electrically in parallel. The trench portion of any of the cells 110 shown may be used for a trench isolation structure, field plate, or as a trench capacitor for a given semiconductor device. Although not shown in FIG. 3A, gate contacts and metal connections to the gate contacts are provided to provide electrical contact to the gate electrodes 170 of the cells 110.
  • Trench gate MOSFET 100 is formed on a substrate 196 shown as an n+substrate that provides a drain for the device having an n− epitaxial semiconductor layer 180 thereon which provides an n− drain drift region. The n+ substrate 196/semiconductor layer 180 can comprise silicon; alternatively, the n+ substrate 196/epitaxial semiconductor layer 180 may comprise other semiconductor materials such as germanium, silicon carbide, gallium nitride, gallium arsenide, etc. A p− doped body region 160 is formed in the semiconductor layer 180, where n+ doped source regions 150 are formed at the surface 180 a of the semiconductor layer 180 within the body regions 160.
  • Conductor filled dielectric lined gate trenches 170/140 provide the gate structure for the respective cells 110. The gate trench walls are lined with a dielectric film 140 (or liner) comprising a first dielectric material that functions as a gate dielectric. The trenches include a thick dielectric selectively on their bottom provided by the second dielectric layer 141 shown. In this embodiment, the dielectric film 140 can be silicon dioxide. Alternatively, the dielectric film 140 may comprise other dielectric material such as silicon nitride, or other dielectrics. The dielectric lined trenches are filled with polysilicon or other electrically conductive material such as tungsten to form the gate electrode 170 for the cells 110.
  • The gate trenches can be etched from the surface 180 a of the epitaxial semiconductor layer 180. In this embodiment, the five depicted gate trenches can be processed concurrently with a pattern step and then an etch step. The trench gate MOSFET 300 in this embodiment can be formed by a process flow for conventional trench MOSFETs such as including ion implantation or dopant diffusion to form the body regions 160 and the source regions 150.
  • The surface 180 a of the semiconductor layer 180 is shown covered with a dielectric film 190. In this embodiment, the dielectric film 190 can comprise silicon oxide or silicon oxynitride. Alternatively, the dielectric film 190 may comprise other dielectric materials as known in the art of semiconductor device fabrication.
  • As shown in FIG. 3A, the cells 110 also include source/body contact holes 112 which are formed through the top surface 180 a of the semiconductor layer 180 between the gate trenches through the source regions 150 and the body regions 160. Although the source/body contact holes 112 are shown in FIG. 3A extending into the semiconductor layer 180, disclosed embodiments also include the option of having planar source/body contacts.
  • Once filled with an electrical conductor shown as source metal layer 195 the contact holes 112 short the source regions 150 to the body regions 160 of each of the cells 110. Source metal layer 195 can be more generally any electrically conductive material such as tungsten or doped polysilicon, which in operation is generally grounded.
  • The backside 196 a of the n+ substrate 196 of the trench gate MOSFET 300 in FIG. 3A is shown covered with a separate metal film 197. This metal film 197 makes a low resistance ohmic contact to the n+ substrate 196 which provides the drain region, which in operation is connected to Vds. Alternatively, metal film 197 may be omitted and the backside 196 a of the n+ substrate 196 may instead be mounted to a die pad of a leadframe. The gate electrodes 170 of the active transistor cells 110 are separately tied together by another metal or doped polycrystalline element (not shown) which is connected to the gate electrode terminal of the device package.
  • When trench gate MOSFET 300 is an enhancement device, provided the device is properly biased between gate and source, an inversion channel forms in the mesa region between the source region 150 and the drain drift region 180′, adjacent to the dielectric film 140 that lines the trench walls. When an appropriate potential difference is established between the source terminal and the drain terminal, electrical current flows vertically through the channel. If the body region is doped more heavily with n-type dopant (PMOS), the current is carried through the channel by holes; if it is doped more heavily with p-type dopant (NMOS) as shown in FIG. 3A, electrons.
  • FIG. 3B depicts a simplified cross-section view of an example planar gate trench MOSFET device 350 (planar gate trench MOSFET 350) including a plurality of transistor cells (cells) 210 each including a trench having thick dielectric selectively on its bottom portion, according to an example embodiment. The planar gate trench MOSFET 350 includes dielectric lined trenches with a polysilicon filler 240 lined by a dielectric liner 140 comprising a first dielectric material having a thick dielectric selectively on the bottom of the trench provided by the second dielectric layer 141 shown to provide field plates (sometimes referred to as “RESURF trenches) on both sides of the gate stacks for the cells 210. The cells 210 are shown having a gate stack comprising a gate electrode 270 on a gate dielectric 271. Although not shown in FIG. 3B, gate contacts and metal connections are provided to provide electrical contact to the gate electrodes 270 of the cells 210. An n+ doped source region 250 is on the top surface 180 a of the semiconductor layer 180 between the gate stacks and the trenches, and the substrate 196 is shown as an n+ substrate which provides a drain for the device having an epi layer 180 thereon that provides a drain drift region 180′. Although a single gate is shown for each of the cells 210, the respective cells 210 may also have a split dual-gate. The planar gate trench MOSFET 350 in this embodiment can be formed by a process flow for conventional MOSFETs such as including ion implantation or diffusion to form the p-doped body regions 260 and source regions 250.
  • The surface 180 a includes a dielectric layer 190 thereon. In this embodiment, the dielectric film material can be silicon dioxide. Alternatively, the dielectric film 190 may comprise other dielectric material such as silicon nitride or other dielectrics. The source metal layer 195 is shown contacting the polysilicon filler 240 in the dielectric lined trenches as well as the adjacent source 250 and body region 260.
  • The gate electrodes 270 for the active transistor cells 210 are separately tied together by another metallic or polysilicon element which is generally connected to the gate terminal of the device package. When the planar gate trench MOSFET 350 is an enhancement device, provided the device is properly biased, an inversion channel forms in the body region 260 under the gate 270. Electrical current flows through the channel when an electric field gradient is established between the source and the drain. If the body region is doped more heavily with n-type dopant (PMOS), the current is carried through the channel by holes; if it is doped more heavily with p-type dopant (NMOS), electrons.
  • Advantages of disclosed trench structures include enhancing the charge balance for specific ON-resistance (Rsp)-breakdown voltage (BV) trade-off without any degradation of reliability or high E-field concern at the bottom of trench. As an example, for trench field MOSFETs, the output capacitance (Coss) can be reduced more than 20% without any performance degradation in Rsp, BV, or threshold voltage (Vt). For trench gate FETs, disclosed trenches reduce the gate to drain charge (Qgd) 50%, and Coss can be reduced 10% while keeping the same range of Rsp, BV and Vt.
  • Moreover, disclosed trenches increase the integrity between the substrate (e.g., silicon) and fill material (e.g., polysilicon) for trench gate FETs, and improve the operating voltage (Vop) for trench capacitors at the trench bottom where typically reliability is concerned due to a higher electric E-field. As noted above, disclosed trenches may also be used for isolation trenches, for example for shallow trench isolation (STI) and DEEP trench isolation.
  • Disclosed embodiments can be used to form semiconductor die that may be integrated into a variety of assembly flows to form a variety of different devices and related products. The semiconductor die may include various elements therein and/or layers thereon, including barrier layers, dielectric layers, device structures, active elements and passive elements including source regions, drain regions, bit lines, bases, emitters, collectors, conductive lines, conductive vias, etc. Moreover, the semiconductor die can be formed from a variety of processes including bipolar, Insulated Gate Bipolar Transistor (IGBT), CMOS, BiCMOS and MEMS.
  • Those skilled in the art to which this disclosure relates will appreciate that many other embodiments and variations of embodiments are possible within the scope of the claimed invention, and further additions, deletions, substitutions and modifications may be made to the described embodiments without departing from the scope of this disclosure.

Claims (12)

1. A semiconductor device, comprising:
a semiconductor substrate having at least one trench including sidewalls and a bottom surface that extends from a top surface of said semiconductor substrate into said semiconductor substrate;
a dielectric liner comprising a first dielectric material on said bottom surface and said sidewalls of said trench to line said trench;
a second dielectric layer comprising a second dielectric material on a lower portion of said trench but not on a top portion of said trench, and
a fill material filling said trench that provides an electrical conductivity that is at least that of a semiconductor.
2. The semiconductor device of claim 1, wherein said trench is a trench isolation structure for said semiconductor device.
3. The semiconductor device of claim 1, wherein said trench is a trench capacitor for said semiconductor device.
4. The semiconductor device of claim 1, wherein said semiconductor substrate is a first doping type having a body region of a second doping type formed in said semiconductor substrate, and wherein said trench is associated with a metal-oxide-semiconductor field-effect transistor (MOSFET) transistor that utilizes said body region.
5. The semiconductor device of claim 4, wherein said MOSFET transistor is a planar MOSFET transistor and said trench is a field plate associated with said planar MOSFET transistor.
6. The semiconductor device of claim 4, wherein said MOSFET transistor is a trench gate MOSFET transistor and wherein said trench is a trench gate for said trench gate MOSFET transistor.
7. The semiconductor device of claim 1, wherein said fill material comprises polysilicon.
8. The semiconductor device of claim 1, wherein said semiconductor substrate comprises an epitaxial layer on a bulk substrate material, and wherein said trench extends into said bulk substrate material.
9. The semiconductor device of claim 1, wherein said second dielectric material has a k-value≧5.
10. A semiconductor device, comprising:
a semiconductor substrate having at least one trench including sidewalls and a bottom surface;
a dielectric liner comprising a first dielectric material on said bottom surface and said sidewalls of said trench to line said trench;
a silicon nitride layer on the dielectric liner in a lower portion of the trench but not on a top portion of the trench, and
a conductive material filling said trench, wherein the conductive material is directly on the silicon nitride layer at the bottom of the trench and wherein the dielectric liner separates the conductive material from the sidewalls of the trench including the top portion of the trench.
11. The semiconductor device of claim 10, wherein said semiconductor substrate comprises an epitaxial layer on a bulk substrate material, and wherein said trench extends into said bulk substrate material.
12. The semiconductor device of claim 10, wherein said conductive material comprises polysilicon.
US15/486,888 2014-11-19 2017-04-13 Trench having thick dielectric selectively on bottom portion Abandoned US20170222041A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/486,888 US20170222041A1 (en) 2014-11-19 2017-04-13 Trench having thick dielectric selectively on bottom portion

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/548,029 US9653342B2 (en) 2014-11-19 2014-11-19 Trench having thick dielectric selectively on bottom portion
US15/486,888 US20170222041A1 (en) 2014-11-19 2017-04-13 Trench having thick dielectric selectively on bottom portion

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/548,029 Division US9653342B2 (en) 2014-11-19 2014-11-19 Trench having thick dielectric selectively on bottom portion

Publications (1)

Publication Number Publication Date
US20170222041A1 true US20170222041A1 (en) 2017-08-03

Family

ID=55962346

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/548,029 Active US9653342B2 (en) 2014-11-19 2014-11-19 Trench having thick dielectric selectively on bottom portion
US15/486,888 Abandoned US20170222041A1 (en) 2014-11-19 2017-04-13 Trench having thick dielectric selectively on bottom portion

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/548,029 Active US9653342B2 (en) 2014-11-19 2014-11-19 Trench having thick dielectric selectively on bottom portion

Country Status (2)

Country Link
US (2) US9653342B2 (en)
CN (1) CN105609409B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019147556A1 (en) * 2018-01-23 2019-08-01 Texas Instruments Incorporated Integrated trench capacitor formed in an epitaxial layer
US10770584B2 (en) 2018-11-09 2020-09-08 Texas Instruments Incorporated Drain extended transistor with trench gate
US11177253B2 (en) 2018-11-09 2021-11-16 Texas Instruments Incorporated Transistor with integrated capacitor

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102293245B1 (en) * 2015-03-27 2021-08-26 에스케이하이닉스 주식회사 Semiconductor device and method for manufacturing the same
US10978548B2 (en) * 2016-11-10 2021-04-13 Texas Instruments Incorporated Integrated capacitor with sidewall having reduced roughness
DE102017105548A1 (en) * 2017-03-15 2018-09-20 Infineon Technologies Dresden Gmbh SEMICONDUCTOR DEVICE CONTAINING A GATE CONTACT STRUCTURE
US10950178B2 (en) 2018-02-20 2021-03-16 Emagin Corporation Microdisplay with reduced pixel size and method of forming same
DE102018122979B4 (en) 2018-06-13 2023-11-02 Infineon Technologies Ag METHOD FOR FORMING A SILICON INSULATOR LAYER AND SEMICONDUCTOR DEVICE THEREFOR
JP2020105590A (en) * 2018-12-27 2020-07-09 キオクシア株式会社 Substrate processing apparatus and substrate processing method
CN109891585B (en) * 2019-01-30 2020-03-27 长江存储科技有限责任公司 Capacitor structure with vertical diffusion plate
CN114496802B (en) * 2022-04-14 2022-06-24 北京智芯微电子科技有限公司 Manufacturing method of LDMOSFET (laser diode Metal oxide semiconductor field Effect transistor) device and LDMOSFET device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070210363A1 (en) * 2006-03-07 2007-09-13 International Business Machines Corporation Vertical SOI transistor memory cell and method of forming the same
US20080166846A1 (en) * 2005-04-26 2008-07-10 Fairchild Semiconductor Corporation Method of Forming Trench Gate FETs with Reduced Gate to Drain Charge
US20130328160A1 (en) * 2012-06-06 2013-12-12 Elpida Memory, Inc. Semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US8497549B2 (en) * 2007-08-21 2013-07-30 Fairchild Semiconductor Corporation Method and structure for shielded gate trench FET
US9318370B2 (en) 2011-08-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. High-k dielectric liners in shallow trench isolations
US8642425B2 (en) * 2012-05-29 2014-02-04 Semiconductor Components Industries, Llc Method of making an insulated gate semiconductor device and structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080166846A1 (en) * 2005-04-26 2008-07-10 Fairchild Semiconductor Corporation Method of Forming Trench Gate FETs with Reduced Gate to Drain Charge
US20070210363A1 (en) * 2006-03-07 2007-09-13 International Business Machines Corporation Vertical SOI transistor memory cell and method of forming the same
US20130328160A1 (en) * 2012-06-06 2013-12-12 Elpida Memory, Inc. Semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019147556A1 (en) * 2018-01-23 2019-08-01 Texas Instruments Incorporated Integrated trench capacitor formed in an epitaxial layer
US10586844B2 (en) 2018-01-23 2020-03-10 Texas Instruments Incorporated Integrated trench capacitor formed in an epitaxial layer
US10720490B2 (en) 2018-01-23 2020-07-21 Texas Instruments Incorporated Integrated trench capacitor formed in an epitaxial layer
CN111630652A (en) * 2018-01-23 2020-09-04 德克萨斯仪器股份有限公司 Integrated trench capacitor formed in epitaxial layer
JP2021518052A (en) * 2018-01-23 2021-07-29 テキサス インスツルメンツ インコーポレイテッド Integrated trench capacitor formed in the epitaxial layer
US10770584B2 (en) 2018-11-09 2020-09-08 Texas Instruments Incorporated Drain extended transistor with trench gate
US11177253B2 (en) 2018-11-09 2021-11-16 Texas Instruments Incorporated Transistor with integrated capacitor
US11621347B2 (en) 2018-11-09 2023-04-04 Texas Instruments Incorporated Drain extended transistor with trench gate

Also Published As

Publication number Publication date
CN105609409A (en) 2016-05-25
US9653342B2 (en) 2017-05-16
US20160141204A1 (en) 2016-05-19
CN105609409B (en) 2020-06-02

Similar Documents

Publication Publication Date Title
US9653342B2 (en) Trench having thick dielectric selectively on bottom portion
US9941365B2 (en) Method for forming a stress-reduced field-effect semiconductor device
US9881995B2 (en) MOSFET having dual-gate cells with an integrated channel diode
US10840372B2 (en) SOI power LDMOS device
US20070032029A1 (en) Lateral trench power MOSFET with reduced gate-to-drain capacitance
US11289596B2 (en) Split gate power device and its method of fabrication
US8159024B2 (en) High voltage (>100V) lateral trench power MOSFET with low specific-on-resistance
US9230851B2 (en) Reduction of polysilicon residue in a trench for polysilicon trench filling processes
US11502164B2 (en) Method of manufacturing semiconductor integrated circuit
KR20190056341A (en) Dual gate dielectric transistor
US20210234023A1 (en) Lateral trench transistor device
WO2013120344A1 (en) Tunnel field-effect transistor and manufacturing method therefor
KR102088181B1 (en) A semiconductor transistor and method for forming the semiconductor transistor
US9000520B2 (en) Semiconductor device with an insulating structure for insulating an electrode from a semiconductor body
US10651271B2 (en) Charge compensation semiconductor devices
CN109980010B (en) Method for manufacturing semiconductor device and integrated semiconductor device
CN109980009B (en) Method for manufacturing semiconductor device and integrated semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION