US20170154900A1 - Integrated tensile strained silicon nfet and compressive strained silicon-germanium pfet implemented in finfet technology - Google Patents
Integrated tensile strained silicon nfet and compressive strained silicon-germanium pfet implemented in finfet technology Download PDFInfo
- Publication number
- US20170154900A1 US20170154900A1 US15/432,492 US201715432492A US2017154900A1 US 20170154900 A1 US20170154900 A1 US 20170154900A1 US 201715432492 A US201715432492 A US 201715432492A US 2017154900 A1 US2017154900 A1 US 2017154900A1
- Authority
- US
- United States
- Prior art keywords
- area
- strained silicon
- semiconductor fins
- silicon
- fins
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 50
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 50
- 239000010703 silicon Substances 0.000 title claims abstract description 50
- 229910000577 Silicon-germanium Inorganic materials 0.000 title claims abstract description 36
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 title claims abstract description 34
- 239000004065 semiconductor Substances 0.000 claims abstract description 83
- 239000000463 material Substances 0.000 claims abstract description 58
- 239000000758 substrate Substances 0.000 claims abstract description 43
- 229910052732 germanium Inorganic materials 0.000 claims abstract description 6
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims abstract description 6
- 229910052751 metal Inorganic materials 0.000 claims description 29
- 239000002184 metal Substances 0.000 claims description 29
- 239000012212 insulator Substances 0.000 claims description 5
- 238000000034 method Methods 0.000 description 40
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 24
- 229910052814 silicon oxide Inorganic materials 0.000 description 19
- 229910052581 Si3N4 Inorganic materials 0.000 description 18
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 18
- 125000006850 spacer group Chemical group 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 13
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 12
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 12
- 229920005591 polysilicon Polymers 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 9
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 6
- 238000005498 polishing Methods 0.000 description 6
- 239000002210 silicon-based material Substances 0.000 description 6
- 238000000151 deposition Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 4
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 238000000280 densification Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 description 1
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910021339 platinum silicide Inorganic materials 0.000 description 1
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
- H01L27/1211—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02592—Microstructure amorphous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02598—Microstructure monocrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02664—Aftertreatments
- H01L21/02694—Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
- H01L21/845—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1054—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7843—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7849—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Definitions
- the present invention relates to integrated circuits and, in particular, to a field effect transistor (FET) device fabricated using a fin of semiconductor material wherein NFET devices utilize tensile strained silicon fin material and PFET devices utilize compressive strained silicon-germanium fin material.
- FET field effect transistor
- tensile strained silicon (Si) material provides for increased electron mobility and improved performance with respect to n-channel metal oxide semiconductor (MOS) field effect transistor (FET) devices.
- MOS metal oxide semiconductor
- FET field effect transistor
- CMOS complementary metal oxide semiconductor
- tensile strained silicon material is detrimental to the operation of p-channel MOSFET devices which instead prefer compressive strained silicon-germanium (SiGe) material to boost hole mobility and improve performance.
- SiGe compressive strained silicon-germanium
- the prior art teaches the formation of integrated circuits which utilize FinFET type field effect transistors.
- the FinFET transistor comprises a channel region which is oriented to conduct an electrical current parallel to the surface of the substrate.
- the channel region is provided in an elongated section of semiconductor material referred to as a “fin.”
- the source and drain regions of the transistor are formed in the elongated section on either side of the channel region.
- a gate is placed to straddle over and on both opposed sides of the elongated section at the location of the channel region to provide control over the conductive state of the transistor.
- This FinFET design is well suited for manufacturing a multi-channel transistor in which multiple elongated sections are formed in parallel to define neighboring channel regions which are separated from each other by an intermediate gate portion of the transistor gate spanning with a perpendicular orientation over the multiple elongated sections.
- CMOS circuits with FinFET devices for the elongated section of semiconductor material (i.e., the fin) of the n-channel MOSFET devices to be made of tensile strained silicon material and for the elongated section of semiconductor material (i.e., the fin) of the p-channel MOSFET devices to be made of compressive strained silicon-germanium (SiGe) material. It has proven difficult, however, to obtain relaxation of the tensile strained silicon material on a substrate in order to support the formation of compressive strained silicon-germanium material. In other words, provision of both tensile strained silicon material and compressive strained silicon-germanium material on a substrate for supporting fins of CMOS circuits is challenging.
- tensile strained silicon semiconductor fins are provided in a first area of the substrate for use in producing finFET transistors of a first conductivity type, while compressive strained silicon-germanium semiconductor fins are provided in a second area of the substrate for use in producing finFET transistors of a second conductivity type.
- an integrated circuit comprises: a substrate including a first area and a second area; a plurality of tensile strained silicon semiconductor fins in the first area of the substrate; a plurality of compressive strained silicon-germanium semiconductor fins in the second area of the substrate; a first metal gate extending over the plurality of tensile strained silicon semiconductor fins in the first area; and a second metal gate extending over the plurality of compressive strained silicon-germanium semiconductor fins in the second area; wherein said plurality of compressive strained silicon-germanium semiconductor fins comprise tensile strained silicon semiconductor material that has been relaxed and into which germanium has been driven.
- an integrated circuit comprises: a substrate including a first area and a second area; a first plurality of semiconductor fins in the first area of the substrate; a second plurality of semiconductor fins in the second area of the substrate; wherein the first and second pluralities of semiconductor fins are formed from a layer of silicon semiconductor material that is tensile strained and patterned to define the first and second pluralities of semiconductor fins; and wherein the silicon semiconductor material of the second plurality of semiconductor fins has relaxed tensile strain in comparison to the silicon semiconductor material of the first plurality of semiconductor fins and further includes germanium which is not present in the first plurality of semiconductor fins; a first metal gate extending over the first plurality of semiconductor fins in the first area; and a second metal gate extending over the second plurality of semiconductor fins in the second area.
- an integrated circuit comprises: a substrate including a first area and a second area; a plurality of tensile strained silicon semiconductor fins in the first area of the substrate; a plurality of compressive strained silicon-germanium semiconductor fins in the second area of the substrate; a first metal gate extending over the plurality of tensile strained silicon semiconductor fins in the first area; and a second metal gate extending over the plurality of compressive strained silicon-germanium semiconductor fins in the second area.
- FIGS. 1-21B illustrate process steps in the formation of CMOS FinFET devices.
- FIGS. 1-21B illustrate the process steps in the formation of CMOS FinFET devices. It will be understood that the drawings do not necessarily show features drawn to scale.
- FIG. 1 shows a silicon on insulator (SOI) semiconductor substrate 10 comprising a semiconductor substrate 12 , an insulating layer 14 and a tensile strained silicon semiconductor layer 16 in a stack of a wafer.
- SOI silicon on insulator
- Such a substrate is commonly referred to in the art by the acronym sSOI wherein the lower case “s” refers to the term “strained.”
- the tensile strained silicon semiconductor layer 16 may be doped in accordance with the application, or alternatively may be un-doped in which case the sSOI substrate 10 is of the “fully-depleted” type.
- the tensile strained semiconductor layer 16 may, for example, have a thickness of 30-50 nm.
- the insulating layer 14 is commonly referred to in the art as a buried oxide (BOX) layer.
- the substrate 10 includes an area 18 which is reserved for the formation of first polarity (for example, n-channel) devices (NFET) and an area 20 which is reserved for the formation of second, opposite, polarity (for example, p-channel) devices (PFET).
- first polarity for example, n-channel
- PFET p-channel
- a hard mask 30 comprising a layer of silicon nitride (SiN) 34 is then deposited on the semiconductor layer 16 .
- the silicon nitride layer 34 may, for example, be deposited using a chemical vapor deposition (CVD) process with a thickness of, for example, approximately 20 nm. The result is shown in FIG. 2 .
- CVD chemical vapor deposition
- a lithographic process as known in the art is then used to define a plurality of fins 50 from the tensile strained silicon semiconductor layer 16 .
- the hard mask 30 is patterned to leave mask material 36 at the desired locations of the fins 50 .
- An etching operation such as an anisotropic dry etch, is then performed through the mask to open apertures 52 in the layer 16 on each side of each fin 50 .
- the etch defining the fins 50 extends to a depth which reaches the insulating layer 14 .
- Each fin 50 is accordingly comprised of a tensile strained silicon semiconductor fin region 16 ′ and the mask material 36 .
- the fins 50 may have a width of 6-12 nm and a pitch of 25-30 nm (with a spacing between adjacent fins of 17-22 nm). The result of the etching process for fin formation is shown in FIG. 3 .
- a conformal deposit of a layer 60 of silicon oxide (SiO 2 ) is then made using an atomic layer deposition technique.
- the layer 60 may have a thickness of approximately 3 nm. See, FIG. 4 .
- a directional etch, such as a reactive ion etch (RIE), is then performed to define an oxide sidewall spacer 62 on each side of each fin 50 . The result is shown in FIG. 5 .
- RIE reactive ion etch
- a conformal deposit of a layer 70 of silicon nitride (SiN) is then made using an atomic layer deposition technique.
- the layer 70 may have a thickness of approximately 3 nm. See, FIG. 6 .
- a directional etch, such as a reactive ion etch (ME), is then performed to define a nitride sidewall spacer 72 on each side of each fin 50 . The result is shown in FIG. 7 .
- ME reactive ion etch
- a conformal deposit of a layer 80 of silicon oxide (SiO 2 ) is then made using an atomic layer deposition technique.
- the layer 80 may have a thickness of approximately 10 nm. See, FIG. 8 .
- the wafer is subjected to an anneal (for example, at a temperature of 1050° C. for 30 seconds) in order to effectuate a densification of the deposited oxide sidewall spacers 62 and nitride sidewall spacers 72 . Densification in this case advantageously hardens the silicon oxide material so to make that material more difficult to remove or recess using conventional etch processes such as HF, COR or hot phosphoric acid.
- the area 18 reserved for the formation of n-channel devices (NFET) is then blocked off with a lithographic masking process and the area 20 reserved for the formation of p-channel devices (PFET) is opened (reference 82 ).
- This opening of the area 20 includes the removal of the layer 80 and the nitride sidewall spacers 72 . Any resist present from the lithographic process to block off area 18 is then removed. The result is shown in FIG. 9 .
- an optional conformal deposit of a layer of silicon oxide (SiO 2 ) can be made at least with respect to the opened area 20 so as to cover and protect the mask material 36 for each fin 50 .
- This layer is not explicitly shown in FIG. 9 .
- a deposition of tensile strained silicon nitride is made to fill the area 20 .
- the deposit of silicon nitride material can be tuned to provide either tensile or compressive stress by properly selecting the deposition parameters (temperature, pressure, etc.).
- a chemical-mechanical polishing (CMP) operation is then performed to planarize the tensile strained silicon nitride deposit at the top of the layer 80 of silicon oxide present in the area 18 .
- CMP chemical-mechanical polishing
- the result is a tensile strained silicon nitride block 90 covering the fins 50 in the area 20 as shown in FIG. 10 .
- the tensile strain may range from 500 MPa to 1.5 GPa.
- the layer 80 of silicon oxide in the area 18 is then removed using a BHF/HF etch. The result is shown in FIG. 11 . It will be noted that as a result of the removal of layer 80 in the area 18 , the tensile strained silicon nitride block 90 is fully cut-off from contact with the fins 50 in area 18 (i.e., the block 90 is not directly contacting the fins 50 or the sidewall spacers on the fins 50 in the area 18 ).
- the substrate wafer is then subjected to a high temperature anneal (for example, at a temperature of 1200° C. for 2 minutes) so as to relax the strain in the area 20 .
- a high temperature anneal for example, at a temperature of 1200° C. for 2 minutes
- This relaxation occurs due to the applied temperature and the close proximity of the tensile strained silicon nitride block 90 to the fins 50 in area 20 (i.e., the separation between materials is only by the thinned thickness of the sidewall spacers 62 ).
- the tensile strained silicon semiconductor fin region 16 ′ of each fin 50 in area 20 is converted to a relaxed silicon semiconductor fin region 116 .
- the region 16 ′ may have a strain of 1-1.5 GPa, while the region 116 after relaxation may have a strain of about 100 MPa.
- the result is shown in FIG. 12 . It will be noted that the tensile strained silicon semiconductor fin region 16 ′ of each fin 50 in area 18 is not relaxed (or to the extent relaxation occurs, such relaxation is minimal (for example, it will retain greater than 80% of its original strain) because there is no direct contact of the tensile strained silicon nitride block 90 to the fins 50 in area 18 .
- a deposition of silicon oxide (SiO 2 ) is made to fill the area 18 .
- This deposition is made using a flowable oxide process.
- a chemical-mechanical polishing (CMP) operation is then performed to planarize the silicon oxide deposit at the top of the tensile strained silicon nitride block 90 present in the area 20 .
- CMP chemical-mechanical polishing
- the tensile strained silicon nitride block 90 is then removed from covering the fins 50 in area 20 .
- This removal is accomplished, for example, using a hot phosphorus etch that is selective to silicon oxide.
- An HF or COR etch process is then performed to remove silicon oxide. This process will remove all of the sidewall spacers 62 and mask material 36 from the fins 50 in area 20 , thus leaving the relaxed silicon semiconductor fin regions 116 , as well as remove all, or substantially all, of the silicon oxide block 92 covering the fins 50 in the area 18 .
- the result is shown in FIG. 14 .
- the mask material 36 , sidewall spacers 72 and sidewall spacers 62 covering the fins 50 in the area 18 remain in place to protect the fins 50 in area 18 during the next processing operations performed on the fins 50 in area 20 .
- an epitaxial growth process is performed to grow an epitaxial silicon-germanium region 120 on the relaxed silicon semiconductor fin regions 116 as shown in FIG. 15A .
- a non-selective epitaxy process is used to deposit an amorphous silicon-germanium layer 122 to cover the relaxed silicon semiconductor fin regions 116 as shown in FIG. 15B .
- the amorphous layer 122 will also cover the fins 50 in the area 18 , it will be noted that the mask material 36 , sidewall spacers 72 and sidewall spacers 62 remain in place to cover the fins 50 .
- a condensation process is then performed to drive germanium from the region 120 or layer 122 into the relaxed silicon semiconductor fin regions 116 and produce compressively strained silicon germanium fin regions 216 .
- the condensation may, for example, comprise an oxidation process using a 900° C. oxidation followed by a 1000° C. N 2 anneal.
- the silicon oxide and/or germanium oxide produced from the condensation process are then removed. The result is shown in FIG. 16 .
- the mask material 36 , sidewall spacers 72 and sidewall spacers 62 for the fins 50 in the area 18 are removed.
- the result is shown in FIG. 17 with the area 18 including tensile strained silicon semiconductor fin regions 16 ′ and the area 20 including compressive strained silicon germanium fin regions 216 .
- the compressive strained silicon germanium fin regions 216 may first be protected by a thin layer of silicon oxide (approximately 5 nm), with the silicon oxide layer lithographically processed and removed from area 18 .
- the hot phosphoric acid wash is then used to remove the silicon nitride mask and spacer.
- the hydrofluoric acid wash is then used to remove silicon dioxide spacer and protection layer.
- a sacrificial polysilicon material 240 is deposited using a conventional chemical vapor deposition (CVD) process to cover the tensile strained silicon semiconductor fin regions 16 ′ and the compressive strained silicon germanium fin regions 216 .
- the polysilicon material 240 may, in an alternative implementation, instead comprise amorphous silicon.
- a conformal oxide (not explicitly shown) may be formed on the exposed surfaces of the fin regions 16 ′ and 216 prior to deposition of the polysilicon material 240 .
- the polysilicon material (with the oxide) is associated with the formation of structures commonly referred to as “dummy gate” structures.
- the polysilicon material of the dummy gate structures will be subsequently removed later in the fabrication process and replaced with a metal gate stack defining the actual operating gate electrode for the transistor devices (this process referred to in the art as a “replacement metal gate (RMG)” process).
- RMG replacement metal gate
- the deposit of the polysilicon material 240 will have a height in excess of the height of the fin regions 16 ′ and 216 so that the fins will be completely covered.
- the material 240 may have a thickness, for example, of 60-100 nm.
- the top surface of the polysilicon material 240 deposit is planarized using conventional chemical-mechanical polishing (CMP) techniques to provide a planar top surface.
- CMP chemical-mechanical polishing
- a hard mask layer 242 with a thickness of 20-40 nm is deposited on the planar top surface of the polysilicon material 240 using a chemical vapor deposition (CVD) process.
- the layer 242 is lithographically patterned in a manner well known to those skilled in the art to leave mask material 244 at desired locations for the dummy gate structures.
- a reactive ion etch (ME) is then performed to open apertures 246 in the polysilicon material on either side of the dummy gate 248 .
- the structure of the dummy gate 248 may be considered to straddle over each of the fin regions 16 ′ and 216 , or over a plurality of adjacent fin regions, at a channel region (see, FIG. 18A ).
- a silicon nitride material is then conformally deposited, for example, using an atomic layer deposition (ALD) technique as known in the art, and subsequently etched preferentially on the horizontal surfaces to leave sidewall spacers 250 on the side walls of the polysilicon dummy gates 248 (see, FIGS. 18B and 18C ).
- ALD atomic layer deposition
- the dummy gate structure accordingly comprises a patterned polysilicon (or amorphous silicon) dummy gate 248 , an overlying silicon nitride cap (formed by the mask material 244 ) and sidewall spacers 250 .
- dummy gate structures may also be formed at the ends of each of the fin regions 16 ′ and 216 in accordance with the known technique of gate tuck-under.
- an epitaxial growth 270 of a silicon-based semiconductor material is made.
- the epitaxial growth 270 extends above the top surface of the fins to regions adjacent the sidewall spacers 250 on either side of the dummy gate structures.
- the silicon-based epitaxial growth 270 may be in situ doped as needed for a given application.
- raised source and drain regions 272 and 274 are formed on either side of the dummy gate structures. The result is shown in FIGS. 19A-19B .
- the epitaxial growth 70 may comprise, for example: silicon or silicon-carbide doped with phosphorous or arsenic to a doping concentration of 1 ⁇ 10 20 to 5 ⁇ 10 20 at/cm 3 for the fin regions 16 ′ in the NFET area 18 .
- the epitaxial growth 70 may comprise, for example: silicon-germanium doped with boron to a doping concentration of 1 ⁇ 10 20 to 5 ⁇ 10 20 at/cm 3 for the fin regions 216 in the PFET area 20 .
- Appropriate lithographic masking processes as known in the art are used to separately open the areas 18 and 20 to accommodate selective epitaxial growth in each region.
- a silicon dioxide material 280 is deposited to cover the substrate.
- the material 280 may be further processed using conventional chemical-mechanical polishing (CMP) techniques to provide a planar top surface that stops at the top of each dummy gate structure.
- CMP chemical-mechanical polishing
- the dummy gates 248 are removed.
- the removed dummy gates 248 are then replaced with a metal gate structure 290 .
- the metal gate structure may comprise a high-K dielectric liner (forming the gate dielectric for the transistor) deposited using an atomic layer deposition (ALD) process with a thickness of 1-2 nm, a work function metal deposited using a chemical vapor deposition process and a contact metal fill deposited using a chemical vapor deposition process.
- ALD atomic layer deposition
- An insulating cap 292 covers the metal gate structure 290 . The result is shown in FIGS. 21A-21B .
- MO gate structure 290 Further processing well known to those skilled in the art is then performed to produce the metal contacts to the gate (metal gate structure 290 ), source region 272 and drain region 274 .
- additional silicon dioxide material may be deposited to complete the formation of a pre-metallization dielectric (PMD) level for the integrated circuit.
- PMD pre-metallization dielectric
- CMP chemical-mechanical polishing
- a hard mask layer for example an organic planarization layer (OPL) is then deposited on the planar top surface of the PMD layer using a coating process.
- OPL is then lithographically patterned in a manner well known to those skilled in the art to form openings at desired locations for making electrical contact to the gate, source region and drain region.
- a reactive ion etch (ME) is then performed to open and extend apertures completely through the pre-metallization dielectric (PMD) to expose a top surface of the gate metal and the epitaxial growth of the source and drain regions.
- the OPL is then removed.
- the apertures are then filled with metal material(s) to define a contact made to each of the gate, source region and drain region of the transistor.
- CMP chemical-mechanical polishing
- the metal materials defining the contacts may, for example, comprise tungsten deposited using a chemical vapor deposition process.
- the fabrication process is compatible with the formation of a silicide at the bottom of the source and drain contacts.
- the techniques for salicidation are well known to those skilled in the art.
- the silicide may, for example, comprise a typical nickel platinum silicide or alternatively a silicide arising from the use of a titanium nitride liner for the contact.
- FEOL front end of line
- BEOL back end of line
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
A tensile strained silicon layer is patterned to form a first group of fins in a first substrate area and a second group of fins in a second substrate area. The second group of fins is covered with a tensile strained material, and an anneal is performed to relax the tensile strained silicon semiconductor material in the second group of fins and produce relaxed silicon semiconductor fins in the second area. The first group of fins is covered with a mask, and silicon-germanium material is provided on the relaxed silicon semiconductor fins. Germanium from the silicon germanium material is then driven into the relaxed silicon semiconductor fins to produce compressive strained silicon-germanium semiconductor fins in the second substrate area (from which p-channel finFET devices are formed). The mask is removed to reveal tensile strained silicon semiconductor fins in the first substrate area (from which n-channel finFET devices are formed).
Description
- This application is a divisional of U.S. patent application Ser. No. 14/705,291 filed May 6, 2015, the disclosure of which is hereby incorporated by reference.
- The present invention relates to integrated circuits and, in particular, to a field effect transistor (FET) device fabricated using a fin of semiconductor material wherein NFET devices utilize tensile strained silicon fin material and PFET devices utilize compressive strained silicon-germanium fin material.
- It is recognized by those skilled in the art that tensile strained silicon (Si) material provides for increased electron mobility and improved performance with respect to n-channel metal oxide semiconductor (MOS) field effect transistor (FET) devices. However, many integrated circuit designs require the use of p-channel MOSFET devices as well. Circuits of this type are commonly referred to as complementary metal oxide semiconductor (CMOS) circuits. Unfortunately, tensile strained silicon material is detrimental to the operation of p-channel MOSFET devices which instead prefer compressive strained silicon-germanium (SiGe) material to boost hole mobility and improve performance. The integration of tensile strained silicon material and compressive strained silicon-germanium material on a common substrate in support of the fabrication of CMOS circuits has proven to be a challenge.
- The prior art teaches the formation of integrated circuits which utilize FinFET type field effect transistors. The FinFET transistor comprises a channel region which is oriented to conduct an electrical current parallel to the surface of the substrate. The channel region is provided in an elongated section of semiconductor material referred to as a “fin.” The source and drain regions of the transistor are formed in the elongated section on either side of the channel region. A gate is placed to straddle over and on both opposed sides of the elongated section at the location of the channel region to provide control over the conductive state of the transistor. This FinFET design is well suited for manufacturing a multi-channel transistor in which multiple elongated sections are formed in parallel to define neighboring channel regions which are separated from each other by an intermediate gate portion of the transistor gate spanning with a perpendicular orientation over the multiple elongated sections.
- It is preferred for the fabrication of CMOS circuits with FinFET devices for the elongated section of semiconductor material (i.e., the fin) of the n-channel MOSFET devices to be made of tensile strained silicon material and for the elongated section of semiconductor material (i.e., the fin) of the p-channel MOSFET devices to be made of compressive strained silicon-germanium (SiGe) material. It has proven difficult, however, to obtain relaxation of the tensile strained silicon material on a substrate in order to support the formation of compressive strained silicon-germanium material. In other words, provision of both tensile strained silicon material and compressive strained silicon-germanium material on a substrate for supporting fins of CMOS circuits is challenging.
- A need accordingly exists in the art for a method of manufacture which can integrate both tensile strained silicon material and compressive strained silicon-germanium material for the formation of CMOS FinFET devices.
- In an embodiment, tensile strained silicon semiconductor fins are provided in a first area of the substrate for use in producing finFET transistors of a first conductivity type, while compressive strained silicon-germanium semiconductor fins are provided in a second area of the substrate for use in producing finFET transistors of a second conductivity type.
- In an embodiment, an integrated circuit comprises: a substrate including a first area and a second area; a plurality of tensile strained silicon semiconductor fins in the first area of the substrate; a plurality of compressive strained silicon-germanium semiconductor fins in the second area of the substrate; a first metal gate extending over the plurality of tensile strained silicon semiconductor fins in the first area; and a second metal gate extending over the plurality of compressive strained silicon-germanium semiconductor fins in the second area; wherein said plurality of compressive strained silicon-germanium semiconductor fins comprise tensile strained silicon semiconductor material that has been relaxed and into which germanium has been driven.
- In an embodiment, an integrated circuit comprises: a substrate including a first area and a second area; a first plurality of semiconductor fins in the first area of the substrate; a second plurality of semiconductor fins in the second area of the substrate; wherein the first and second pluralities of semiconductor fins are formed from a layer of silicon semiconductor material that is tensile strained and patterned to define the first and second pluralities of semiconductor fins; and wherein the silicon semiconductor material of the second plurality of semiconductor fins has relaxed tensile strain in comparison to the silicon semiconductor material of the first plurality of semiconductor fins and further includes germanium which is not present in the first plurality of semiconductor fins; a first metal gate extending over the first plurality of semiconductor fins in the first area; and a second metal gate extending over the second plurality of semiconductor fins in the second area.
- In an embodiment, an integrated circuit comprises: a substrate including a first area and a second area; a plurality of tensile strained silicon semiconductor fins in the first area of the substrate; a plurality of compressive strained silicon-germanium semiconductor fins in the second area of the substrate; a first metal gate extending over the plurality of tensile strained silicon semiconductor fins in the first area; and a second metal gate extending over the plurality of compressive strained silicon-germanium semiconductor fins in the second area.
- For a better understanding of the embodiments, reference will now be made by way of example only to the accompanying figures in which:
-
FIGS. 1-21B illustrate process steps in the formation of CMOS FinFET devices. - Reference is now made to
FIGS. 1-21B which illustrate the process steps in the formation of CMOS FinFET devices. It will be understood that the drawings do not necessarily show features drawn to scale. -
FIG. 1 shows a silicon on insulator (SOI)semiconductor substrate 10 comprising asemiconductor substrate 12, aninsulating layer 14 and a tensile strainedsilicon semiconductor layer 16 in a stack of a wafer. Such a substrate is commonly referred to in the art by the acronym sSOI wherein the lower case “s” refers to the term “strained.” The tensile strainedsilicon semiconductor layer 16 may be doped in accordance with the application, or alternatively may be un-doped in which case thesSOI substrate 10 is of the “fully-depleted” type. The tensile strainedsemiconductor layer 16 may, for example, have a thickness of 30-50 nm. Theinsulating layer 14 is commonly referred to in the art as a buried oxide (BOX) layer. Thesubstrate 10 includes anarea 18 which is reserved for the formation of first polarity (for example, n-channel) devices (NFET) and anarea 20 which is reserved for the formation of second, opposite, polarity (for example, p-channel) devices (PFET). - A
hard mask 30 comprising a layer of silicon nitride (SiN) 34 is then deposited on thesemiconductor layer 16. Thesilicon nitride layer 34 may, for example, be deposited using a chemical vapor deposition (CVD) process with a thickness of, for example, approximately 20 nm. The result is shown inFIG. 2 . - A lithographic process as known in the art is then used to define a plurality of
fins 50 from the tensile strainedsilicon semiconductor layer 16. Thehard mask 30 is patterned to leavemask material 36 at the desired locations of thefins 50. An etching operation, such as an anisotropic dry etch, is then performed through the mask to openapertures 52 in thelayer 16 on each side of eachfin 50. In a preferred embodiment with the sSOI substrate, for example, the etch defining thefins 50 extends to a depth which reaches theinsulating layer 14. Eachfin 50 is accordingly comprised of a tensile strained siliconsemiconductor fin region 16′ and themask material 36. Thefins 50 may have a width of 6-12 nm and a pitch of 25-30 nm (with a spacing between adjacent fins of 17-22 nm). The result of the etching process for fin formation is shown inFIG. 3 . - A conformal deposit of a
layer 60 of silicon oxide (SiO2) is then made using an atomic layer deposition technique. Thelayer 60 may have a thickness of approximately 3 nm. See,FIG. 4 . A directional etch, such as a reactive ion etch (RIE), is then performed to define anoxide sidewall spacer 62 on each side of eachfin 50. The result is shown inFIG. 5 . - A conformal deposit of a
layer 70 of silicon nitride (SiN) is then made using an atomic layer deposition technique. Thelayer 70 may have a thickness of approximately 3 nm. See,FIG. 6 . A directional etch, such as a reactive ion etch (ME), is then performed to define anitride sidewall spacer 72 on each side of eachfin 50. The result is shown inFIG. 7 . - A conformal deposit of a
layer 80 of silicon oxide (SiO2) is then made using an atomic layer deposition technique. Thelayer 80 may have a thickness of approximately 10 nm. See,FIG. 8 . Following the deposit oflayer 80, the wafer is subjected to an anneal (for example, at a temperature of 1050° C. for 30 seconds) in order to effectuate a densification of the depositedoxide sidewall spacers 62 andnitride sidewall spacers 72. Densification in this case advantageously hardens the silicon oxide material so to make that material more difficult to remove or recess using conventional etch processes such as HF, COR or hot phosphoric acid. - The
area 18 reserved for the formation of n-channel devices (NFET) is then blocked off with a lithographic masking process and thearea 20 reserved for the formation of p-channel devices (PFET) is opened (reference 82). This opening of thearea 20 includes the removal of thelayer 80 and thenitride sidewall spacers 72. Any resist present from the lithographic process to block offarea 18 is then removed. The result is shown inFIG. 9 . - It will be noted that an optional conformal deposit of a layer of silicon oxide (SiO2) can be made at least with respect to the opened
area 20 so as to cover and protect themask material 36 for eachfin 50. This layer is not explicitly shown inFIG. 9 . - Next, a deposition of tensile strained silicon nitride (SiN) is made to fill the
area 20. The deposit of silicon nitride material, as known in the art, can be tuned to provide either tensile or compressive stress by properly selecting the deposition parameters (temperature, pressure, etc.). A chemical-mechanical polishing (CMP) operation is then performed to planarize the tensile strained silicon nitride deposit at the top of thelayer 80 of silicon oxide present in thearea 18. The result is a tensile strainedsilicon nitride block 90 covering thefins 50 in thearea 20 as shown inFIG. 10 . For example, the tensile strain may range from 500 MPa to 1.5 GPa. - The
layer 80 of silicon oxide in thearea 18 is then removed using a BHF/HF etch. The result is shown inFIG. 11 . It will be noted that as a result of the removal oflayer 80 in thearea 18, the tensile strainedsilicon nitride block 90 is fully cut-off from contact with thefins 50 in area 18 (i.e., theblock 90 is not directly contacting thefins 50 or the sidewall spacers on thefins 50 in the area 18). - The substrate wafer is then subjected to a high temperature anneal (for example, at a temperature of 1200° C. for 2 minutes) so as to relax the strain in the
area 20. This relaxation occurs due to the applied temperature and the close proximity of the tensile strainedsilicon nitride block 90 to thefins 50 in area 20 (i.e., the separation between materials is only by the thinned thickness of the sidewall spacers 62). As a result, the tensile strained siliconsemiconductor fin region 16′ of eachfin 50 inarea 20 is converted to a relaxed siliconsemiconductor fin region 116. Depending on initial strain, theregion 16′ may have a strain of 1-1.5 GPa, while theregion 116 after relaxation may have a strain of about 100 MPa. The result is shown inFIG. 12 . It will be noted that the tensile strained siliconsemiconductor fin region 16′ of eachfin 50 inarea 18 is not relaxed (or to the extent relaxation occurs, such relaxation is minimal (for example, it will retain greater than 80% of its original strain) because there is no direct contact of the tensile strainedsilicon nitride block 90 to thefins 50 inarea 18. - Next, a deposition of silicon oxide (SiO2) is made to fill the
area 18. This deposition is made using a flowable oxide process. A chemical-mechanical polishing (CMP) operation is then performed to planarize the silicon oxide deposit at the top of the tensile strainedsilicon nitride block 90 present in thearea 20. The result is asilicon oxide block 92 covering thefins 50 in thearea 18 as shown inFIG. 13 . - The tensile strained
silicon nitride block 90 is then removed from covering thefins 50 inarea 20. This removal is accomplished, for example, using a hot phosphorus etch that is selective to silicon oxide. An HF or COR etch process is then performed to remove silicon oxide. This process will remove all of thesidewall spacers 62 andmask material 36 from thefins 50 inarea 20, thus leaving the relaxed siliconsemiconductor fin regions 116, as well as remove all, or substantially all, of thesilicon oxide block 92 covering thefins 50 in thearea 18. The result is shown inFIG. 14 . It will be noted, however, that themask material 36,sidewall spacers 72 andsidewall spacers 62 covering thefins 50 in thearea 18 remain in place to protect thefins 50 inarea 18 during the next processing operations performed on thefins 50 inarea 20. - Two options are provided at this point with respect to the provision of silicon-germanium material in the
region 20. In a first option, an epitaxial growth process is performed to grow an epitaxial silicon-germanium region 120 on the relaxed siliconsemiconductor fin regions 116 as shown inFIG. 15A . In a second option, a non-selective epitaxy process is used to deposit an amorphous silicon-germanium layer 122 to cover the relaxed siliconsemiconductor fin regions 116 as shown inFIG. 15B . Although theamorphous layer 122 will also cover thefins 50 in thearea 18, it will be noted that themask material 36,sidewall spacers 72 andsidewall spacers 62 remain in place to cover thefins 50. A condensation process is then performed to drive germanium from theregion 120 orlayer 122 into the relaxed siliconsemiconductor fin regions 116 and produce compressively strained silicongermanium fin regions 216. The condensation may, for example, comprise an oxidation process using a 900° C. oxidation followed by a 1000° C. N2 anneal. The silicon oxide and/or germanium oxide produced from the condensation process are then removed. The result is shown inFIG. 16 . - Using a sequence of hot phosphoric acid, hydrofluoric acid and hot phosphoric acid washes, the
mask material 36,sidewall spacers 72 andsidewall spacers 62 for thefins 50 in thearea 18 are removed. The result is shown inFIG. 17 with thearea 18 including tensile strained siliconsemiconductor fin regions 16′ and thearea 20 including compressive strained silicongermanium fin regions 216. It will be noted that if a concern exists with respect to consumption of the silicon germanium material of the compressive strained silicongermanium fin regions 216 during the sequence of hot phosphoric acid, hydrofluoric acid and hot phosphoric acid washes, the compressive strained silicongermanium fin regions 216 may first be protected by a thin layer of silicon oxide (approximately 5 nm), with the silicon oxide layer lithographically processed and removed fromarea 18. The hot phosphoric acid wash is then used to remove the silicon nitride mask and spacer. The hydrofluoric acid wash is then used to remove silicon dioxide spacer and protection layer. - A
sacrificial polysilicon material 240 is deposited using a conventional chemical vapor deposition (CVD) process to cover the tensile strained siliconsemiconductor fin regions 16′ and the compressive strained silicongermanium fin regions 216. Thepolysilicon material 240 may, in an alternative implementation, instead comprise amorphous silicon. A conformal oxide (not explicitly shown) may be formed on the exposed surfaces of thefin regions 16′ and 216 prior to deposition of thepolysilicon material 240. As understood by those skilled in the art, the polysilicon material (with the oxide) is associated with the formation of structures commonly referred to as “dummy gate” structures. The polysilicon material of the dummy gate structures will be subsequently removed later in the fabrication process and replaced with a metal gate stack defining the actual operating gate electrode for the transistor devices (this process referred to in the art as a “replacement metal gate (RMG)” process). Thus, there is no need to dope thepolysilicon material 240. The deposit of thepolysilicon material 240 will have a height in excess of the height of thefin regions 16′ and 216 so that the fins will be completely covered. Thematerial 240 may have a thickness, for example, of 60-100 nm. The top surface of thepolysilicon material 240 deposit is planarized using conventional chemical-mechanical polishing (CMP) techniques to provide a planar top surface. - A
hard mask layer 242 with a thickness of 20-40 nm is deposited on the planar top surface of thepolysilicon material 240 using a chemical vapor deposition (CVD) process. Thelayer 242 is lithographically patterned in a manner well known to those skilled in the art to leavemask material 244 at desired locations for the dummy gate structures. A reactive ion etch (ME) is then performed to openapertures 246 in the polysilicon material on either side of thedummy gate 248. The structure of thedummy gate 248 may be considered to straddle over each of thefin regions 16′ and 216, or over a plurality of adjacent fin regions, at a channel region (see,FIG. 18A ). - A silicon nitride material is then conformally deposited, for example, using an atomic layer deposition (ALD) technique as known in the art, and subsequently etched preferentially on the horizontal surfaces to leave
sidewall spacers 250 on the side walls of the polysilicon dummy gates 248 (see,FIGS. 18B and 18C ). - The dummy gate structure accordingly comprises a patterned polysilicon (or amorphous silicon)
dummy gate 248, an overlying silicon nitride cap (formed by the mask material 244) andsidewall spacers 250. Although not specifically shown inFIGS. 18B and 18C , dummy gate structures may also be formed at the ends of each of thefin regions 16′ and 216 in accordance with the known technique of gate tuck-under. - Using an epitaxial process tool and starting from the exposed surfaces of the
fin regions 16′ and 216, anepitaxial growth 270 of a silicon-based semiconductor material is made. Theepitaxial growth 270 extends above the top surface of the fins to regions adjacent thesidewall spacers 250 on either side of the dummy gate structures. The silicon-basedepitaxial growth 270 may be in situ doped as needed for a given application. As a result of theepitaxial growth 270, raised source and drainregions FIGS. 19A-19B . Theepitaxial growth 70 may comprise, for example: silicon or silicon-carbide doped with phosphorous or arsenic to a doping concentration of 1×1020 to 5×1020 at/cm3 for thefin regions 16′ in theNFET area 18. Theepitaxial growth 70 may comprise, for example: silicon-germanium doped with boron to a doping concentration of 1×1020 to 5×1020 at/cm3 for thefin regions 216 in thePFET area 20. Appropriate lithographic masking processes as known in the art are used to separately open theareas - Reference is now made to
FIGS. 20A-20B . Asilicon dioxide material 280 is deposited to cover the substrate. Thematerial 280 may be further processed using conventional chemical-mechanical polishing (CMP) techniques to provide a planar top surface that stops at the top of each dummy gate structure. - Using a selective removal process (such as an ammonium hydroxide etch), the
dummy gates 248 are removed. The removeddummy gates 248 are then replaced with ametal gate structure 290. In an example, the metal gate structure may comprise a high-K dielectric liner (forming the gate dielectric for the transistor) deposited using an atomic layer deposition (ALD) process with a thickness of 1-2 nm, a work function metal deposited using a chemical vapor deposition process and a contact metal fill deposited using a chemical vapor deposition process. Aninsulating cap 292 covers themetal gate structure 290. The result is shown inFIGS. 21A-21B . - Further processing well known to those skilled in the art is then performed to produce the metal contacts to the gate (metal gate structure 290),
source region 272 and drainregion 274. For example, additional silicon dioxide material may be deposited to complete the formation of a pre-metallization dielectric (PMD) level for the integrated circuit. This material may be further processed using conventional chemical-mechanical polishing (CMP) techniques to provide a planar top surface. A hard mask layer, for example an organic planarization layer (OPL), is then deposited on the planar top surface of the PMD layer using a coating process. The OPL is then lithographically patterned in a manner well known to those skilled in the art to form openings at desired locations for making electrical contact to the gate, source region and drain region. A reactive ion etch (ME) is then performed to open and extend apertures completely through the pre-metallization dielectric (PMD) to expose a top surface of the gate metal and the epitaxial growth of the source and drain regions. The OPL is then removed. The apertures are then filled with metal material(s) to define a contact made to each of the gate, source region and drain region of the transistor. As necessary, a conventional chemical-mechanical polishing (CMP) technique may be used to remove excess metal so as to provide a planar top surface. The metal materials defining the contacts may, for example, comprise tungsten deposited using a chemical vapor deposition process. The fabrication process is compatible with the formation of a silicide at the bottom of the source and drain contacts. The techniques for salicidation are well known to those skilled in the art. The silicide may, for example, comprise a typical nickel platinum silicide or alternatively a silicide arising from the use of a titanium nitride liner for the contact. - At this point, front end of line (FEOL) fabrication of the integrated circuit is complete. Further back end of line (BEOL) processing to fabricate metallizations and interconnects may then be performed as well known to those skilled in the art.
- The foregoing description has provided by way of exemplary and non-limiting examples a full and informative description of the exemplary embodiment of this invention. However, various modifications and adaptations may become apparent to those skilled in the relevant arts in view of the foregoing description, when read in conjunction with the accompanying drawings and the appended claims. However, all such and similar modifications of the teachings of this invention will still fall within the scope of this invention as defined in the appended claims.
Claims (12)
1. An integrated circuit, comprising:
a substrate including a first area and a second area;
a plurality of tensile strained silicon semiconductor fins in the first area of the substrate;
a plurality of compressive strained silicon-germanium semiconductor fins in the second area of the substrate, wherein said plurality of compressive strained silicon-germanium semiconductor fins comprise tensile strained silicon semiconductor material modified to relax tensile strain and include germanium;
a first metal gate extending over the plurality of tensile strained silicon semiconductor fins in the first area; and
a second metal gate extending over the plurality of compressive strained silicon-germanium semiconductor fins in the second area.
2. The integrated circuit of claim 1 , wherein the substrate is a silicon on insulator type substrate.
3. The integrated circuit of claim 1 , wherein:
the tensile strained silicon semiconductor fins and first metal gate form finFET transistors of a first conductivity type; and
the compressive strained silicon-germanium semiconductor fins and second metal gate form finFET transistors of a second conductivity type.
4. The integrated circuit of claim 3 , wherein the first conductivity type is n-type and the second conductivity type is p-type.
5. An integrated circuit, comprising:
a substrate including a first area and a second area;
a first plurality of semiconductor fins in the first area of the substrate;
a second plurality of semiconductor fins in the second area of the substrate;
wherein the first and second pluralities of semiconductor fins are formed from a layer of silicon semiconductor material that is tensile strained and patterned to define the first and second pluralities of semiconductor fins; and
wherein the silicon semiconductor material of the second plurality of semiconductor fins has relaxed tensile strain in comparison to the silicon semiconductor material of the first plurality of semiconductor fins and further includes germanium which is not present in the first plurality of semiconductor fins;
a first metal gate extending over the first plurality of semiconductor fins in the first area; and
a second metal gate extending over the second plurality of semiconductor fins in the second area.
6. The integrated circuit of claim 5 , wherein the substrate is a silicon on insulator type substrate and the layer of silicon semiconductor material is a top layer of said silicon on insulator type substrate.
7. The integrated circuit of claim 5 , wherein:
the first plurality of semiconductor fins and first metal gate form finFET transistors of a first conductivity type; and
the second plurality of semiconductor fins and second metal gate form finFET transistors of a second conductivity type.
8. The integrated circuit of claim 7 , wherein the first conductivity type is n-type and the second conductivity type is p-type.
9. An integrated circuit, comprising:
a substrate including a first area and a second area;
a plurality of tensile strained silicon semiconductor fins in the first area of the substrate;
a plurality of compressive strained silicon-germanium semiconductor fins in the second area of the substrate;
a first metal gate extending over the plurality of tensile strained silicon semiconductor fins in the first area; and
a second metal gate extending over the plurality of compressive strained silicon-germanium semiconductor fins in the second area.
10. The integrated circuit of claim 9 , wherein the substrate is a silicon on insulator type substrate.
11. The integrated circuit of claim 9 , wherein:
the tensile strained silicon semiconductor fins and first metal gate form finFET transistors of a first conductivity type; and
the compressive strained silicon-germanium semiconductor fins and second metal gate form finFET transistors of a second conductivity type.
12. The integrated circuit of claim 11 , wherein the first conductivity type is n-type and the second conductivity type is p-type.
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/432,492 US20170154900A1 (en) | 2015-05-06 | 2017-02-14 | Integrated tensile strained silicon nfet and compressive strained silicon-germanium pfet implemented in finfet technology |
US16/180,223 US11133331B2 (en) | 2015-05-06 | 2018-11-05 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/705,291 US9607901B2 (en) | 2015-05-06 | 2015-05-06 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology |
US15/432,492 US20170154900A1 (en) | 2015-05-06 | 2017-02-14 | Integrated tensile strained silicon nfet and compressive strained silicon-germanium pfet implemented in finfet technology |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/705,291 Division US9607901B2 (en) | 2015-05-06 | 2015-05-06 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/180,223 Continuation US11133331B2 (en) | 2015-05-06 | 2018-11-05 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology |
Publications (1)
Publication Number | Publication Date |
---|---|
US20170154900A1 true US20170154900A1 (en) | 2017-06-01 |
Family
ID=56763300
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/705,291 Active US9607901B2 (en) | 2015-05-06 | 2015-05-06 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology |
US15/432,492 Abandoned US20170154900A1 (en) | 2015-05-06 | 2017-02-14 | Integrated tensile strained silicon nfet and compressive strained silicon-germanium pfet implemented in finfet technology |
US16/180,223 Active 2035-06-07 US11133331B2 (en) | 2015-05-06 | 2018-11-05 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/705,291 Active US9607901B2 (en) | 2015-05-06 | 2015-05-06 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/180,223 Active 2035-06-07 US11133331B2 (en) | 2015-05-06 | 2018-11-05 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology |
Country Status (3)
Country | Link |
---|---|
US (3) | US9607901B2 (en) |
CN (3) | CN110310925B (en) |
DE (1) | DE102015120488B4 (en) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10374089B2 (en) | 2017-12-22 | 2019-08-06 | International Business Machines Corporation | Tensile strain in NFET channel |
US10468310B2 (en) * | 2016-10-26 | 2019-11-05 | Globalfoundries Inc. | Spacer integration scheme for FNET and PFET devices |
EP3937256A1 (en) * | 2020-07-10 | 2022-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor fin or nanowire devices with strained source/drain regions and methods of manufacturing thereof |
Families Citing this family (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9876110B2 (en) | 2014-01-31 | 2018-01-23 | Stmicroelectronics, Inc. | High dose implantation for ultrathin semiconductor-on-insulator substrates |
US9548213B2 (en) * | 2014-02-25 | 2017-01-17 | International Business Machines Corporation | Dielectric isolated fin with improved fin profile |
US9607901B2 (en) * | 2015-05-06 | 2017-03-28 | Stmicroelectronics, Inc. | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology |
US9640483B2 (en) * | 2015-05-29 | 2017-05-02 | Stmicroelectronics, Inc. | Via, trench or contact structure in the metallization, premetallization dielectric or interlevel dielectric layers of an integrated circuit |
US9721949B1 (en) * | 2016-01-29 | 2017-08-01 | GlobalFoundries, Inc. | Method of forming super steep retrograde wells on FinFET |
US10388576B2 (en) | 2016-06-30 | 2019-08-20 | International Business Machines Corporation | Semiconductor device including dual trench epitaxial dual-liner contacts |
US10326020B2 (en) * | 2016-08-09 | 2019-06-18 | International Business Machines Corporation | Structure and method for forming strained FinFET by cladding stressors |
US10032869B2 (en) | 2016-08-17 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same |
CN107799421B (en) * | 2016-09-05 | 2021-04-02 | 中芯国际集成电路制造(上海)有限公司 | Method for forming semiconductor device |
US10002796B1 (en) * | 2016-12-15 | 2018-06-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual epitaxial growth process for semiconductor device |
US10229856B2 (en) * | 2017-05-16 | 2019-03-12 | International Business Machines Corporation | Dual channel CMOS having common gate stacks |
CN109427663B (en) * | 2017-08-22 | 2020-10-09 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
US10424588B2 (en) * | 2017-11-09 | 2019-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cutting metal gates in fin field effect transistors |
US10319643B1 (en) | 2018-02-07 | 2019-06-11 | International Business Machines Corporation | Vertical FET with strained channel |
US10861969B2 (en) * | 2018-07-16 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming FinFET structure with reduced Fin buckling |
US10804162B2 (en) * | 2018-09-27 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual channel gate all around transistor device and fabrication methods thereof |
CN112490128A (en) * | 2019-09-12 | 2021-03-12 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and method of forming the same |
US11018257B2 (en) * | 2019-10-18 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having a plurality of threshold voltages and method of forming the same |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9190329B1 (en) * | 2014-05-20 | 2015-11-17 | International Business Machines Corporation | Complex circuits utilizing fin structures |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7198995B2 (en) | 2003-12-12 | 2007-04-03 | International Business Machines Corporation | Strained finFETs and method of manufacture |
US7193279B2 (en) * | 2005-01-18 | 2007-03-20 | Intel Corporation | Non-planar MOS structure with a strained channel region |
JP4453572B2 (en) * | 2005-02-22 | 2010-04-21 | ソニー株式会社 | Manufacturing method of semiconductor integrated circuit |
US7888197B2 (en) * | 2007-01-11 | 2011-02-15 | International Business Machines Corporation | Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer |
JP4966153B2 (en) | 2007-10-05 | 2012-07-04 | 株式会社東芝 | Field effect transistor and manufacturing method thereof |
CN101246819B (en) * | 2007-11-13 | 2010-11-10 | 清华大学 | Preparation of strained germanium thin film |
US8039349B2 (en) | 2009-07-30 | 2011-10-18 | Globalfoundries Inc. | Methods for fabricating non-planar semiconductor devices having stress memory |
US8361868B2 (en) * | 2010-04-28 | 2013-01-29 | Acorn Technologies, Inc. | Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation |
US8518811B2 (en) * | 2011-04-08 | 2013-08-27 | Infineon Technologies Ag | Schottky diodes having metal gate electrodes and methods of formation thereof |
US8617968B1 (en) * | 2012-06-18 | 2013-12-31 | International Business Machines Corporation | Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (MOSFETs) |
US8946063B2 (en) * | 2012-11-30 | 2015-02-03 | International Business Machines Corporation | Semiconductor device having SSOI substrate with relaxed tensile stress |
US9070710B2 (en) * | 2013-06-07 | 2015-06-30 | United Microelectronics Corp. | Semiconductor process |
FR3012665A1 (en) | 2013-10-31 | 2015-05-01 | St Microelectronics Crolles 2 | |
US9620626B2 (en) * | 2014-05-08 | 2017-04-11 | Soitec | Method for fabricating a semiconductor device including fin relaxation, and related structures |
US9761699B2 (en) * | 2015-01-28 | 2017-09-12 | International Business Machines Corporation | Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures |
US9607901B2 (en) * | 2015-05-06 | 2017-03-28 | Stmicroelectronics, Inc. | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology |
-
2015
- 2015-05-06 US US14/705,291 patent/US9607901B2/en active Active
- 2015-11-26 DE DE102015120488.6A patent/DE102015120488B4/en active Active
- 2015-12-30 CN CN201910510981.7A patent/CN110310925B/en active Active
- 2015-12-30 CN CN201521130141.1U patent/CN205542780U/en not_active Withdrawn - After Issue
- 2015-12-30 CN CN201511021307.0A patent/CN106129004B/en active Active
-
2017
- 2017-02-14 US US15/432,492 patent/US20170154900A1/en not_active Abandoned
-
2018
- 2018-11-05 US US16/180,223 patent/US11133331B2/en active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9190329B1 (en) * | 2014-05-20 | 2015-11-17 | International Business Machines Corporation | Complex circuits utilizing fin structures |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10468310B2 (en) * | 2016-10-26 | 2019-11-05 | Globalfoundries Inc. | Spacer integration scheme for FNET and PFET devices |
US10374089B2 (en) | 2017-12-22 | 2019-08-06 | International Business Machines Corporation | Tensile strain in NFET channel |
US10916657B2 (en) | 2017-12-22 | 2021-02-09 | International Business Machines Corporation | Tensile strain in NFET channel |
EP3937256A1 (en) * | 2020-07-10 | 2022-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor fin or nanowire devices with strained source/drain regions and methods of manufacturing thereof |
Also Published As
Publication number | Publication date |
---|---|
DE102015120488A1 (en) | 2016-11-10 |
US11133331B2 (en) | 2021-09-28 |
CN205542780U (en) | 2016-08-31 |
US9607901B2 (en) | 2017-03-28 |
CN106129004B (en) | 2019-07-12 |
CN110310925A (en) | 2019-10-08 |
US20160329253A1 (en) | 2016-11-10 |
US20190081079A1 (en) | 2019-03-14 |
DE102015120488B4 (en) | 2023-12-07 |
CN106129004A (en) | 2016-11-16 |
CN110310925B (en) | 2024-07-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11133331B2 (en) | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology | |
US11031334B2 (en) | Semiconductor device including a conductive feature over an active region | |
CN106252386B (en) | FinFET structure and forming method thereof | |
US9082852B1 (en) | LDMOS FinFET device using a long channel region and method of manufacture | |
US9490348B2 (en) | Method of forming a FinFET having an oxide region in the source/drain region | |
US9660083B2 (en) | LDMOS finFET device and method of manufacture using a trench confined epitaxial growth process | |
US7410859B1 (en) | Stressed MOS device and method for its fabrication | |
US8557666B2 (en) | Methods for fabricating integrated circuits | |
US8404535B2 (en) | Metal gate transistor and method for fabricating the same | |
US10062714B2 (en) | FinFET device having a high germanium content fin structure and method of making same | |
US9373549B2 (en) | Semiconductor device and method of forming the same | |
US8003470B2 (en) | Strained semiconductor device and method of making the same | |
US7326601B2 (en) | Methods for fabrication of a stressed MOS device | |
US20110117679A1 (en) | Sacrificial offset protection film for a finfet device | |
US9991158B2 (en) | Semiconductor device, layout of semiconductor device, and method of manufacturing semiconductor device | |
US7670914B2 (en) | Methods for fabricating multiple finger transistors | |
US20160197175A1 (en) | Semiconductor Device Structure and Method of Forming Same | |
US9269710B2 (en) | Semiconductor devices having stressor regions and related fabrication methods | |
US20140213028A1 (en) | Epitaxial process | |
US20160064286A1 (en) | Integrated circuits and methods for fabricating integrated circuits | |
CN103094217B (en) | Transistor Manufacturing Method | |
CN107919393B (en) | Semiconductor device and manufacturing method thereof | |
US11289382B2 (en) | Method for forming transistor with strained channel |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |