US20170125537A1 - Finfet gate structure and method for fabricating the same - Google Patents

Finfet gate structure and method for fabricating the same Download PDF

Info

Publication number
US20170125537A1
US20170125537A1 US14/983,422 US201514983422A US2017125537A1 US 20170125537 A1 US20170125537 A1 US 20170125537A1 US 201514983422 A US201514983422 A US 201514983422A US 2017125537 A1 US2017125537 A1 US 2017125537A1
Authority
US
United States
Prior art keywords
layer
metal layer
work function
type work
function metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/983,422
Other versions
US9620610B1 (en
Inventor
Shiu-Ko Jangjian
Chi-Cheng Hung
Chi-Wen Liu
Horng-Huei Tseng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/983,422 priority Critical patent/US9620610B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSENG, HORNG-HUEI, HUNG, CHI-CHENG, JANGJIAN, SHIU-KO, LIU, CHI-WEN
Priority to DE102016100268.2A priority patent/DE102016100268A1/en
Priority to KR1020160035985A priority patent/KR101827093B1/en
Priority to TW105120842A priority patent/TWI610353B/en
Priority to CN201610534560.4A priority patent/CN106653833B/en
Priority to US15/382,478 priority patent/US9824929B2/en
Priority to US15/483,098 priority patent/US10090206B2/en
Publication of US9620610B1 publication Critical patent/US9620610B1/en
Application granted granted Critical
Publication of US20170125537A1 publication Critical patent/US20170125537A1/en
Priority to US15/813,448 priority patent/US10340192B2/en
Priority to US16/148,106 priority patent/US10832974B2/en
Priority to US16/458,495 priority patent/US10832959B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates

Definitions

  • IC semiconductor integrated circuit
  • functional density defined as the number of interconnected devices per chip area
  • geometry size i.e., the smallest component (or line) that can be created using a fabrication process
  • a scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. But, such scaling down has increased the complexity of processing and manufacturing ICs. For these advances to be realized, similar developments in IC manufacturing are needed.
  • FinFETs fin-like field effect transistors
  • Advantages of FinFET devices include reducing the short channel effect and higher current flow.
  • NMOS n-type MOS
  • PMOS p-type MOS
  • Conventional FinFET devices with high-k metal gates and methods of fabricating the FinFET devices have not been entirely satisfactory in all respects, especially for fabricating a NMOS device together with a PMOS device.
  • FIG. 1 is a schematic cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 2A and FIG. 2B are schematic cross-sectional views of a semiconductor device in accordance with certain embodiments of the present disclosure.
  • FIG. 3A to FIG. 3G are schematic cross-sectional views of intermediate stages showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a flow chart showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • Embodiments of the present disclosure are directed to a semiconductor device on which a PMOS FinFET device and a NMOS FinFET device with metal gate structures are simultaneously formed, thereby simplifying the fabrication process.
  • the NMOS FinFET device includes a n-type work function metal layer.
  • the n-type work function metal layer includes a TiAl (titanium aluminum) alloy, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %).
  • the PMOS FinFET device a p-type work function metal layer overlying the second high-k dielectric layer.
  • the p-type work function metal layer includes titanium nitride (TiN), in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer contains an oxygen concentration less than 10 atom percent (at %). Oxygen can cause a shift in the work function of the work function metal layer 146 b, and thus the less oxygen concentration can lead to the better quality of the work function metal layer. Therefore, embodiments of the present disclosure provide work function metal layers with excellent properties.
  • FIG. 1 is a schematic cross-sectional diagram of a semiconductor device in accordance with some embodiments of the present disclosure.
  • the semiconductor device includes a semiconductor substrate 102 , a semiconductor fin 110 a, a second semiconductor fin 110 b, a n-type gate structure 100 a and a p-type gate structure 100 b.
  • the semiconductor fin 110 a and the semiconductor fin 110 b are disposed on the semiconductor substrate 102 , and separated by an isolation structure 104 .
  • the isolation structure 104 is a shallow trench isolation (STI).
  • the semiconductor substrate 102 is defined as any construction including semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, or a silicon germanium substrate.
  • the semiconductor fins 110 a and 110 b protrude from the semiconductor substrate 102 .
  • a gate spacer 122 a is formed on sidewalls of the n-type gate structure 100 a, and a gate spacer 122 b is formed on sidewalls of the p-type gate structure 100 b.
  • the gate spacer 122 a, and the gate spacer 122 b may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material.
  • Source/drain portions 112 a and 114 a are disposed on the semiconductor fin 110 a adjacent to both sides of the gate spacer 122 a, and thus the source/drain portions 112 a and 114 a together with the n-type gate structure 100 a forms a NMOS FinFET device.
  • Source/drain portions 112 b and 114 b are disposed on the semiconductor fin 110 b adjacent to both sides of the gate spacer 122 b, and thus the source/drain portions 112 b and 114 b together with the p-type gate structure 100 b forms a PMOS FinFET device.
  • the source/drain portions 112 a and 114 a include SiP
  • the source/drain portions 112 b and 114 b include SiGe.
  • an etching stop layer 120 a overlies the gate spacer 122 a , the source/drain portions 112 a and 114 a, the isolation structure 104 , the gate spacer 122 b, and the source/drain portions 112 b and 114 b.
  • An inter-layer dielectric (ILD) 170 overlies the etching stop layer 120 a.
  • the ILD 170 may include silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and the like.
  • the n-type gate structure 100 a includes an initial layer 130 a, a high-k dielectric layer 140 a, a capping metal layer 142 a, a barrier metal layer 144 a, a TiN layer 146 a, a n-type work function metal layer 148 a, a blocking metal layer 150 a, and a metal filler 160 a.
  • the initial layer 130 a is disposed over the semiconductor fin 110 a.
  • the initial layer 130 a includes a silicon oxide layer.
  • the high-k dielectric layer 140 a is disposed over the initial layer 130 a, and is enclosed by the gate spacer 122 a.
  • the high-k dielectric layer 140 a may have a thickness ranging from about 10 angstroms to about 20 angstroms.
  • the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • the capping metal layer 142 a overlies the high-k dielectric layer 140 a, and is disposed between the high-k dielectric layer 140 a and the n-type work function metal layer 148 a.
  • the capping metal layer 142 a includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the barrier metal layer 144 a overlies the capping metal layer 142 a, and is disposed between the capping metal layer 142 a and the n-type work function metal layer 148 a.
  • the barrier metal layer 144 a includes TaN (tantalum nitride) and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the TiN layer 146 a overlies the barrier metal layer 144 a, and is disposed between the barrier metal layer 144 a and the n-type work function metal layer 148 a, and may have a thickness ranging from about 5 angstroms to about 20 angstroms.
  • the capping metal layer 142 a, the barrier metal layer 144 a, and the TiN layer 146 a are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the capping metal layer 142 a, the barrier metal layer 144 a, and the TiN layer 146 a is disposed between the high-k dielectric layer 140 a and the n-type work function metal layer 148 a. It is noted that the sequence of the capping metal layer 142 a, the barrier metal layer 144 a, and the TiN layer 146 a may be changed without affecting their purposes.
  • the n-type work function metal layer 148 a overlies the TiN layer 146 a and the high-k dielectric layer 140 a, and may have a thickness ranging from about 30 angstroms to about 100 angstroms.
  • the n-type work function metal layer 148 a includes a TiAl (titanium aluminum) alloy, in which both surfaces of the n-type work function metal layer 148 a adjoin the TiN layer 146 a and the blocking metal layer 150 a respectively.
  • an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and the both surfaces of the n-type work function metal layer 148 a contains an oxygen concentration less than about 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the n-type work function metal layer 148 a are higher than Al atom concentrations at other portions of the n-type work function metal layer 148 a, i.e. more Al segregation near or at the both surfaces of the n-type work function metal layer 148 a, thereby providing the work function metal layer with excellent properties.
  • Oxygen can cause a shift in the work function of the n-type work function metal layer 148 a, and thus the less oxygen concentration can lead to the better quality of the n-type work function metal layer 148 a.
  • the blocking metal layer 150 a overlies the n-type work function metal layer 148 a for protecting the n-type work function metal layer 148 a, in which the blocking metal layer 150 a includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the metal filler 160 a fills a trench (not labeled) peripherally enclosed by the blocking metal layer 150 a, and may have a thickness ranging from about 1000 angstroms to about 5000 angstroms.
  • the metal filler 160 a can be configured to provide an electrical transmission.
  • the metal filler 160 a may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • the p-type gate structure 100 b includes an initial layer 130 b, a high-k dielectric layer 140 b, a capping metal layer 142 b, a barrier metal layer 144 b, a p-type work function metal layer 146 b, a TiAl layer 148 b a blocking metal layer 150 b, and a metal filler 160 b.
  • the initial layer 130 b is disposed over the semiconductor fin 110 b.
  • the initial layer 130 b includes a silicon oxide layer.
  • the high-k dielectric layer 140 b is disposed over the initial layer 130 b, and is enclosed by the gate spacer 122 b.
  • the high-k dielectric layer 140 b may have a thickness ranging from about 10 angstroms to about 20 angstroms.
  • the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • the capping metal layer 142 b overlies the high-k dielectric layer 140 b, and is disposed between the high-k dielectric layer 140 b and the p-type work function metal layer 146 b.
  • the capping metal layer 142 b includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the barrier metal layer 144 b overlies the capping metal layer 142 b, and is disposed between the capping metal layer 142 b and the p-type work function metal layer 146 b.
  • the barrier metal layer 144 b includes TaN (tantalum nitride) and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the capping metal layer 142 b and the barrier metal layer 144 b are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the capping metal layer 142 b and the barrier metal layer 144 b is disposed between the high-k dielectric layer 140 b. It is noted that the sequence of the capping metal layer 142 b and the barrier metal layer 144 b may be changed without affecting their purposes.
  • the p-type work function metal layer 146 b overlies the barrier metal layer 144 b, and may have a thickness ranging from about 5 angstroms to about 20 angstroms.
  • the p-type work function metal layer 146 b includes TiN, in which from a result of EDS line scan, an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer 146 b contains an oxygen concentration less than about 10 atom percent (at %), thereby providing the work function metal layer with excellent properties. Oxygen can cause a shift in the work function of the p-type work function metal layer 146 b, and thus the less oxygen concentration can lead to the better quality of the p-type work function metal layer 146 b.
  • the TiAl layer 148 b overlies the p-type work function metal layer 146 b, and is disposed between the p-type work function metal layer 146 b and the blocking metal layer 150 b, and may have a thickness ranging from about 30 angstroms to about 100 angstroms.
  • the blocking metal layer 150 b overlies the TiAl layer 148 b for protecting the TiAl layer 148 b and the p-type work function metal layer 146 b, in which the blocking metal layer 150 b includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the metal filler 160 b fills a trench (not labeled) peripherally enclosed by the blocking metal layer 150 b, and may have a thickness ranging from about 1000 angstroms to about 5000 angstroms.
  • the metal filler 160 b can be configured to provide an electrical transmission.
  • the metal filler 160 b may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • the aforementioned high-k dielectric layers 140 a and 140 b may be formed from one identical layer; the aforementioned capping metal layers 142 a and 142 b may be formed from one identical layer; the aforementioned barrier metal layers 144 a and 144 b may be formed from one identical layer; the aforementioned TiN layer 146 a and p-type work function metal layer 146 b may be formed from one identical layer; the aforementioned n-type work function metal layer 148 b and TiAl layer 148 b may be formed from one identical layer; the aforementioned blocking metal layers 150 a and 150 b may be formed from one identical layer; and the aforementioned metal fillers 160 a and 160 b may be formed from one identical layer.
  • FIG. 2A and FIG. 2B are schematic cross-sectional views of a semiconductor device in accordance with certain embodiments of the present disclosure.
  • the semiconductor device includes a semiconductor substrate 202 , a semiconductor fin 210 a, a second semiconductor fin 210 b, a n-type gate structure 200 a and a p-type gate structure 200 b.
  • the semiconductor fin 210 a and the semiconductor fin 210 b are disposed on the semiconductor substrate 202 , and separated by an isolation structure 204 .
  • the isolation structure 204 is a shallow trench isolation (STI).
  • the semiconductor substrate 202 is defined as any construction including semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used.
  • the semiconductor fins 210 a and 210 b protrude from the semiconductor substrate 202 .
  • a gate spacer 222 a is formed on sidewalls of the n-type gate structure 100 a, and a gate spacer 222 b is formed on sidewalls of the p-type gate structure 200 b.
  • the gate spacer 222 a, and the gate spacer 222 b may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material.
  • Source/drain portions 212 a and 214 a are disposed on the semiconductor fin 210 a adjacent to both sides of the gate spacer 222 a, and thus the source/drain portions 212 a and 214 a together with the n-type gate structure 200 a forms a NMOS FinFET device.
  • Source/drain portions 212 b and 214 b are disposed on the semiconductor fin 210 b adjacent to both sides of the gate spacer 222 b, and thus the source/drain portions 212 b and 214 b together with the p-type gate structure 200 b forms a PMOS FinFET device.
  • the source/drain portions 212 a and 214 a include SiP
  • the source/drain portions 212 b and 214 b include SiGe.
  • an etching stop layer 220 overlies the gate spacer 222 a, the source/drain portions 212 a and 214 a, the isolation structure 204 , the gate spacer 222 b, and the source/drain portions 212 b and 214 b.
  • An inter-layer dielectric (ILD) 270 overlies the etching stop layer 220 .
  • the ILD 270 may include silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and the like.
  • the n-type gate structure 200 a includes an initial layer 230 a enclosed by a gate spacer 222 a
  • the p-type gate structure 200 b includes an initial layer 230 b enclosed by a gate spacer 222 b.
  • Each of the n-type gate structure 200 a and the p-type gate structure 200 b includes an initial layer 230 a includes a high-k dielectric layer 240 , a TiN layer 242 , a TaN 244 , a TiN layer 246 , a TiAl layer 248 , a TiN layer 250 , and a metal filler 260 .
  • the initial layer 230 a is disposed over the semiconductor fin 210 a, and the initial layer 230 b is disposed over the semiconductor fin 210 b.
  • each of the initial layer 230 a and the initial layer 230 b includes a silicon oxide layer.
  • the high-k dielectric layer 240 is disposed over the initial layers 230 a and 230 b.
  • the high-k dielectric layer 240 may have a thickness ranging from about 10 angstroms to about 20 angstroms.
  • the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • a high-k dielectric such as hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • the TiN layer 242 overlies the high-k dielectric layer 240 , and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the TaN layer 244 overlies the TiN layer 242 , and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the TiN layer 246 overlies the TaN layer 244 , and may have a thickness ranging from about 5 angstroms to about 20 angstroms.
  • the TiN layer 242 , and the TaN layer 244 are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the TiN layer 242 , and the TaN layer 244 is disposed over the high-k dielectric layer 240 . It is noted that the sequence of the TiN layer 242 , and the TaN layer 244 may be changed without affecting their purposes.
  • the TiAl layer 248 overlies the TiN layer 246 and the high-k dielectric layer 240 , and may have a thickness ranging from about 30 angstroms to about 100 angstroms.
  • the blocking metal layer 250 overlies the TiAl layer 248 for protecting the underlying layers, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • the metal filler 260 fills a trench (not labeled) peripherally enclosed by the blocking metal layer 250 , and may have a thickness ranging from about 1000 angstroms to about 5000 angstroms.
  • the metal filler 260 can be configured to provide an electrical transmission.
  • the metal filler 260 may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • a chemical mechanical polishing (CMP) operation is performed on the metal filler 260 shown in FIG. 2A until the gate spacers 222 a and 222 b are exposed, as shown in FIG. 2B . Therefore, a NMOS FinFET device (the source/drain portions 212 a and 214 a and the n-type gate structure 200 a ) and a PMOS FinFET device (the source/drain portions 212 b and 214 b together with the p-type gate structure 200 b ) can be simultaneously formed, thereby simplifying the fabrication process.
  • CMP chemical mechanical polishing
  • the TiAl layer 248 enclosed by the gate spacer 222 a is a n-type work function metal layer, in which both surfaces of the n-type work function metal layer adjoin the TiN layer 246 and the blocking metal layer 250 respectively.
  • an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3
  • the both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the n-type work function metal layer are higher than Al atom concentrations at other portions of the n-type work function metal layer, i.e.
  • the TiN layer 246 enclosed by the gate spacer 222 b is a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %). According to the above EDS features, the work function metal layers with excellent properties can be provided.
  • FIG. 3A to FIG. 3G are schematic cross-sectional views of intermediate stages showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • a semiconductor substrate 302 is provided, and is patterned and etched using a photolithography technique to form a semiconductor fin 310 a and a semiconductor fin 310 b which are separated by an isolation structure 304 .
  • the semiconductor substrate 310 is defined as any construction including semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used.
  • a layer of photoresist material (not shown) is deposited over the semiconductor substrate 310 , and is irradiated (exposed) in accordance with a desired pattern and developed to remove a portion of the photoresist material.
  • the remaining photoresist material protects the underlying material from subsequent processing operation, such as etching.
  • other masks such as an oxide or silicon nitride mask, may also be used in the etching process.
  • the semiconductor fin 310 a and the semiconductor fin 310 b may be epitaxially grown.
  • exposed portions of an underlying material such as an exposed portion of the semiconductor substrate 210 , may be used in an epitaxial process to form the semiconductor fin 310 a and the semiconductor fin 310 b.
  • a mask may be used to control the shape of the semiconductor fin 310 a and the semiconductor fin 310 b during the epitaxial growth process.
  • a ploy gate 380 a is formed on the semiconductor fin 310 a, and a poly gate 380 b is formed on the semiconductor fin 310 b.
  • a gate spacer 322 a is formed on sidewalls of the poly gate 380 a, and a gate spacer 322 b is formed on sidewalls of the poly gate 380 b.
  • the gate spacer 322 a, and the gate spacer 322 b may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material.
  • Source/drain portions 312 a and 314 a are formed on the semiconductor fin 310 a adjacent to both sides of the gate spacer 322 a.
  • Source/drain portions 312 b and 314 b are formed on the semiconductor fin 310 b adjacent to both sides of the gate spacer 322 b.
  • the source/drain portions 312 a and 314 a include SiP
  • the source/drain portions 312 b and 314 b include SiGe.
  • an etching stop layer 320 is formed over the gate spacer 322 a, the source/drain portions 312 a and 314 a, the isolation structure 304 , the gate spacer 322 b, and the source/drain portions 312 b and 314 b.
  • An inter-layer dielectric (ILD) 370 is formed over the etching stop layer 320 .
  • the ILD 370 may include silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and the like.
  • each of the initial layer 330 a and the initial layer 330 b includes a silicon oxide layer, may be formed by using chemical vapor deposition (CVD), thermal oxide, ozone oxidation, other suitable processes.
  • CVD chemical vapor deposition
  • a high-k dielectric layer 340 is formed over the initial layers 330 a and 330 b by using atomic layer deposition (ALD) or another suitable technique.
  • the high-k dielectric layer 240 may have a thickness ranging from about 10 angstroms to about 20 angstroms.
  • the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • a high-k dielectric such as hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • a TiN layer 342 is formed over the high-k dielectric layer 340 by using ALD or another suitable technique, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • a TaN layer 344 is formed over the TiN layer 342 by using ALD or another suitable technique, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • a TiN layer 346 formed over the TaN layer 344 by using ALD or another suitable technique, and may have a thickness ranging from about 5 angstroms to about 20 angstroms.
  • the TiN layer 342 , and the TaN layer 344 are used to prevent impurities from entering underlying layers.
  • only one or more of the TiN layer 342 , and the TaN layer 344 is formed over the high-k dielectric layer 240 . It is noted that the sequence of the TiN layer 342 , and the TaN layer 344 may be changed without affecting their purposes.
  • a metal filler 360 fills a trench (not labeled) peripherally enclosed by the blocking metal layer 350 by using CVD, ALD or another suitable technique.
  • the metal filler 360 can be configured to provide an electrical transmission.
  • the metal filler 360 may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • a chemical mechanical polishing (CMP) operation is performed on the metal filler 360 until the gate spacers 322 a and 322 b are exposed.
  • the metal filler 360 may have a thickness ranging from about 1000 angstroms to about 5000 angstroms Therefore, a NMOS FinFET device (the source/drain portions 312 a and 314 a and the n-type gate structure enclosed by the gate spacer 322 a ) and a PMOS FinFET device (the source/drain portions 312 b and 314 b together and the p-type gate structure enclosed by the gate spacer 322 a ) can be simultaneously formed, thereby simplifying the fabrication process.
  • the TiAl layer 348 enclosed by the gate spacer 322 a is a n-type work function metal layer, in which both surfaces of the n-type work function metal layer adjoin the TiN layer 346 and the blocking metal layer 350 respectively.
  • an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3
  • the both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the TiAl layer 348 are higher than Al atom concentrations at other portions of the TiAl layer 348 , i.e.
  • the TiN layer 346 enclosed by the gate spacer 322 b is a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %). According to the above EDS features, the work function metal layers with excellent properties can be provided.
  • FIG. 4 is a flow chart showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • the method begins at operation 400 , in which a semiconductor fin 310 a (first semiconductor fin) and a semiconductor fin 310 b (second semiconductor fin) are formed on a semiconductor substrate 302 , and are separated by an isolation structure 304 .
  • an initial layer 330 a (first initial layer) enclosed by a gate spacer 322 a (first gate spacer) is formed over the semiconductor fin 310 a
  • an initial layer 330 b (second initial layer) enclosed by a gate spacer 322 a (second gate spacer) is formed over the semiconductor fin 310 b.
  • a high-k dielectric layer 340 is formed over the initial layers 330 a and 330 b.
  • a TiN layer 342 (first TiN layer) is formed over the high-k dielectric layer 320 .
  • a TaN layer 344 overlying the TiN layer 342 .
  • a TiN layer 346 (second TiN layer) is formed over the TaN layer 344 .
  • a TiAl layer 348 is formed over the TiN layer 346 .
  • a TiN layer 350 (third TiN layer) is formed over the TiAl layer 348 .
  • a metal filler 360 peripherally enclosed by the TiN layer 350 is formed.
  • the TiAl layer 348 enclosed by the gate spacer 322 a acts as a n-type work function metal layer, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the TiAl layer 348 are higher than Al atom concentrations at other portions of the TiAl layer 348 , i.e. more Al segregation near or at the both surfaces of the TiAl layer 348 .
  • the TiN layer 346 enclosed by the gate spacer 322 b acts as a p-type work function metal layer, wherein an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %).
  • a semiconductor device includes a semiconductor substrate; a first semiconductor fin on the semiconductor substrate; a n-type gate structure over the first semiconductor fin; a blocking metal layer overlying the n-type work function metal layer; and a first metal filler peripherally enclosed by the blocking metal layer.
  • the blocking metal layer includes TiN (titanium nitride).
  • the n-type gate structure includes a first initial layer over the first semiconductor fin; a first high-k dielectric layer over the first initial layer and enclosed by a first gate spacer; and a n-type work function metal layer overlying the first high-k dielectric layer, the n-type work function metal layer comprising a TiAl (titanium aluminum) alloy, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3.
  • a semiconductor device includes a semiconductor substrate; a first semiconductor fin and a second semiconductor fin on the semiconductor substrate; a n-type gate structure; and a p-type gate structure.
  • the first semiconductor fin and the second semiconductor fin are separated by an isolation structure.
  • the n-type gate structure includes a first initial layer over the first semiconductor fin and enclosed by a first gate spacer
  • the p-type gate structure includes a second initial layer over the second semiconductor fin and enclosed by a second gate spacer.
  • Each of the n-type gate structure and the p-type gate structure includes a high-k dielectric layer over the first initial layer and the second initial layer; a first TiN layer overlying the high-k dielectric layer; a TaN layer overlying the first TiN layer; a second TiN layer overlying the TaN layer; a TiAl layer overlying the second TiN layer; and a third TiN layer overlying the TiAl layer; and a metal filler peripherally enclosed by the third TiN layer.
  • the TiAl layer enclosed by the first gate spacer is a n-type work function metal layer, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3.
  • the second TiN layer enclosed by the second gate spacer is a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.
  • a method includes forming a first semiconductor fin and a second semiconductor fin on a semiconductor substrate, in which the first semiconductor fin and the second semiconductor fin are separated by an isolation structure.
  • a first initial layer enclosed by a first gate spacer over the first semiconductor fin is formed, and a second initial layer enclosed by a second gate spacer over the second semiconductor fin is formed.
  • a high-k dielectric layer is formed over the first initial layer and the second initial layer.
  • a first TiN layer is formed over the high-k dielectric layer.
  • a TaN layer is formed over the first TiN layer.
  • a second TiN layer is formed over the TaN layer.
  • a TiAl layer is formed over the second TiN layer.
  • a third TiN layer is formed over the TiAl layer.
  • a metal filler peripherally enclosed by the third TiN layer is formed.
  • the TiAl layer enclosed by the first gate spacer acts as a n-type work function metal layer, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3.
  • the second TiN layer enclosed by the second gate spacer acts as a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.

Abstract

A semiconductor device includes a n-type gate structure over a first semiconductor fin, in which the n-type gate structure includes a n-type work function metal layer overlying the first high-k dielectric layer. The n-type work function metal layer includes a TiAl (titanium aluminum) alloy, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3. The semiconductor device further includes a p-type gate structure over a second semiconductor fin, in which the p-type gate structure includes a p-type work function metal layer overlying the second high-k dielectric layer. The p-type work function metal layer includes titanium nitride (TiN), in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.

Description

    RELATED APPLICATIONS
  • This application claims priority to U.S. Provisional Application Ser. No. 62/247,480, filed Oct. 28, 2015, which is herein incorporated by reference.
  • BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced rapid growth. In the course of the IC evolution, functional density (defined as the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. A scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. But, such scaling down has increased the complexity of processing and manufacturing ICs. For these advances to be realized, similar developments in IC manufacturing are needed.
  • As the semiconductor IC industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design have resulted in the development of three-dimensional (3D) devices such fin-like field effect transistors (FinFETs). Advantages of FinFET devices include reducing the short channel effect and higher current flow. There has been a desire to use a FinFET device with a high-k gate dielectric and metal gate electrode to improve device performance as feature sizes continue to decrease. A n-type MOS (NMOS) device and a p-type MOS (PMOS) device require different work functions for their respective gate structures. Conventional FinFET devices with high-k metal gates and methods of fabricating the FinFET devices have not been entirely satisfactory in all respects, especially for fabricating a NMOS device together with a PMOS device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a schematic cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 2A and FIG. 2B are schematic cross-sectional views of a semiconductor device in accordance with certain embodiments of the present disclosure.
  • FIG. 3A to FIG. 3G are schematic cross-sectional views of intermediate stages showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a flow chart showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.
  • Terms used herein are only used to describe the specific embodiments, which are not used to limit the claims appended herewith. For example, unless limited otherwise, the term “one” or “the” of the single form may also represent the plural form. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • It will be understood that, although the terms “first”, “second”, “third”, etc., may be used in the claims to describe various elements, these elements should not be limited by these terms, and these elements correspondingly described in the embodiments are presented by different reference numbers. These terms are used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of the embodiments. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.
  • Embodiments of the present disclosure are directed to a semiconductor device on which a PMOS FinFET device and a NMOS FinFET device with metal gate structures are simultaneously formed, thereby simplifying the fabrication process. From an EDS (Energy dispersive spectroscopy) analysis, the NMOS FinFET device includes a n-type work function metal layer. The n-type work function metal layer includes a TiAl (titanium aluminum) alloy, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %). The PMOS FinFET device a p-type work function metal layer overlying the second high-k dielectric layer. The p-type work function metal layer includes titanium nitride (TiN), in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer contains an oxygen concentration less than 10 atom percent (at %). Oxygen can cause a shift in the work function of the work function metal layer 146 b, and thus the less oxygen concentration can lead to the better quality of the work function metal layer. Therefore, embodiments of the present disclosure provide work function metal layers with excellent properties.
  • Referring to FIG. 1, FIG. 1 is a schematic cross-sectional diagram of a semiconductor device in accordance with some embodiments of the present disclosure. The semiconductor device includes a semiconductor substrate 102, a semiconductor fin 110 a, a second semiconductor fin 110 b, a n-type gate structure 100 a and a p-type gate structure 100 b. The semiconductor fin 110 a and the semiconductor fin 110 b are disposed on the semiconductor substrate 102, and separated by an isolation structure 104. In some embodiments, the isolation structure 104 is a shallow trench isolation (STI). The semiconductor substrate 102 is defined as any construction including semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used. The semiconductor fins 110 a and 110 b protrude from the semiconductor substrate 102. A gate spacer 122 a is formed on sidewalls of the n-type gate structure 100 a, and a gate spacer 122 b is formed on sidewalls of the p-type gate structure 100 b. The gate spacer 122 a, and the gate spacer 122 b may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material. Source/ drain portions 112 a and 114 a are disposed on the semiconductor fin 110 a adjacent to both sides of the gate spacer 122 a, and thus the source/ drain portions 112 a and 114 a together with the n-type gate structure 100 a forms a NMOS FinFET device. Source/ drain portions 112 b and 114 b are disposed on the semiconductor fin 110 b adjacent to both sides of the gate spacer 122 b, and thus the source/ drain portions 112 b and 114 b together with the p-type gate structure 100 b forms a PMOS FinFET device. In some examples, the source/ drain portions 112 a and 114 a include SiP, and the source/ drain portions 112 b and 114 b include SiGe.
  • In some embodiments, an etching stop layer 120 a overlies the gate spacer 122 a, the source/ drain portions 112 a and 114 a, the isolation structure 104, the gate spacer 122 b, and the source/ drain portions 112 b and 114 b. An inter-layer dielectric (ILD) 170 overlies the etching stop layer 120 a. The ILD 170 may include silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and the like.
  • The n-type gate structure 100 a includes an initial layer 130 a, a high-k dielectric layer 140 a, a capping metal layer 142 a, a barrier metal layer 144 a, a TiN layer 146 a, a n-type work function metal layer 148 a, a blocking metal layer 150 a, and a metal filler 160 a. The initial layer 130 a is disposed over the semiconductor fin 110 a. In some examples, the initial layer 130 a includes a silicon oxide layer. The high-k dielectric layer 140 a is disposed over the initial layer 130 a, and is enclosed by the gate spacer 122 a. The high-k dielectric layer 140 a may have a thickness ranging from about 10 angstroms to about 20 angstroms. In some embodiments, the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO2) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • The capping metal layer 142 a overlies the high-k dielectric layer 140 a, and is disposed between the high-k dielectric layer 140 a and the n-type work function metal layer 148 a. The capping metal layer 142 a includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The barrier metal layer 144 a overlies the capping metal layer 142 a, and is disposed between the capping metal layer 142 a and the n-type work function metal layer 148 a. The barrier metal layer 144 a includes TaN (tantalum nitride) and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The TiN layer 146 a overlies the barrier metal layer 144 a, and is disposed between the barrier metal layer 144 a and the n-type work function metal layer 148 a, and may have a thickness ranging from about 5 angstroms to about 20 angstroms. The capping metal layer 142 a, the barrier metal layer 144 a, and the TiN layer 146 a are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the capping metal layer 142 a, the barrier metal layer 144 a, and the TiN layer 146 a is disposed between the high-k dielectric layer 140 a and the n-type work function metal layer 148 a. It is noted that the sequence of the capping metal layer 142 a, the barrier metal layer 144 a, and the TiN layer 146 a may be changed without affecting their purposes.
  • The n-type work function metal layer 148 a overlies the TiN layer 146 a and the high-k dielectric layer 140 a, and may have a thickness ranging from about 30 angstroms to about 100 angstroms. The n-type work function metal layer 148 a includes a TiAl (titanium aluminum) alloy, in which both surfaces of the n-type work function metal layer 148 a adjoin the TiN layer 146 a and the blocking metal layer 150 a respectively. From a result of EDS line scan, an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and the both surfaces of the n-type work function metal layer 148 a contains an oxygen concentration less than about 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the n-type work function metal layer 148 a are higher than Al atom concentrations at other portions of the n-type work function metal layer 148 a, i.e. more Al segregation near or at the both surfaces of the n-type work function metal layer 148 a, thereby providing the work function metal layer with excellent properties. Oxygen can cause a shift in the work function of the n-type work function metal layer 148 a, and thus the less oxygen concentration can lead to the better quality of the n-type work function metal layer 148 a.
  • The blocking metal layer 150 a overlies the n-type work function metal layer 148 a for protecting the n-type work function metal layer 148 a, in which the blocking metal layer 150 a includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The metal filler 160 a fills a trench (not labeled) peripherally enclosed by the blocking metal layer 150 a, and may have a thickness ranging from about 1000 angstroms to about 5000 angstroms. The metal filler 160 a can be configured to provide an electrical transmission. In some embodiments, the metal filler 160 a may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • The p-type gate structure 100 b includes an initial layer 130 b, a high-k dielectric layer 140 b, a capping metal layer 142 b, a barrier metal layer 144 b, a p-type work function metal layer 146 b, a TiAl layer 148 b a blocking metal layer 150 b, and a metal filler 160 b. The initial layer 130 b is disposed over the semiconductor fin 110 b. In some examples, the initial layer 130 b includes a silicon oxide layer. The high-k dielectric layer 140 b is disposed over the initial layer 130 b, and is enclosed by the gate spacer 122 b. The high-k dielectric layer 140 b may have a thickness ranging from about 10 angstroms to about 20 angstroms. In some embodiments, the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO2) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • The capping metal layer 142 b overlies the high-k dielectric layer 140 b, and is disposed between the high-k dielectric layer 140 b and the p-type work function metal layer 146 b. The capping metal layer 142 b includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The barrier metal layer 144 b overlies the capping metal layer 142 b, and is disposed between the capping metal layer 142 b and the p-type work function metal layer 146 b. The barrier metal layer 144 b includes TaN (tantalum nitride) and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The capping metal layer 142 b and the barrier metal layer 144 b are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the capping metal layer 142 b and the barrier metal layer 144 b is disposed between the high-k dielectric layer 140 b. It is noted that the sequence of the capping metal layer 142 b and the barrier metal layer 144 b may be changed without affecting their purposes.
  • The p-type work function metal layer 146 b overlies the barrier metal layer 144 b, and may have a thickness ranging from about 5 angstroms to about 20 angstroms. The p-type work function metal layer 146 b includes TiN, in which from a result of EDS line scan, an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer 146 b contains an oxygen concentration less than about 10 atom percent (at %), thereby providing the work function metal layer with excellent properties. Oxygen can cause a shift in the work function of the p-type work function metal layer 146 b, and thus the less oxygen concentration can lead to the better quality of the p-type work function metal layer 146 b.
  • The TiAl layer 148 b overlies the p-type work function metal layer 146 b, and is disposed between the p-type work function metal layer 146 b and the blocking metal layer 150 b, and may have a thickness ranging from about 30 angstroms to about 100 angstroms. The blocking metal layer 150 b overlies the TiAl layer 148 b for protecting the TiAl layer 148 b and the p-type work function metal layer 146 b, in which the blocking metal layer 150 b includes TiN, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The metal filler 160 b fills a trench (not labeled) peripherally enclosed by the blocking metal layer 150 b, and may have a thickness ranging from about 1000 angstroms to about 5000 angstroms. The metal filler 160 b can be configured to provide an electrical transmission. In some embodiments, the metal filler 160 b may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • The aforementioned high-k dielectric layers 140 a and 140 b may be formed from one identical layer; the aforementioned capping metal layers 142 a and 142 b may be formed from one identical layer; the aforementioned barrier metal layers 144 a and 144 b may be formed from one identical layer; the aforementioned TiN layer 146 a and p-type work function metal layer 146 b may be formed from one identical layer; the aforementioned n-type work function metal layer 148 b and TiAl layer 148 b may be formed from one identical layer; the aforementioned blocking metal layers 150 a and 150 b may be formed from one identical layer; and the aforementioned metal fillers 160 a and 160 b may be formed from one identical layer.
  • Referring to FIG. 2A and FIG. 2B, FIG. 2A and FIG. 2B are schematic cross-sectional views of a semiconductor device in accordance with certain embodiments of the present disclosure. The semiconductor device includes a semiconductor substrate 202, a semiconductor fin 210 a, a second semiconductor fin 210 b, a n-type gate structure 200 a and a p-type gate structure 200 b. The semiconductor fin 210 a and the semiconductor fin 210 b are disposed on the semiconductor substrate 202, and separated by an isolation structure 204. In some embodiments, the isolation structure 204 is a shallow trench isolation (STI). The semiconductor substrate 202 is defined as any construction including semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used. The semiconductor fins 210 a and 210 b protrude from the semiconductor substrate 202. A gate spacer 222 a is formed on sidewalls of the n-type gate structure 100 a, and a gate spacer 222 b is formed on sidewalls of the p-type gate structure 200 b. The gate spacer 222 a, and the gate spacer 222 b may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material. Source/ drain portions 212 a and 214 a are disposed on the semiconductor fin 210 a adjacent to both sides of the gate spacer 222 a, and thus the source/ drain portions 212 a and 214 a together with the n-type gate structure 200 a forms a NMOS FinFET device. Source/ drain portions 212 b and 214 b are disposed on the semiconductor fin 210 b adjacent to both sides of the gate spacer 222 b, and thus the source/ drain portions 212 b and 214 b together with the p-type gate structure 200 b forms a PMOS FinFET device. In some examples, the source/ drain portions 212 a and 214 a include SiP, and the source/ drain portions 212 b and 214 b include SiGe.
  • In some embodiments, an etching stop layer 220 overlies the gate spacer 222 a, the source/ drain portions 212 a and 214 a, the isolation structure 204, the gate spacer 222 b, and the source/ drain portions 212 b and 214 b. An inter-layer dielectric (ILD) 270 overlies the etching stop layer 220. The ILD 270 may include silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and the like.
  • The n-type gate structure 200 a includes an initial layer 230 a enclosed by a gate spacer 222 a, and the p-type gate structure 200 b includes an initial layer 230 b enclosed by a gate spacer 222 b. Each of the n-type gate structure 200 a and the p-type gate structure 200 b includes an initial layer 230 a includes a high-k dielectric layer 240, a TiN layer 242, a TaN 244, a TiN layer 246, a TiAl layer 248, a TiN layer 250, and a metal filler 260. The initial layer 230 a is disposed over the semiconductor fin 210 a, and the initial layer 230 b is disposed over the semiconductor fin 210 b. In some examples, each of the initial layer 230 a and the initial layer 230 b includes a silicon oxide layer. The high-k dielectric layer 240 is disposed over the initial layers 230 a and 230 b. The high-k dielectric layer 240 may have a thickness ranging from about 10 angstroms to about 20 angstroms. In some embodiments, the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO2) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • The TiN layer 242 overlies the high-k dielectric layer 240, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The TaN layer 244 overlies the TiN layer 242, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The TiN layer 246 overlies the TaN layer 244, and may have a thickness ranging from about 5 angstroms to about 20 angstroms. The TiN layer 242, and the TaN layer 244 are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the TiN layer 242, and the TaN layer 244 is disposed over the high-k dielectric layer 240. It is noted that the sequence of the TiN layer 242, and the TaN layer 244 may be changed without affecting their purposes.
  • The TiAl layer 248 overlies the TiN layer 246 and the high-k dielectric layer 240, and may have a thickness ranging from about 30 angstroms to about 100 angstroms. The blocking metal layer 250 overlies the TiAl layer 248 for protecting the underlying layers, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. The metal filler 260 fills a trench (not labeled) peripherally enclosed by the blocking metal layer 250, and may have a thickness ranging from about 1000 angstroms to about 5000 angstroms. The metal filler 260 can be configured to provide an electrical transmission. In some embodiments, the metal filler 260 may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof. A chemical mechanical polishing (CMP) operation is performed on the metal filler 260 shown in FIG. 2A until the gate spacers 222 a and 222 b are exposed, as shown in FIG. 2B. Therefore, a NMOS FinFET device (the source/ drain portions 212 a and 214 a and the n-type gate structure 200 a) and a PMOS FinFET device (the source/ drain portions 212 b and 214 b together with the p-type gate structure 200 b) can be simultaneously formed, thereby simplifying the fabrication process.
  • The TiAl layer 248 enclosed by the gate spacer 222 a is a n-type work function metal layer, in which both surfaces of the n-type work function metal layer adjoin the TiN layer 246 and the blocking metal layer 250 respectively. From a result of EDS scan line, an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and the both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the n-type work function metal layer are higher than Al atom concentrations at other portions of the n-type work function metal layer, i.e. more Al segregation near or at the both surfaces of the n-type work function metal layer. The TiN layer 246 enclosed by the gate spacer 222 b is a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %). According to the above EDS features, the work function metal layers with excellent properties can be provided.
  • Referring to FIG. 3A to FIG. 3G, FIG. 3A to FIG. 3G are schematic cross-sectional views of intermediate stages showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure.
  • As shown in FIG. 3A, a semiconductor substrate 302 is provided, and is patterned and etched using a photolithography technique to form a semiconductor fin 310 a and a semiconductor fin 310 b which are separated by an isolation structure 304. The semiconductor substrate 310 is defined as any construction including semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used. In some embodiments, a layer of photoresist material (not shown) is deposited over the semiconductor substrate 310, and is irradiated (exposed) in accordance with a desired pattern and developed to remove a portion of the photoresist material. The remaining photoresist material protects the underlying material from subsequent processing operation, such as etching. It should be noted that other masks, such as an oxide or silicon nitride mask, may also be used in the etching process. In other embodiments, the semiconductor fin 310 a and the semiconductor fin 310 b may be epitaxially grown. For example, exposed portions of an underlying material, such as an exposed portion of the semiconductor substrate 210, may be used in an epitaxial process to form the semiconductor fin 310 a and the semiconductor fin 310 b. A mask may be used to control the shape of the semiconductor fin 310 a and the semiconductor fin 310 b during the epitaxial growth process.
  • A ploy gate 380 a is formed on the semiconductor fin 310 a, and a poly gate 380 b is formed on the semiconductor fin 310 b. A gate spacer 322 a is formed on sidewalls of the poly gate 380 a, and a gate spacer 322 b is formed on sidewalls of the poly gate 380 b. The gate spacer 322 a, and the gate spacer 322 b may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material. Source/ drain portions 312 a and 314 a are formed on the semiconductor fin 310 a adjacent to both sides of the gate spacer 322 a. Source/ drain portions 312 b and 314 b are formed on the semiconductor fin 310 b adjacent to both sides of the gate spacer 322 b. In some examples, the source/ drain portions 312 a and 314 a include SiP, and the source/ drain portions 312 b and 314 b include SiGe. In some embodiments, an etching stop layer 320 is formed over the gate spacer 322 a, the source/ drain portions 312 a and 314 a, the isolation structure 304, the gate spacer 322 b, and the source/ drain portions 312 b and 314 b. An inter-layer dielectric (ILD) 370 is formed over the etching stop layer 320. The ILD 370 may include silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and the like.
  • Thereafter, as shown in FIG. 3B, a portion of the ILD 370 is removed to expose the etching stop layer 320 by, for example, wet or dry etching. Then, as shown in FIG. 3C, the etching stop layer 320 and the poly gates 380 a and 380 b are removed by, for example, wet or dry etching. Thereafter, as shown in FIG. 3D, an initial layer 330 a formed over the semiconductor fin 310 a, and the initial layer 330 b is formed over the semiconductor fin 310 b. In some examples, each of the initial layer 330 a and the initial layer 330 b includes a silicon oxide layer, may be formed by using chemical vapor deposition (CVD), thermal oxide, ozone oxidation, other suitable processes.
  • Then, as shown in FIG. 3E, a high-k dielectric layer 340 is formed over the initial layers 330 a and 330 b by using atomic layer deposition (ALD) or another suitable technique. The high-k dielectric layer 240 may have a thickness ranging from about 10 angstroms to about 20 angstroms. In some embodiments, the high-k dielectric layer 140 a may include a high-k dielectric such as hafnium oxide (HfO2) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HfTaO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), or combinations thereof.
  • A TiN layer 342 is formed over the high-k dielectric layer 340 by using ALD or another suitable technique, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. A TaN layer 344 is formed over the TiN layer 342 by using ALD or another suitable technique, and may have a thickness ranging from about 10 angstroms to about 30 angstroms. A TiN layer 346 formed over the TaN layer 344 by using ALD or another suitable technique, and may have a thickness ranging from about 5 angstroms to about 20 angstroms. The TiN layer 342, and the TaN layer 344 are used to prevent impurities from entering underlying layers. In certain embodiments, only one or more of the TiN layer 342, and the TaN layer 344 is formed over the high-k dielectric layer 240. It is noted that the sequence of the TiN layer 342, and the TaN layer 344 may be changed without affecting their purposes. A TiAl layer 348 formed over the TiN layer 346 and the high-k dielectric layer 340 by using ALD or another suitable technique, and may have a thickness ranging from about 30 angstroms to about 100 angstroms. A blocking metal layer 350 formed over the TiAl layer 348 by using ALD or another suitable technique for protecting the underlying layers, and may have a thickness ranging from about 10 angstroms to about 30 angstroms.
  • Then, as shown in FIG. 3F, a metal filler 360 fills a trench (not labeled) peripherally enclosed by the blocking metal layer 350 by using CVD, ALD or another suitable technique. The metal filler 360 can be configured to provide an electrical transmission. In some embodiments, the metal filler 360 may be formed from materials such as tungsten, copper or other suitable materials, and/or combinations thereof.
  • Thereafter, as shown in FIG. 3G, a chemical mechanical polishing (CMP) operation is performed on the metal filler 360 until the gate spacers 322 a and 322 b are exposed. The metal filler 360 may have a thickness ranging from about 1000 angstroms to about 5000 angstroms Therefore, a NMOS FinFET device (the source/ drain portions 312 a and 314 a and the n-type gate structure enclosed by the gate spacer 322 a) and a PMOS FinFET device (the source/ drain portions 312 b and 314 b together and the p-type gate structure enclosed by the gate spacer 322 a) can be simultaneously formed, thereby simplifying the fabrication process.
  • The TiAl layer 348 enclosed by the gate spacer 322 a is a n-type work function metal layer, in which both surfaces of the n-type work function metal layer adjoin the TiN layer 346 and the blocking metal layer 350 respectively. From a result of EDS scan line, an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and the both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the TiAl layer 348 are higher than Al atom concentrations at other portions of the TiAl layer 348, i.e. more Al segregation near or at the both surfaces of the TiAl layer 348. The TiN layer 346 enclosed by the gate spacer 322 b is a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %). According to the above EDS features, the work function metal layers with excellent properties can be provided.
  • Referring to FIG. 4 and FIG. 3G, FIG. 4 is a flow chart showing a method for fabricating a semiconductor device in accordance with some embodiments of the present disclosure. The method begins at operation 400, in which a semiconductor fin 310 a (first semiconductor fin) and a semiconductor fin 310 b (second semiconductor fin) are formed on a semiconductor substrate 302, and are separated by an isolation structure 304. At operation 410, an initial layer 330 a (first initial layer) enclosed by a gate spacer 322 a (first gate spacer) is formed over the semiconductor fin 310 a, and an initial layer 330 b (second initial layer) enclosed by a gate spacer 322 a (second gate spacer) is formed over the semiconductor fin 310 b. At operation 420, a high-k dielectric layer 340 is formed over the initial layers 330 a and 330 b. At operation 430, a TiN layer 342 (first TiN layer) is formed over the high-k dielectric layer 320. At operation 440, a TaN layer 344 overlying the TiN layer 342. At operation 450, a TiN layer 346 (second TiN layer) is formed over the TaN layer 344. At operation 460, a TiAl layer 348 is formed over the TiN layer 346. At operation 470, a TiN layer 350 (third TiN layer) is formed over the TiAl layer 348. At operation 480, a metal filler 360 peripherally enclosed by the TiN layer 350 is formed. The TiAl layer 348 enclosed by the gate spacer 322 a acts as a n-type work function metal layer, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, and both surfaces of the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the TiAl layer 348 are higher than Al atom concentrations at other portions of the TiAl layer 348, i.e. more Al segregation near or at the both surfaces of the TiAl layer 348. The TiN layer 346 enclosed by the gate spacer 322 b acts as a p-type work function metal layer, wherein an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1, and the n-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %).
  • In accordance with some embodiments, a semiconductor device includes a semiconductor substrate; a first semiconductor fin on the semiconductor substrate; a n-type gate structure over the first semiconductor fin; a blocking metal layer overlying the n-type work function metal layer; and a first metal filler peripherally enclosed by the blocking metal layer. The blocking metal layer includes TiN (titanium nitride). The n-type gate structure includes a first initial layer over the first semiconductor fin; a first high-k dielectric layer over the first initial layer and enclosed by a first gate spacer; and a n-type work function metal layer overlying the first high-k dielectric layer, the n-type work function metal layer comprising a TiAl (titanium aluminum) alloy, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3.
  • In accordance with certain embodiments, a semiconductor device includes a semiconductor substrate; a first semiconductor fin and a second semiconductor fin on the semiconductor substrate; a n-type gate structure; and a p-type gate structure. The first semiconductor fin and the second semiconductor fin are separated by an isolation structure. The n-type gate structure includes a first initial layer over the first semiconductor fin and enclosed by a first gate spacer, and the p-type gate structure includes a second initial layer over the second semiconductor fin and enclosed by a second gate spacer. Each of the n-type gate structure and the p-type gate structure includes a high-k dielectric layer over the first initial layer and the second initial layer; a first TiN layer overlying the high-k dielectric layer; a TaN layer overlying the first TiN layer; a second TiN layer overlying the TaN layer; a TiAl layer overlying the second TiN layer; and a third TiN layer overlying the TiAl layer; and a metal filler peripherally enclosed by the third TiN layer. The TiAl layer enclosed by the first gate spacer is a n-type work function metal layer, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3. The second TiN layer enclosed by the second gate spacer is a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.
  • In accordance with some embodiments, a method includes forming a first semiconductor fin and a second semiconductor fin on a semiconductor substrate, in which the first semiconductor fin and the second semiconductor fin are separated by an isolation structure. A first initial layer enclosed by a first gate spacer over the first semiconductor fin is formed, and a second initial layer enclosed by a second gate spacer over the second semiconductor fin is formed. A high-k dielectric layer is formed over the first initial layer and the second initial layer. A first TiN layer is formed over the high-k dielectric layer. A TaN layer is formed over the first TiN layer. A second TiN layer is formed over the TaN layer. A TiAl layer is formed over the second TiN layer. A third TiN layer is formed over the TiAl layer. A metal filler peripherally enclosed by the third TiN layer is formed. The TiAl layer enclosed by the first gate spacer acts as a n-type work function metal layer, in which an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3. The second TiN layer enclosed by the second gate spacer acts as a p-type work function metal layer, in which an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

1. A semiconductor device, comprising:
a semiconductor substrate;
a first semiconductor fin on the semiconductor substrate; and
a n-type gate structure over the first semiconductor fin, the n-type gate structure comprising:
a first initial layer over the first semiconductor fin;
a first high-k dielectric layer over the first initial layer and enclosed by a first gate spacer;
a n-type work function metal layer overlying the first high-k dielectric layer, the n-type work function metal layer comprising a TiAl (titanium aluminum) alloy, wherein an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3, wherein both surfaces of the n-type work function metal layer contain an oxygen concentration substantially less than 10 atom percent (at %);
a first blocking metal layer overlying the n-type work function metal layer; and
a first metal filler peripherally enclosed by the first blocking metal layer.
2. (canceled)
3. The semiconductor device of claim 1, the n-type gate structure further comprising:
a capping metal layer between the first high-k dielectric layer and the n-type work function metal layer, wherein the capping metal layer comprises TiN.
4. The semiconductor device of claim 3, the n-type gate structure further comprising:
a barrier metal layer between the capping metal layer and the n-type work function metal layer, the barrier metal layer comprising TaN (tantalum nitride); and
a TiN layer between the barrier metal layer and the n-type work function metal layer.
5. The semiconductor device of claim 1, wherein Al atom concentrations near or at both of the surfaces of the n-type work function metal layer are higher than Al atom concentrations at other portions of the n-type work function metal layer.
6. The semiconductor device of claim 1, further comprising:
a second semiconductor fin on the semiconductor substrate, wherein the first semiconductor fin and the second semiconductor fin are separated by an isolation structure; and
a p-type gate structure over the second semiconductor fin, the p-type gate structure comprising:
a second initial layer over the second semiconductor fin;
a second high-k dielectric layer over the second initial layer and enclosed by a second gate spacer;
a p-type work function metal layer overlying the second high-k dielectric layer, the p-type work function metal layer comprising titanium nitride (TiN), wherein an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1;
a second blocking metal layer overlying the p-type work function metal layer; and
a second metal filler peripherally enclosed by the second blocking metal layer.
7. The semiconductor device of claim 6, wherein the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %).
8. The semiconductor device of claim 6, the p-type gate structure further comprising a TiAl layer disposed between the p-type work function metal layer and the second blocking metal layer.
9. The semiconductor device of claim 6, the p-type gate structure further comprising:
a capping metal layer between the first high-k dielectric layer and the p-type work function metal layer, wherein the capping metal layer comprises TiN.
10. The semiconductor device of claim 9, the p-type gate structure further comprising:
a barrier metal layer between the capping metal layer and the p-type work function metal layer, wherein the barrier metal layer comprises TaN.
11. The semiconductor device of claim 6, wherein each of the first initial layer and the second initial layer comprises a silicon oxide layer.
12. A semiconductor device, comprising:
a semiconductor substrate;
a first semiconductor fin and a second semiconductor fin on the semiconductor substrate, wherein the first semiconductor fin and the second semiconductor fin are separated by an isolation structure; and
a n-type gate structure comprising a first initial layer over the first semiconductor fin and enclosed by a first gate spacer, and a p-type gate structure comprising a second initial layer over the second semiconductor fin and enclosed by a second gate spacer, each of the n-type gate structure and the p-type gate structure comprising:
a high-k dielectric layer over the first initial layer and the second initial layer;
a first TiN layer overlying the high-k dielectric layer;
a TaN layer overlying the first TiN layer;
a second TiN layer overlying the TaN layer;
a TiAl layer overlying the second TiN layer;
a third TiN layer overlying the TiAl layer; and
a metal filler peripherally enclosed by the third TiN layer;
wherein the TiAl layer enclosed by the first gate spacer is a n-type work function metal layer, wherein an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3; and
the second TiN layer enclosed by the second gate spacer is a p-type work function metal layer, wherein an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.
13. The semiconductor device of claim 12, wherein both surfaces of the n-type work function metal layer contain an oxygen concentration substantially less than 10 atom percent (at %).
14. The semiconductor device of claim 12, wherein the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %).
15. The semiconductor device of claim 12, wherein Al atom concentrations near or at both surfaces of the n-type work function metal layer are higher than Al atom concentrations at other portions of the n-type work function metal layer.
16. A method for forming a semiconductor device, the method comprising:
forming a first semiconductor fin and a second semiconductor fin on a semiconductor substrate, wherein the first semiconductor fin and the second semiconductor fin are separated by an isolation structure;
forming a first initial layer enclosed by a first gate spacer over the first semiconductor fin, and a second initial layer enclosed by a second gate spacer over the second semiconductor fin;
forming a high-k dielectric layer over the first initial layer and the second initial layer;
forming a first TiN layer over the high-k dielectric layer;
forming a TaN layer over the first TiN layer;
forming a second TiN layer over the TaN layer;
forming a TiAl layer over the second TiN layer;
forming a third TiN layer over the TiAl layer; and
forming a metal filler peripherally enclosed by the third TiN layer;
wherein the TiAl layer enclosed by the first gate spacer acts as a n-type work function metal layer, wherein an atom ratio of Ti (titanium) to Al (aluminum) is in a range substantially from 1 to 3; and
the second TiN layer enclosed by the second gate spacer acts as a p-type work function metal layer, wherein an atom ratio of Ti to N (nitrogen) is in a range substantially from 1:0.9 to 1:1.1.
17. The method of claim 16, wherein operations of forming the first TiN layer, the second TiN layer, the third TiN layer, the TaN layer, the TiAl layer and the metal filler are performed by atomic layer deposition (ALD).
18. The method of claim 16, wherein both surfaces of the n-type work function metal layer contain an oxygen concentration substantially less than 10 atom percent (at %), and Al atom concentrations near or at the both surfaces of the n-type work function metal layer are higher than Al atom concentrations at other portions of the n-type work function metal layer.
19. The method of claim 16, wherein the p-type work function metal layer contains an oxygen concentration substantially less than 10 atom percent (at %).
20. The method of claim 16, further comprising:
forming source/drain portions respectively on the first semiconductor fin adjacent to both sides of the first gate spacer, and on the second semiconductor fin adjacent to both sides of the second gate spacer.
US14/983,422 2015-10-28 2015-12-29 FinFET gate structure and method for fabricating the same Active US9620610B1 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US14/983,422 US9620610B1 (en) 2015-10-28 2015-12-29 FinFET gate structure and method for fabricating the same
DE102016100268.2A DE102016100268A1 (en) 2015-10-28 2016-01-09 FINFET GATE STRUCTURE AND METHOD FOR THE PRODUCTION THEREOF
KR1020160035985A KR101827093B1 (en) 2015-10-28 2016-03-25 Finfet gate structure and method for fabricating the same
TW105120842A TWI610353B (en) 2015-10-28 2016-06-30 Finfet gate structure and method for fabricating the same
CN201610534560.4A CN106653833B (en) 2015-10-28 2016-07-08 Fin field effect transistor device and the method for forming fin field effect transistor device
US15/382,478 US9824929B2 (en) 2015-10-28 2016-12-16 FinFET gate structure and method for fabricating the same
US15/483,098 US10090206B2 (en) 2015-10-28 2017-04-10 FinFET gate structure and method for fabricating the same
US15/813,448 US10340192B2 (en) 2015-10-28 2017-11-15 FinFET gate structure and method for fabricating the same
US16/148,106 US10832974B2 (en) 2015-10-28 2018-10-01 FinFET gate structure and method for fabricating the same
US16/458,495 US10832959B2 (en) 2015-10-28 2019-07-01 FinFET gate structure and method for fabricating the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562247480P 2015-10-28 2015-10-28
US14/983,422 US9620610B1 (en) 2015-10-28 2015-12-29 FinFET gate structure and method for fabricating the same

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/382,478 Continuation-In-Part US9824929B2 (en) 2015-10-28 2016-12-16 FinFET gate structure and method for fabricating the same
US15/483,098 Division US10090206B2 (en) 2015-10-28 2017-04-10 FinFET gate structure and method for fabricating the same

Publications (2)

Publication Number Publication Date
US9620610B1 US9620610B1 (en) 2017-04-11
US20170125537A1 true US20170125537A1 (en) 2017-05-04

Family

ID=58461841

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/983,422 Active US9620610B1 (en) 2015-10-28 2015-12-29 FinFET gate structure and method for fabricating the same
US15/483,098 Active US10090206B2 (en) 2015-10-28 2017-04-10 FinFET gate structure and method for fabricating the same
US16/148,106 Active US10832974B2 (en) 2015-10-28 2018-10-01 FinFET gate structure and method for fabricating the same

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/483,098 Active US10090206B2 (en) 2015-10-28 2017-04-10 FinFET gate structure and method for fabricating the same
US16/148,106 Active US10832974B2 (en) 2015-10-28 2018-10-01 FinFET gate structure and method for fabricating the same

Country Status (5)

Country Link
US (3) US9620610B1 (en)
KR (1) KR101827093B1 (en)
CN (1) CN106653833B (en)
DE (1) DE102016100268A1 (en)
TW (1) TWI610353B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200120859A (en) * 2019-04-12 2020-10-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacture
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620610B1 (en) * 2015-10-28 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
CN107039439B (en) * 2016-02-04 2020-03-10 中芯国际集成电路制造(上海)有限公司 Memory and forming method thereof
US10020202B2 (en) * 2016-04-15 2018-07-10 Globalfoundries Inc. Fabrication of multi threshold-voltage devices
KR102379707B1 (en) 2017-09-13 2022-03-28 삼성전자주식회사 semiconductor device
US10504782B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
DE102018122654A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. FINNENFELDEFFEKTTRANSISTORVORRICHTUNG AND METHOD FOR MAKING THE SAME
US10529815B2 (en) 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices
US11282933B2 (en) * 2017-11-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a work function material gradient
EP3732726A4 (en) * 2017-12-26 2021-08-18 Intel Corporation Switching device having gate stack with low oxide growth
KR102418061B1 (en) * 2018-01-09 2022-07-06 삼성전자주식회사 Semiconductor device
US10797151B2 (en) 2018-09-27 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures for field effect transistors
JP2021048239A (en) * 2019-09-18 2021-03-25 キオクシア株式会社 Semiconductor device and manufacturing method of the same
KR20210059471A (en) 2019-11-15 2021-05-25 삼성전자주식회사 Integrated circuits and method of manufacturing the same
US11502081B2 (en) 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130277686A1 (en) * 2012-04-23 2013-10-24 An-Chi Liu Semiconductor Structure with Metal Gate and Method of Fabricating the Same
US8647972B1 (en) * 2012-09-13 2014-02-11 International Business Machines Corporation Multi-layer work function metal replacement gate
US20140167187A1 (en) * 2012-12-19 2014-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. N Metal for FinFET
US20150279680A1 (en) * 2014-04-01 2015-10-01 Globalfoundries Inc. Deposition of titanium-aluminum layers
US20160093535A1 (en) * 2014-09-26 2016-03-31 Qualcomm Incorporated Method and apparatus of multi threshold voltage cmos
US20160163799A1 (en) * 2014-12-04 2016-06-09 So-Yeon Kim Semiconductor devices and methods of manufacturing the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19515564B4 (en) 1994-04-28 2008-07-03 Denso Corp., Kariya Electrode for a semiconductor device and method of making the same
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US8642424B2 (en) * 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US9595443B2 (en) 2011-10-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8586436B2 (en) 2012-03-20 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device
US8921171B2 (en) * 2012-07-16 2014-12-30 Institute of Microelectronics, Chinese Academy of Sciences Method for forming gate structure, method for forming semiconductor device, and semiconductor device
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
KR102060834B1 (en) 2013-07-23 2019-12-30 삼성전자주식회사 Semiconductor device and method of manufacturing the same
CN104347503A (en) 2013-07-30 2015-02-11 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
US9384984B2 (en) * 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US20150061042A1 (en) 2013-09-03 2015-03-05 United Microelectronics Corp. Metal gate structure and method of fabricating the same
US9590065B2 (en) 2013-12-04 2017-03-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with metal gate structure comprising work-function metal layer and work-fuction adjustment layer
KR102212267B1 (en) 2014-03-19 2021-02-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102394887B1 (en) 2014-09-01 2022-05-04 삼성전자주식회사 Method for fabricating semiconductor device
KR102230196B1 (en) 2015-04-23 2021-03-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9620610B1 (en) * 2015-10-28 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US9824929B2 (en) * 2015-10-28 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130277686A1 (en) * 2012-04-23 2013-10-24 An-Chi Liu Semiconductor Structure with Metal Gate and Method of Fabricating the Same
US8647972B1 (en) * 2012-09-13 2014-02-11 International Business Machines Corporation Multi-layer work function metal replacement gate
US20140167187A1 (en) * 2012-12-19 2014-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. N Metal for FinFET
US20150279680A1 (en) * 2014-04-01 2015-10-01 Globalfoundries Inc. Deposition of titanium-aluminum layers
US20160093535A1 (en) * 2014-09-26 2016-03-31 Qualcomm Incorporated Method and apparatus of multi threshold voltage cmos
US20160163799A1 (en) * 2014-12-04 2016-06-09 So-Yeon Kim Semiconductor devices and methods of manufacturing the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200120859A (en) * 2019-04-12 2020-10-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacture
KR102263324B1 (en) * 2019-04-12 2021-06-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacture
US11127857B2 (en) 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US11916146B2 (en) 2019-09-16 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer

Also Published As

Publication number Publication date
KR20170049350A (en) 2017-05-10
US10832974B2 (en) 2020-11-10
US20170213770A1 (en) 2017-07-27
DE102016100268A1 (en) 2017-05-04
KR101827093B1 (en) 2018-02-07
US20190043762A1 (en) 2019-02-07
CN106653833B (en) 2019-10-15
CN106653833A (en) 2017-05-10
TWI610353B (en) 2018-01-01
US9620610B1 (en) 2017-04-11
TW201730942A (en) 2017-09-01
US10090206B2 (en) 2018-10-02

Similar Documents

Publication Publication Date Title
US10832974B2 (en) FinFET gate structure and method for fabricating the same
US10832959B2 (en) FinFET gate structure and method for fabricating the same
KR102141213B1 (en) Negative capacitance fet with improved reliability performance
US9972694B2 (en) Atomic layer deposition methods and structures thereof
US9537010B2 (en) Semiconductor device structure and method for forming the same
US9799745B2 (en) Atomic layer deposition methods and structures thereof
US10008494B2 (en) Semiconductor component and method for fabricating the same
US20140264478A1 (en) Interface for metal gate integration
US10910483B2 (en) Fin diode structure and methods thereof
CN108206211B (en) Semiconductor device and method of forming the same
CN113809015B (en) Three-layer high-k gate dielectric stack for work function engineering
US20230317523A1 (en) Semiconductor structure and method for forming the same
US11562910B2 (en) Semiconductor structure and method for forming thereof
US20240030310A1 (en) Semiconductor device and method for forming the same
US20230197856A1 (en) Semiconductor device structure and method for forming the same
US20230162983A1 (en) Semiconductor devices with metal intercalated high-k capping
US20240021685A1 (en) Co-optimization of finfet devices by source/drain modulation and structures thereof
US20240120334A1 (en) Semiconductor device structure with gate dielectric layer and method for forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JANGJIAN, SHIU-KO;HUNG, CHI-CHENG;LIU, CHI-WEN;AND OTHERS;SIGNING DATES FROM 20151215 TO 20151221;REEL/FRAME:037414/0791

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
CC Certificate of correction
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4