US20170062416A1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
US20170062416A1
US20170062416A1 US14/873,223 US201514873223A US2017062416A1 US 20170062416 A1 US20170062416 A1 US 20170062416A1 US 201514873223 A US201514873223 A US 201514873223A US 2017062416 A1 US2017062416 A1 US 2017062416A1
Authority
US
United States
Prior art keywords
gate structure
silicon layer
layer
substrate
contact plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/873,223
Other versions
US9748233B2 (en
Inventor
Chia Chang Hsu
Chun-Hsien Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSU, CHIA CHANG, LIN, CHUN-HSIEN
Publication of US20170062416A1 publication Critical patent/US20170062416A1/en
Priority to US15/641,336 priority Critical patent/US9922974B2/en
Application granted granted Critical
Publication of US9748233B2 publication Critical patent/US9748233B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • the invention relates to a method for fabricating semiconductor device, and more particularly, to a method of forming amorphous silicon layer on one side of the gate structure and contact plug on another side of the gate structure.
  • FinFET fin field effect transistor technology
  • contact areas for contact plugs decrease substantially after the fabrication of semiconductor device enters 10 nm node and results in increase of resistance.
  • the fabrication of contact plugs also requires more masks to be used. The increase of masks further induces an increase in resistance when even a little shift is found in active region and degrades the operation of the device. Hence, how to resolve this issue has become an important task in this field.
  • a method for fabricating semiconductor device includes the steps of: providing a substrate having a gate structure thereon; forming a silicon layer on the substrate to cover the gate structure entirely; planarizing the silicon layer; and performing a replacement metal gate (RMG) process to transform the gate structure into a metal gate.
  • RMG replacement metal gate
  • a semiconductor device includes: a substrate; a first gate structure on the substrate; a first spacer adjacent to the first gate structure; a first contact plug adjacent to the first gate structure and contact the first spacer; and a silicon layer around the first gate structure.
  • FIGS. 1-6 illustrate a method for fabricating semiconductor device according to a preferred embodiment of the present invention.
  • FIGS. 1-6 illustrate a method for fabricating semiconductor device according to a preferred embodiment of the present invention.
  • a substrate 12 such as a silicon substrate or silicon-on-insulator (SOI) substrate is provided, and a transistor region, such as a PMOS region or a NMOS region is defined on the substrate 12 .
  • a fin-shaped structure 14 and an insulating layer are formed on the substrate 12 , in which the bottom of the fin-shapes structure 14 is preferably enclosed by the insulating layer, such as silicon oxide to form a shallow trench isolation (STI).
  • STI shallow trench isolation
  • a plurality of gate structures 16 and 18 are formed on part of the fin-shaped structure 14 . It should be noted that even though two gate structures are disclosed in this embodiment, the quantity of the gate structures is not limited to two, but could by any quantity depending on the demand of the product.
  • the formation of the fin-shaped structure 14 could be accomplished by first forming a patterned mask (now shown) on the substrate, 12 , and an etching process is performed to transfer the pattern of the patterned mask to the substrate 12 .
  • the patterned mask could be stripped selectively or retained, and deposition, chemical mechanical polishing (CMP), and etching back processes are carried out to form an insulating layer surrounding the bottom of the fin-shaped structure 14 .
  • CMP chemical mechanical polishing
  • the formation of the fin-shaped structure 14 could also be accomplished by first forming a patterned hard mask (not shown) on the substrate 12 , and then performing an epitaxial process on the exposed substrate 12 through the patterned hard mask to grow a semiconductor layer. This semiconductor layer could then be used as the corresponding fin-shaped structure 14 .
  • the patterned hard mask could be removed selectively or retained, and deposition, CMP, and then etching back could be used to form a STI surrounding the bottom of the fin-shaped structure 14 .
  • a patterned mask could be used to etch a semiconductor layer on the substrate until reaching a bottom oxide layer underneath the semiconductor layer to form the corresponding fin-shaped structure. If this means is chosen the aforementioned steps for fabricating the STI could be eliminated.
  • gate structures 16 and 18 could be accomplished by a gate first process, a high-k first approach from gate last process, or a high-k last approach from gate last process. Since this embodiment pertains to a high-k first approach, gate structures 16 and 18 composed of high-k dielectric layer and polysilicon material 20 could be first formed on the fin-shaped structure 14 and spacers 22 and 24 are formed on the sidewall of the gate structures 16 and 18 . A source/drain region 26 and/or epitaxial layer 28 are then formed in the fin-shaped structure 14 and/or substrate 12 adjacent to two sides of the spacers 22 and 24 , and a silicide layer (not shown) could be selectively formed on the source/drain region 26 and/or epitaxial layer 28 .
  • a liner 30 could be selectively formed on the substrate 12 gate structures 16 and 18 , and a silicon layer 32 is formed on the liner 30 thereafter.
  • a planarizing process such as CMP is conducted to remove part of the silicon layer 32 and part of the liner 30 so that the top surfaces of the silicon layer 32 , liner 30 , and gate structures 16 and 18 are coplanar.
  • the liner 30 could be selected from the group consisting of silicon oxide and silicon nitride
  • the silicon layer 32 is selected from the group consisting of amorphous silicon, polysilicon, and epitaxial layer, but most preferably amorphous silicon.
  • a replacement metal gate (RMG) process is conducted to transform the gate structures 16 and 18 into metal gates.
  • the RMG process could be accomplished by first performing a selective dry etching or wet etching process, such as using etchants including ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH) to remove the polysilicon material 20 from each of the gate structures 16 and 18 for forming a recess (not shown).
  • a conductive layer including at least a U-shaped work function metal layer 34 and a low resistance metal layer 36 is formed in each recess, and a planarizing process is conducted so that the surfaces of the U-shaped work function layer 34 and low resistance metal layer 36 are even with the surface of the silicon layer 32 .
  • the cross-section of high-k dielectric layer (not shown) could be either I-shaped or U-shaped.
  • the work function metal layer 34 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS.
  • the work function metal layer 34 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungstenaluminide (WAl), tantalumaluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto.
  • the work function metal layer 34 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto.
  • An optional barrier layer (not shown) could be formed between the work function metal layer 34 and the low resistance metal layer 36 , in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN).
  • the material of the low-resistance metal layer 36 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof. Since the process of using RMG process to transform dummy gate into metal gate is well known to those skilled in the art, the details of which are not explained herein for the sake of brevity. According to an embodiment of the present invention, part of the work function metal layer 34 and part of the low resistance metal layer 36 of the gate structures 16 and 18 could be removed to form recess (not shown), and a hard mask (not shown) is filled into each recess so that the surfaces of the hard mask and silicon layer 32 are coplanar. Preferably, the hard mask could be selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbon nitride.
  • a patterned mask (not shown) is formed on the gate structures 16 and 18 to expose the silicon layer 32 between the gate structures 16 and 18 , and an etching process is conducted by using the patterned mask as mask to remove the silicon layer 32 adjacent to the gate structures 16 and 18 , or more specifically the silicon layer 32 between two adjacent gate structures 16 and 18 for forming a contact hole 38 .
  • the contact hole 38 completely exposes the spacers 22 and 24 between the two adjacent gate structures 16 and 18 .
  • a contact plug formation process is conducted by depositing metal materials into the contact hole 38 , which could be accomplished by sequentially forming a barrier layer 40 and a metal layer 42 composed of low resistance material into the contact hole 38 .
  • the barrier layer 40 is selected from the group consisting of Ti, TiN, Ta, and TaN while the metal layer 42 is selected from the group consisting of W, Cu, Al, TiAl, and CoWP.
  • a planarizing process such as CMP is then conducted to remove part of the barrier layer 40 and part of the metal layer 42 for forming a contact plug 44 in the contact hole 38 .
  • the contact plug 44 preferably contacts the spacers 22 and 24 directly and electrically connected to the source/drain region 26 and epitaxial layer 28 in the substrate 12 .
  • an interlayer dielectric (ILD) layer 46 is formed on the silicon layer 32 , the gate structures 16 and 18 , and on the contact plug 44 , and a plurality of contact holes (not shown) is formed in the ILD layer 46 , in which the ILD layer 46 and silicon layer 32 are preferably composed of different material.
  • the ILD layer 46 could be selected from the group consisting of silicon oxide and silicon nitride.
  • a contact formation is conducted to form a plurality of contact plugs 52 composed of barrier layer 48 and metal layer 50 in the ILD layer 46 , in which the contact plugs 52 are electrically connected to the gate structures 16 and and the contact plug 44 respectively. This completes the fabrication of a semiconductor device according to a preferred embodiment of the present invention.
  • the semiconductor device includes a substrate 12 , a gate structure 16 and a gate structure 18 on the substrate 12 , a spacer 22 adjacent to the gate structure 16 , a spacer 24 adjacent to the gate structure 18 , a contact plug 44 adjacent to the gate structures 16 and 18 and contacts the spacers 22 and 24 directly, and a silicon layer 32 surrounding the gate structures 16 and 18 .
  • the silicon layer 32 is disposed on the left side of gate structure 16
  • the contact plug 44 is disposed on the right side of gate structure 16
  • the contact plug 44 is disposed on the left side of gate structure 18 .
  • the contact plug 44 is disposed between the gate structures 16 and 18 while contacting the spacers 22 and 24 at the same time, the sidewalls of the contact plug 44 is totally consisting of the spacers 22 on the left and the spacer 24 on the right, or no other elements such as silicon layer or ILD layer is disposed between the gate structures 16 and 18 except the contact plug 44 .
  • the top surfaces of the silicon layer 32 , gate structure 16 , gate structure 18 , and contact plug 44 are all coplanar.
  • the silicon layer 32 is preferably composed of amorphous silicon
  • the contact plug 44 is composed of a barrier layer 40 and a metal layer 42 .
  • a ILD layer 46 is further disposed on the silicon layer 32 and gate structures 16 and 18 , and a plurality of contact plugs 52 are formed in the ILD layer 46 to electrically connect the gate structures 16 and 18 and contact plug 44 .
  • the ILD layer 46 and silicon layer 32 are composed of different material.
  • the ILD layer 46 could be selected from the group consisting of silicon oxide and silicon nitride.
  • the present invention first forms at least a gate structure on a substrate, forms a silicon layer preferably composed of amorphous silicon on the substrate and the gate structure, planarizes the silicon layer, uses RMG process to transform the gate structure into metal gate, removes the silicon layer on one side of the gate structure to form contact hole, and then forms a contact plug in the contact hole.

Abstract

A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having a gate structure thereon; forming a silicon layer on the substrate to cover the gate structure entirely; planarizing the silicon layer; and performing a replacement metal gate (RMG) process to transform the gate structure into a metal gate.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to a method for fabricating semiconductor device, and more particularly, to a method of forming amorphous silicon layer on one side of the gate structure and contact plug on another side of the gate structure.
  • 2. Description of the Prior Art
  • With the trend in the industry being towards scaling down the size of the metal oxide semiconductor transistors (MOS), three-dimensional or non-planar transistor technology, such as fin field effect transistor technology (FinFET) has been developed to replace planar MOS transistors. Since the three-dimensional structure of a FinFET increases the overlapping area between the gate and the fin-shaped structure of the silicon substrate, the channel region can therefore be more effectively controlled. This way, the drain-induced barrier lowering (DIBL) effect and the short channel effect are reduced. The channel region is also longer for an equivalent gate length, thus the current between the source and the drain is increased. In addition, the threshold voltage of the FinFET can be controlled by adjusting the work function of the gate.
  • Typically, contact areas for contact plugs decrease substantially after the fabrication of semiconductor device enters 10 nm node and results in increase of resistance. Moreover, the fabrication of contact plugs also requires more masks to be used. The increase of masks further induces an increase in resistance when even a little shift is found in active region and degrades the operation of the device. Hence, how to resolve this issue has become an important task in this field.
  • SUMMARY OF THE INVENTION
  • According to a preferred embodiment of the present invention, a method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having a gate structure thereon; forming a silicon layer on the substrate to cover the gate structure entirely; planarizing the silicon layer; and performing a replacement metal gate (RMG) process to transform the gate structure into a metal gate.
  • According to another aspect of the present invention, a semiconductor device is disclosed. The semiconductor device includes: a substrate; a first gate structure on the substrate; a first spacer adjacent to the first gate structure; a first contact plug adjacent to the first gate structure and contact the first spacer; and a silicon layer around the first gate structure.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-6 illustrate a method for fabricating semiconductor device according to a preferred embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIGS. 1-6, FIGS. 1-6 illustrate a method for fabricating semiconductor device according to a preferred embodiment of the present invention. As shown in FIG. 1, a substrate 12, such as a silicon substrate or silicon-on-insulator (SOI) substrate is provided, and a transistor region, such as a PMOS region or a NMOS region is defined on the substrate 12. At least a fin-shaped structure 14 and an insulating layer (not shown) are formed on the substrate 12, in which the bottom of the fin-shapes structure 14 is preferably enclosed by the insulating layer, such as silicon oxide to form a shallow trench isolation (STI). A plurality of gate structures 16 and 18 are formed on part of the fin-shaped structure 14. It should be noted that even though two gate structures are disclosed in this embodiment, the quantity of the gate structures is not limited to two, but could by any quantity depending on the demand of the product.
  • The formation of the fin-shaped structure 14 could be accomplished by first forming a patterned mask (now shown) on the substrate, 12, and an etching process is performed to transfer the pattern of the patterned mask to the substrate 12. Next, depending on the structural difference of a tri-gate transistor or dual-gate fin-shaped transistor being fabricated, the patterned mask could be stripped selectively or retained, and deposition, chemical mechanical polishing (CMP), and etching back processes are carried out to form an insulating layer surrounding the bottom of the fin-shaped structure 14. Alternatively, the formation of the fin-shaped structure 14 could also be accomplished by first forming a patterned hard mask (not shown) on the substrate 12, and then performing an epitaxial process on the exposed substrate 12 through the patterned hard mask to grow a semiconductor layer. This semiconductor layer could then be used as the corresponding fin-shaped structure 14. In another fashion, the patterned hard mask could be removed selectively or retained, and deposition, CMP, and then etching back could be used to form a STI surrounding the bottom of the fin-shaped structure 14. Moreover, if the substrate 12 were a SOI substrate, a patterned mask could be used to etch a semiconductor layer on the substrate until reaching a bottom oxide layer underneath the semiconductor layer to form the corresponding fin-shaped structure. If this means is chosen the aforementioned steps for fabricating the STI could be eliminated.
  • The fabrication of the gate structures 16 and 18 could be accomplished by a gate first process, a high-k first approach from gate last process, or a high-k last approach from gate last process. Since this embodiment pertains to a high-k first approach, gate structures 16 and 18 composed of high-k dielectric layer and polysilicon material 20 could be first formed on the fin-shaped structure 14 and spacers 22 and 24 are formed on the sidewall of the gate structures 16 and 18. A source/drain region 26 and/or epitaxial layer 28 are then formed in the fin-shaped structure 14 and/or substrate 12 adjacent to two sides of the spacers 22 and 24, and a silicide layer (not shown) could be selectively formed on the source/drain region 26 and/or epitaxial layer 28.
  • Next, as shown in FIG. 2, a liner 30 could be selectively formed on the substrate 12 gate structures 16 and 18, and a silicon layer 32 is formed on the liner 30 thereafter. Next, a planarizing process, such as CMP is conducted to remove part of the silicon layer 32 and part of the liner 30 so that the top surfaces of the silicon layer 32, liner 30, and gate structures 16 and 18 are coplanar. In this embodiment, the liner 30 could be selected from the group consisting of silicon oxide and silicon nitride, the silicon layer 32 is selected from the group consisting of amorphous silicon, polysilicon, and epitaxial layer, but most preferably amorphous silicon.
  • Next, a replacement metal gate (RMG) process is conducted to transform the gate structures 16 and 18 into metal gates. The RMG process could be accomplished by first performing a selective dry etching or wet etching process, such as using etchants including ammonium hydroxide (NH4OH) or tetramethylammonium hydroxide (TMAH) to remove the polysilicon material 20 from each of the gate structures 16 and 18 for forming a recess (not shown). Next, a conductive layer including at least a U-shaped work function metal layer 34 and a low resistance metal layer 36 is formed in each recess, and a planarizing process is conducted so that the surfaces of the U-shaped work function layer 34 and low resistance metal layer 36 are even with the surface of the silicon layer 32. Depending on the high-k first approach or high-k last approach being conducted, the cross-section of high-k dielectric layer (not shown) could be either I-shaped or U-shaped.
  • In this embodiment, the work function metal layer 34 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS. For an NMOS transistor, the work function metal layer 34 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungstenaluminide (WAl), tantalumaluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto. For a PMOS transistor, the work function metal layer 34 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto. An optional barrier layer (not shown) could be formed between the work function metal layer 34 and the low resistance metal layer 36, in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN). Furthermore, the material of the low-resistance metal layer 36 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof. Since the process of using RMG process to transform dummy gate into metal gate is well known to those skilled in the art, the details of which are not explained herein for the sake of brevity. According to an embodiment of the present invention, part of the work function metal layer 34 and part of the low resistance metal layer 36 of the gate structures 16 and 18 could be removed to form recess (not shown), and a hard mask (not shown) is filled into each recess so that the surfaces of the hard mask and silicon layer 32 are coplanar. Preferably, the hard mask could be selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbon nitride.
  • Next, as shown in FIG. 4, a patterned mask (not shown) is formed on the gate structures 16 and 18 to expose the silicon layer 32 between the gate structures 16 and 18, and an etching process is conducted by using the patterned mask as mask to remove the silicon layer 32 adjacent to the gate structures 16 and 18, or more specifically the silicon layer 32 between two adjacent gate structures 16 and 18 for forming a contact hole 38. Preferably, the contact hole 38 completely exposes the spacers 22 and 24 between the two adjacent gate structures 16 and 18.
  • Next, as shown in FIG. 5, a contact plug formation process is conducted by depositing metal materials into the contact hole 38, which could be accomplished by sequentially forming a barrier layer 40 and a metal layer 42 composed of low resistance material into the contact hole 38. The barrier layer 40 is selected from the group consisting of Ti, TiN, Ta, and TaN while the metal layer 42 is selected from the group consisting of W, Cu, Al, TiAl, and CoWP. A planarizing process such as CMP is then conducted to remove part of the barrier layer 40 and part of the metal layer 42 for forming a contact plug 44 in the contact hole 38. The contact plug 44 preferably contacts the spacers 22 and 24 directly and electrically connected to the source/drain region 26 and epitaxial layer 28 in the substrate 12.
  • Next, as shown in FIG. 6, an interlayer dielectric (ILD) layer 46 is formed on the silicon layer 32, the gate structures 16 and 18, and on the contact plug 44, and a plurality of contact holes (not shown) is formed in the ILD layer 46, in which the ILD layer 46 and silicon layer 32 are preferably composed of different material. For instance, the ILD layer 46 could be selected from the group consisting of silicon oxide and silicon nitride. Next, a contact formation is conducted to form a plurality of contact plugs 52 composed of barrier layer 48 and metal layer 50 in the ILD layer 46, in which the contact plugs 52 are electrically connected to the gate structures 16 and and the contact plug 44 respectively. This completes the fabrication of a semiconductor device according to a preferred embodiment of the present invention.
  • Referring again to FIG. 6, which further illustrates a structural view of a semiconductor device according to a preferred embodiment of the present invention. As shown in FIG. 6, the semiconductor device includes a substrate 12, a gate structure 16 and a gate structure 18 on the substrate 12, a spacer 22 adjacent to the gate structure 16, a spacer 24 adjacent to the gate structure 18, a contact plug 44 adjacent to the gate structures 16 and 18 and contacts the spacers 22 and 24 directly, and a silicon layer 32 surrounding the gate structures 16 and 18.
  • Specifically, the silicon layer 32 is disposed on the left side of gate structure 16, the contact plug 44 is disposed on the right side of gate structure 16, and the contact plug 44 is disposed on the left side of gate structure 18. The contact plug 44 is disposed between the gate structures 16 and 18 while contacting the spacers 22 and 24 at the same time, the sidewalls of the contact plug 44 is totally consisting of the spacers 22 on the left and the spacer 24 on the right, or no other elements such as silicon layer or ILD layer is disposed between the gate structures 16 and 18 except the contact plug 44. In addition, the top surfaces of the silicon layer 32, gate structure 16, gate structure 18, and contact plug 44 are all coplanar. In this embodiment, the silicon layer 32 is preferably composed of amorphous silicon, the contact plug 44 is composed of a barrier layer 40 and a metal layer 42.
  • A ILD layer 46 is further disposed on the silicon layer 32 and gate structures 16 and 18, and a plurality of contact plugs 52 are formed in the ILD layer 46 to electrically connect the gate structures 16 and 18 and contact plug 44. In this embodiment, the ILD layer 46 and silicon layer 32 are composed of different material. For instance, the ILD layer 46 could be selected from the group consisting of silicon oxide and silicon nitride.
  • Overall, the present invention first forms at least a gate structure on a substrate, forms a silicon layer preferably composed of amorphous silicon on the substrate and the gate structure, planarizes the silicon layer, uses RMG process to transform the gate structure into metal gate, removes the silicon layer on one side of the gate structure to form contact hole, and then forms a contact plug in the contact hole. This produces a device having silicon layer on one side of the gate structure and contact plug on the other side of the gate structure. By using the aforementioned fabrication process, it would be desirable to reduce the difficulty for fabricating contact plugs as the semiconductor industry enters lOnm node and beyond and increase the contact area of the contact plug at the same time.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (13)

1. A method for fabricating semiconductor device, comprising:
providing a substrate having a gate structure thereon;
forming a silicon layer on the substrate to cover the gate structure entirely;
planarizing the silicon layer; and
performing a replacement metal gate (RMG) process to transform the gate structure into a metal gate.
2. The method of claim 1, wherein the silicon layer comprises an amorphous silicon layer.
3. The method of claim 1, further comprising forming a liner on the substrate and the gate structure before forming the silicon layer.
4. The method of claim 3, wherein the liner comprises silicon oxide or silicon nitride.
5. The method of claim 1, further comprising:
forming a spacer adjacent to the gate structure;
forming a source/drain region in the substrate adjacent to the spacer; and
forming the silicon layer to cover the gate structure and the spacer.
6. The method of claim 5, further comprising:
removing part of the silicon layer adjacent to the gate structure after planarizing the silicon layer to form a contact hole; and
forming a first contact plug in the contact hole.
7. The method of claim 6, wherein the first contact plug contacts the spacer directly.
8. The method of claim 6, further comprising:
forming an interlayer dielectric (ILD) layer on the silicon layer, the gate structure, and the first contact plug; and
forming a second contact plug in the ILD layer and electrically connect to the first contact plug.
9. A semiconductor device, comprising:
a substrate;
a first gate structure on the substrate;
a first spacer adjacent to the first gate structure;
a first contact plug adjacent to the first gate structure and contact the first spacer directly; and
a silicon layer around the first gate structure.
10. The semiconductor device of claim 9, wherein the silicon layer comprises an amorphous silicon layer.
11. The semiconductor device of claim 9, further comprising:
a second gate structure on the substrate;
a second spacer adjacent to the second gate structure;
the silicon layer around the second gate structure; and
the first contact plug between the first gate structure and the second gate structure,
wherein the first contact plug contacts the first spacer and the second spacer.
12. The semiconductor device of claim 11, wherein the top surfaces of the silicon layer, the first gate structure, the second gate structure, and the first contact plug are coplanar.
13. The semiconductor device of claim 11, further comprising:
an interlayer dielectric (ILD) layer on the silicon layer, the first gate structure, and the second gate structure; and
a plurality of second contact plugs in the ILD layer and electrically connected to the first gate structure, the second gate structure, and the first contact plug.
US14/873,223 2015-08-28 2015-10-02 Semiconductor device and method for fabricating the same Active US9748233B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/641,336 US9922974B2 (en) 2015-08-28 2017-07-05 Semiconductor device and method for fabricating the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
TW104128258A 2015-08-28
TW104128258A TWI658513B (en) 2015-08-28 2015-08-28 Semiconductor device and method for fabricating the same
TW104128258 2015-08-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/641,336 Division US9922974B2 (en) 2015-08-28 2017-07-05 Semiconductor device and method for fabricating the same

Publications (2)

Publication Number Publication Date
US20170062416A1 true US20170062416A1 (en) 2017-03-02
US9748233B2 US9748233B2 (en) 2017-08-29

Family

ID=58096471

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/873,223 Active US9748233B2 (en) 2015-08-28 2015-10-02 Semiconductor device and method for fabricating the same
US15/641,336 Active US9922974B2 (en) 2015-08-28 2017-07-05 Semiconductor device and method for fabricating the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/641,336 Active US9922974B2 (en) 2015-08-28 2017-07-05 Semiconductor device and method for fabricating the same

Country Status (2)

Country Link
US (2) US9748233B2 (en)
TW (1) TWI658513B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076866A1 (en) * 1999-08-10 2002-06-20 Meng-Jaw Cherng Method for forming self-aligned contact
US20090256214A1 (en) * 2008-04-14 2009-10-15 Sun Min-Chul Semiconductor device and associated methods

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638829B1 (en) * 1998-11-25 2003-10-28 Advanced Micro Devices, Inc. Semiconductor structure having a metal gate electrode and elevated salicided source/drain regions and a method for manufacture
US20020132191A1 (en) * 2001-03-13 2002-09-19 Shu-Ya Chuang Method for forming a contact pad
KR100451504B1 (en) * 2001-10-08 2004-10-06 주식회사 하이닉스반도체 Method for forming plug in semiconductor device
US7256498B2 (en) * 2004-03-23 2007-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Resistance-reduced semiconductor device and methods for fabricating the same
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US9029834B2 (en) * 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US9685434B2 (en) * 2014-12-10 2017-06-20 International Business Machines Corporation Inter-level dielectric layer in replacement metal gates and resistor fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076866A1 (en) * 1999-08-10 2002-06-20 Meng-Jaw Cherng Method for forming self-aligned contact
US20090256214A1 (en) * 2008-04-14 2009-10-15 Sun Min-Chul Semiconductor device and associated methods

Also Published As

Publication number Publication date
US9922974B2 (en) 2018-03-20
US20170301670A1 (en) 2017-10-19
TW201709340A (en) 2017-03-01
TWI658513B (en) 2019-05-01
US9748233B2 (en) 2017-08-29

Similar Documents

Publication Publication Date Title
US10395991B2 (en) Semiconductor device and method for fabricating the same
US9607892B2 (en) Method for forming a two-layered hard mask on top of a gate structure
US11171137B2 (en) Method of making FinFET CMOS device including single diffusion break in each of NMOS and PMOS regions
US9673100B2 (en) Semiconductor device having contact plug in two dielectric layers and two etch stop layers
US9324610B2 (en) Method for fabricating semiconductor device
US8981487B2 (en) Fin-shaped field-effect transistor (FinFET)
US9984974B1 (en) Method for fabricating semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer
US20170103981A1 (en) Method for fabricating contacts to non-planar mos transistors in semiconductor device
US20170040318A1 (en) Semiconductor device and method for fabricating the same
US10141263B2 (en) Method for fabricating semiconductor device
US9263392B1 (en) Semiconductor device and method for fabricating the same
US9728455B2 (en) Semiconductor device and method for fabricating the same
US10522660B2 (en) Method for fabricating semiconductor device
US10475709B1 (en) Semiconductor device and method for fabricating the same
US9941161B2 (en) Method for fabricating semiconductor device
US9748349B2 (en) Semiconductor device
US9230816B1 (en) Method for fabricating semiconductor device
US9847398B1 (en) Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side
US9455135B2 (en) Method for fabricating semiconductor device
US20170287843A1 (en) Semiconductor device having contact plugs with different interfacial layers
US9922974B2 (en) Semiconductor device and method for fabricating the same
US20170047251A1 (en) Method of manufacturing a semiconductor device including forming a dielectric layer around a patterned etch mask
US9330920B1 (en) Method for fabricating semiconductor device
US9711394B1 (en) Method for cleaning the surface of an epitaxial layer in openings of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HSU, CHIA CHANG;LIN, CHUN-HSIEN;REEL/FRAME:036709/0299

Effective date: 20150925

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4