US20170062335A1 - Integrated circuit with electrical fuse and method of forming the same - Google Patents

Integrated circuit with electrical fuse and method of forming the same Download PDF

Info

Publication number
US20170062335A1
US20170062335A1 US15/350,424 US201615350424A US2017062335A1 US 20170062335 A1 US20170062335 A1 US 20170062335A1 US 201615350424 A US201615350424 A US 201615350424A US 2017062335 A1 US2017062335 A1 US 2017062335A1
Authority
US
United States
Prior art keywords
layer
forming
over
diffusion barrier
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/350,424
Inventor
Chan-Hong Chern
Fu-Lung Hsueh
Kuoyuan (Peter) Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US15/350,424 priority Critical patent/US20170062335A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSUEH, FU-LUNG, CHERN, CHAN-HONG, HSU, KUOYUAN (PETER)
Publication of US20170062335A1 publication Critical patent/US20170062335A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • H01L27/11206
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present disclosure relates generally to the field of semiconductor devices and, more particularly, to integrated circuits with electrical fuses and methods of forming the same.
  • OTP one-time programmable
  • the OTP devices can be, for example, mask read only memory (Mask ROM), electrical programmable ROM (EPROM), etc.
  • An e-fuse OTP device uses a fuse element connected to a programming transistor. By selectively blowing fuses within an integrated circuit, which has multiple potential uses, a generic integrated circuit design may be economically manufactured and adapted to a variety of customer uses.
  • Electrical fuses are incorporated in the design of the integrated circuits, and are selectively blown, for example, by passing an electrical current of a sufficient magnitude to cause melting or agglomeration, thereby creating a more resistive path or an open circuit.
  • the process of selectively blowing fuses is referred to as “programming.”
  • FIG. 1A is a flowchart of an exemplary method of forming an integrated circuit.
  • FIG. 1B is a schematic top view of an exemplary integrated circuit.
  • FIGS. 2A-2M are schematic cross-sectional views of an integrated circuit during various gate-last high-dielectric constant (high-K) metal gate fabrication stages.
  • FIGS. 3A-3H are schematic cross-sectional views of an integrated circuit during other gate-last high-K metal gate fabrication stages.
  • FIGS. 4A-4G are schematic cross-sectional views of an integrated circuit during various gate-first high-K metal gate fabrication stages.
  • CMOS complementary metal-oxide-semiconductor
  • CMOS devices During a gate-last HK/MG process, dummy polysilicon gate electrodes of CMOS devices and electrical fuses are replaced by metallic materials.
  • the metallic materials of the CMOS devices are designed to minimize the electron migration (EM) concern during the electrical operation of the CMOS devices. Applicants found that the high EM reliability of the metallic materials make programming the electrical fuses difficult.
  • EM electron migration
  • spatially relative terms for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “below,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one feature's relationship to another feature.
  • the spatially relative terms are intended to cover different orientations of the device including the features.
  • FIG. 1A is a flowchart of an exemplary method of forming an integrated circuit.
  • the integrated circuit includes CMOS devices and at least one one-time programmable (OTP) device.
  • the integrated circuit may includes various passive and active microelectronic devices, such as resistors, capacitors, inductors, diodes, metal-oxide-semiconductor field effect transistors (MOSFET), complementary MOS (CMOS) transistors, bipolar junction transistors (BJTs), laterally diffused MOS (LDMOS) transistors, high power MOS transistors, FinFET transistors, or other types of transistors.
  • the integrated circuit includes a mixed-signal circuit, a radio frequency (RF) circuit, other high-speed circuits, or any combinations thereof.
  • RF radio frequency
  • the method of forming an integrated circuit includes forming at least one transistor over a substrate (block 110 ).
  • the block 110 includes forming a gate dielectric structure over a substrate; forming a work-function metallic layer over the gate dielectric structure; forming a conductive layer over the work-function metallic layer; and forming a source/drain (S/D) region adjacent to each sidewall of the gate dielectric structure.
  • the method also includes forming at least one electrical fuse over the substrate (block 120 ).
  • the block 120 includes forming a first semiconductor layer over the substrate and forming a first silicide layer on the first semiconductor layer.
  • FIG. 1A has been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after the method of FIG. 1A , and that some other processes may be briefly described herein. It is also noted that though FIG. 1A shows the order of the blocks 110 and 120 , the order shown in FIG. 1A is merely exemplary. In some embodiments, at least one process step is shared for forming portions of the transistor and the electrical fuse.
  • FIG. 1B is a schematic top view of an exemplary integrated circuit.
  • an integrated circuit 200 includes a transistor 213 a disposed in a transistor region 213 and an electrical fuse 215 a disposed in an electrical fuse region 215 .
  • the transistor 213 a includes a gate electrode 205 that is disposed over an active area 203 of a substrate 201 .
  • the electrical fuse 215 a is disposed over an isolation area (not labeled) that is disposed around the active area 203 .
  • the electrical fuse 215 a is subjected to an electrical current to cause melting or agglomeration, thereby creating a more resistive path or an open circuit.
  • the layers indicating the active area 203 and the gate electrode 205 shown in FIG. 1B are merely exemplary.
  • the transistor 213 a and the electrical fuse 215 a include other layers, e.g., a contact layer, a metal routing layer, etc.
  • FIGS. 2A-2M are schematic cross-sectional views of an integrated circuit during various gate-last high-K metal gate fabrication stages taken along the section line C-C of FIG. 1B . It is understood that FIGS. 2A-2M have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after FIGS. 2A-2M , and that some other processes may only be briefly described herein.
  • the substrate 201 can be a silicon substrate doped with a P-type dopant, such as boron (a P-type substrate).
  • a P-type substrate such as boron
  • the substrate 201 could be another suitable semiconductor material.
  • the substrate 201 may be a silicon substrate that is doped with an N-type dopant, such as phosphorous or arsenic (an N-type substrate).
  • the substrate 201 may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, silicon germanium, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.
  • the substrate 201 could include an epitaxial layer (epi layer), may be strained for performance enhancement, and may include a silicon-on-insulator (SOI) structure.
  • epi layer epitaxial layer
  • SOI silicon-on-insulator
  • an isolation structure e.g., a shallow trench isolation (STI) feature 210
  • the STI feature 210 is formed by etching recesses (or trenches) in the substrate 201 and filling the recesses with a dielectric material.
  • the dielectric material of the STI feature 210 includes silicon oxide.
  • the dielectric material of the STI feature 210 includes silicon nitride, silicon oxy-nitride, fluoride-doped silicate glass (FSG), a low dielectric constant (low-k) dielectric material, other dielectric materials, and/or any combinations thereof.
  • a gate dielectric stack 220 is formed over the substrate 201 .
  • the gate dielectric stack 220 includes at least one interfacial dielectric layer and/or at least one high dielectric constant (high-k) layer.
  • the interfacial dielectric layer may include a material such as silicon oxide, silicon nitride, silicon oxynitride, other gate dielectric materials, and/or combinations thereof.
  • the high-k dielectric layer can have a material having a dielectric constant that is greater than a dielectric constant of SiO 2 , which is approximately 4.
  • the high-k gate dielectric layer can have a thickness that is greater than the interfacial dielectric layer.
  • the high-k gate dielectric layer may include at least one of Al 2 O 3 , HfO, HfO 2 , ZrO, ZrO 2 , ZrSiO, YO, Y 2 O 3 , LaO, La 2 O 5 , GdO, Gd 2 O 5 , TiO, TiO 2 , TiSiO, TaO, Ta 2 O 5 , TaSiO, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO, HfSiO, SrTiO, ZrSiON, HfZrTiO, HfZrSiON, HfZrLaO, HfZrAlO, or any combinations thereof.
  • the interfacial dielectric layer and/or the high-k gate dielectric layer can be formed by, for example, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal oxidation process, a furnace process, other deposition processes, or any combinations thereof.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • At least one diffusion barrier material 230 is optionally formed over the gate dielectric stack 220 .
  • the diffusion barrier material 230 is configured to prevent metallic ions of a work-function metal material 240 (described below in FIG. 2M ) from diffusing into the gate dielectric stack 220 .
  • the diffusion barrier material 230 may comprise at least one material such as aluminum oxide, aluminum, aluminum nitride, titanium, titanium nitride (TiN), tantalum, tantalum nitride, other suitable material, and/or combinations thereof.
  • the diffusion barrier material 230 is formed, for example, by any suitable process, such as an ALD process, CVD process, a physical vapor deposition (PVD) process, an reduced-pressure CVD (RPCVD) process, a plasma-enhanced CVD (PECVD) process, a metal-organic CVD (MOCVD) process, a plating process, a sputtering process, or any combinations thereof.
  • ALD ALD
  • CVD physical vapor deposition
  • RPCVD reduced-pressure CVD
  • PECVD plasma-enhanced CVD
  • MOCVD metal-organic CVD
  • a mask layer 245 is optionally formed over the diffusion barrier material 230 .
  • the mask layer 245 covers the transistor region 213 and have an opening 245 a exposing at least a portion of the diffusion barrier material 230 in the electrical fuse region 215 .
  • the mask layer 245 can be made of, for example, a photoresist material and/or a dielectric material, e.g., silicon oxide, silicon oxynitride, silicon nitride, silicon carbide, other dielectric materials, or any combinations thereof.
  • an etch process 246 uses the mask layer 245 as an etch mask to remove the exposed diffusion barrier material 230 (shown in FIG. 2C ), exposing a portion of the gate dielectric stack 220 .
  • the etch process 246 includes a dry etch process, a wet etch process, and/or any combinations thereof. After the mask layer 245 is removed, the remaining diffusion barrier material 230 a is exposed as shown in FIG. 2E .
  • the etch process 246 optionally removes a portion of the gate dielectric stack 220 , such that a portion of the STI feature 210 is exposed. Because an electrical fuse is designed to be formed in the electrical fuse region 215 , a semiconductor material 250 (described below in FIG. 2F ) may directly contact the STI feature 210 .
  • the semiconductor material 250 is disposed over the remaining diffusion barrier material 230 a and the exposed portion of the gate dielectric stack 220 .
  • the semiconductor material 250 is made of, for example, silicon, polysilicon, amorphous silicon, crystalline silicon, or any combinations thereof.
  • the semiconductor material 250 may be formed by chemical vapor deposition (CVD) or any suitable technique.
  • the semiconductor material 250 can be doped, e.g., in-situ doped, or undoped.
  • a patterning process is performed to pattern the semiconductor material 250 , the remaining diffusion barrier material 230 a and the gate dielectric stack 220 (shown in FIG. 2F ).
  • gate dielectric structures 220 a and 220 b are formed over the substrate 201 , which are disposed in the transistor region 213 and the electrical fuse region 215 , respectively.
  • a diffusion barrier 230 b is formed over the gate dielectric structure 220 a.
  • a semiconductor layer 250 a is formed over the diffusion barrier 230 b.
  • a semiconductor layer 250 b is formed and directly contacts the gate dielectric structure 220 b.
  • At least one implantation process 255 is performed to implant dopants into the substrate 201 to form a source/drain (S/D) region 270 adjacent to each sidewall of the gate dielectric structure 220 a.
  • the implantation process 255 includes a lightly doped drain (LDD) implantation and/or a heavily-doped S/D implantation.
  • a thermal process and/or a rapid thermal process (RTP) are performed to activate dopants in the S/D regions 270 .
  • the implantation process 255 includes a lightly doped drain implantation forming a LDD region (not shown) in portions of the substrate 201 adjacent to each side of the gate dielectric structure 220 a.
  • the LDD regions may be formed by an ion implantation process and/or a diffusion process.
  • N-type dopants such as phosphorus or arsenic, may be used to form an NMOS device, and P-type dopants, such as boron, may be used to form a PMOS device.
  • spacers 265 and 267 are formed on sidewalls of the silicon layer 250 a and 250 b, respectively.
  • the spacers 265 and 267 are formed by using a deposition process and an etching process (for example, an anisotropic etching process).
  • the spacers 265 and 267 include a suitable dielectric material, such as silicon nitride, silicon oxide, silicon carbide, silicon oxynitride, or combinations thereof.
  • the heavily-doped S/D implantation is performed to dope the S/D regions 270 .
  • N-type dopants such as phosphorus or arsenic, can be used to form an NMOS device, and P-type dopants, such as boron, can be used to form a PMOS device.
  • the implantation process 255 dopes the S/D regions 270 as well as the semiconductor layer 250 b simultaneously. In other embodiments, doping the S/D regions 270 and the semiconductor layer 250 b are separated. For example, the semiconductor layer 250 b is in-situ doped as described above in conjunction with FIG. 2F . In other embodiments, the top surface of the semiconductor layer 250 b is covered by a mask layer (not shown) while the implantation process 255 is performed, such that the implantation process 255 does not dope the semiconductor layer 250 b.
  • the integrated circuit 200 can include at least one stressor (not labeled) in each the S/D region 270 .
  • the stressors may be formed after the LDD formation and before the heavily-doped S/D implantation.
  • the stressors are configured to provide a stress to a channel (not labeled) of the transistor.
  • the stressed channel can modify a mobility of carriers therein so as to change electrical characteristics, e.g., electrical current, of the transistor.
  • the stressor in each of the S/D regions 270 is referred to as a raised S/D region.
  • the stressors are formed by an epitaxial process, a RPCVD process, a molecular beam epitaxy (MBE) process, a CVD process, an MOCVD process, an ALD process, a multi-layer epitaxial process, or any combinations thereof.
  • MBE molecular beam epitaxy
  • the stressor includes a single SiGe or Si x Ge 1-x layer, a multi-layer SiGe or Si x Ge 1-x structure, an epitaxial structure, a compound material structure, other materials that can modify the carrier mobility of the transistor, or any combinations thereof.
  • the stressor includes a single SiC or Si x C 1-x layer, a multi-layer SiC or Si x C 1-x structure, an epitaxial structure, a compound material structure, other materials that can modify the carrier mobility of the transistor, or any combinations thereof.
  • silicide layers 268 a - 268 b and 269 a - 269 b are formed on the respective semiconductor layers 250 a, the semiconductor layer 250 b, and the S/D regions 270 .
  • the silicide layer 268 a - 268 b and 269 a - 269 b include at least one material such as nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi), other suitable materials, or any combinations thereof.
  • NiSi nickel silicide
  • NiPtSi nickel-platinum silicide
  • the formation of the silicide layer 268 a on the semiconductor layer 250 a is optional. In some embodiments, the formation of the silicide layer 268 a on the semiconductor layer 250 a is carried out by the process for forming the silicide layers 269 a - 269 b on the S/D regions 270 . In other embodiments, the silicide layer 268 a is not formed on the top surface of the semiconductor layer 250 a. For example, the top surface of the semiconductor layer 250 a is covered with a dielectric layer, e.g., a nitride layer, while the salicidation process is performed.
  • a dielectric layer e.g., a nitride layer
  • the dielectric layer 275 includes at least one material such as phosphorous-doped silicate glass (PSG), boron-doped silicate glass (BSG), boron-phosphorous-doped silicate glass (BPSG), undoped silicate glass (USG), silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material, other dielectric materials, and/or any combinations thereof.
  • the top surfaces of the silicide layers 268 a and 268 b are substantially leveled with the top surface of the dielectric layer 275 .
  • a mask layer 278 e.g., silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon oxycarbide, other dielectric materials, and/or any combinations thereof, is formed, covering the top surface of the silicide layer 268 b.
  • the mask layer 278 is configured to prevent the silicide layer 268 b from being removed, whereas the silicide layer 268 a and the semiconductor layer 250 a are removed later.
  • a removal process 279 removes the silicide layer 268 a and the semiconductor layer 250 a.
  • the removed silicide layer 268 a and the semiconductor layer 250 a leave an opening 277 as shown in FIG. 2L .
  • the removal process 279 includes, for example, a wet etch process, a dry etch process, and/or any combinations thereof.
  • the semiconductor layer 250 a is referred to as a dummy gate electrode that is removed during a gate-last high-k/metal-gate process.
  • a work-function metallic layer 240 is formed in the opening 277 (shown in FIG. 2L ) and over the diffusion barrier 230 b.
  • the work-function metallic layer 240 includes at least one P-type work-function metallic material and/or at least one N-type work-function metallic material.
  • the P-type work-function metallic layer includes compositions such as ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, and/or other suitable materials.
  • the N-type metal material includes compositions such as hafnium, zirconium, titanium, tantalum, aluminum, metal carbides (e.g., hafnium carbide, zirconium carbide, titanium carbide, aluminum carbide), aluminides, and/or other suitable materials.
  • the work-function metallic layer 240 is formed, for example, by any suitable process, such as an ALD process, CVD process, an RPCVD process, a PECVD process, an MOCVD process, or any combinations thereof.
  • a conductive layer 280 is formed in the opening 277 (shown in FIG. 2L ) and surrounded by the work-function metallic layer 240 .
  • a top surface of the conductive layer 280 is substantially leveled with a top surface of the silicide layer 268 b.
  • the transistor 213 a and a resistor structure of the electrical fuse 215 a are formed over the substrate 201 .
  • the conductive layer 280 is made of at least one material, such as aluminum, copper, Ti, TiN, TaN, Ta, TaC, TaSiN, W, WN, MoN, MoON, RuO 2 , and/or other suitable materials.
  • the conductive layer 280 is formed by any suitable process, such as an ALD process, a CVD process, a physical vapor deposition (PVD) process, an RPCVD process, a PECVD process, an MOCVD process, a plating process, a sputtering process, or any combinations thereof.
  • the transistor 213 a has the conductive layer 280 serving for electrical connection.
  • the conductive layer 280 has the desired electrical migration reliability during the operation of the transistor 213 a.
  • the electrical fuse 215 a has the semiconductor layer 250 b and the silicide layer 268 b.
  • a current flows through and blows out the electrical fuse 215 a.
  • the transistor 213 a and the electrical fuse 215 a are formed by different materials.
  • the gate-last HK/MG process described above in conjunction with FIGS. 2A-2M provides forming different materials for the gate of the transistor 213 a and the electrical fuse 215 a.
  • the diffusion barrier 230 b is formed between the gate dielectric structure 220 a and the work-function metallic layer 240 .
  • the formation of the work-function metallic layer 240 is after the formation of the silicide layer 268 b.
  • the formation of the diffusion barrier 230 b is before the formation of the silicide layer 268 b as shown in FIG. 2I .
  • the work-function metallic layer 240 maintains its intended work function and does not substantially interact with the diffusion barrier 230 b.
  • the processes and/or structures described above in conjunction with FIGS. 2A-2M are merely exemplary. The scope of this application is not limited thereto.
  • the etch process 246 optionally removes portions of the diffusion barrier material 230 and the gate dielectric stack 220 , such that a portion of the STI feature 210 is exposed.
  • the semiconductor layer 250 b formed in FIG. 2M directly contacts the STI feature 210 .
  • additional processes may be performed to complete the fabrication of the integrated circuit 200 .
  • these additional processes may include forming dielectric materials, contact or via plugs, metallic regions, and/or metallic lines (not shown) over the conductive layer 280 and the silicide layer 268 b for interconnection.
  • the dielectric layers may include materials such as silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material, ultra low-k dielectric material, or any combinations thereof.
  • the contact/via plugs, metallic regions, and/or metallic lines can include materials such as tungsten, aluminum, copper, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, other proper conductive materials, and/or combinations thereof.
  • the contact/via plugs, metallic regions, and/or metallic lines can be formed by any suitable processes, such as deposition, photolithography, chemical mechanical polishing (CMP) process, and etching processes, and/or combinations thereof. For the sake of simplicity, these additional processes are not described herein.
  • FIGS. 3A-3H are schematic cross-sectional views of an integrated circuit during another gate-last high-k metal-gate fabrication stages along the section line C-C of FIG. 1B . Items of FIGS. 3A-3H that are the same or similar items in FIGS. 2A-2M are indicated by the same reference numerals, increased by 100 . It is understood that FIGS. 3A-3H have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after FIGS. 3A-3H , and that some other processes may only be briefly described herein.
  • an integrated circuit 300 is fabricated over a substrate 301 .
  • the integrated circuit 300 includes a transistor region 313 and a electrical fuse region 315 .
  • the integrated circuit 300 includes an isolation structure, e.g., a shallow trench isolation (STI) feature 310 that is formed in the substrate 301 .
  • a gate dielectric stack 320 is formed over the substrate 301 .
  • STI shallow trench isolation
  • a semiconductor material 350 is formed over the gate dielectric stack 320 .
  • the semiconductor material 350 continuously extends from the transistor region 313 to the electrical fuse region 315 .
  • the semiconductor material 350 is undoped or doped with N-type or P-type dopants.
  • a patterning process is performed to pattern the semiconductor material 350 and the gate dielectric stack 320 (shown in FIG. 3B ).
  • gate dielectric structures 320 a and 320 b are formed over the substrate 301 in the transistor region 313 and the electrical fuse region 315 , respectively.
  • Semiconductor layers 350 a and 350 b are formed over the gate dielectric structures 320 a and 320 b, respectively.
  • At least one implantation process 355 is performed to implant dopants into the substrate 301 to form a source/drain (S/D) region 370 adjacent to each sidewall of the gate dielectric structure 320 a.
  • S/D source/drain
  • Spacers 365 and 367 are formed on sidewalls of the semiconductor layers 350 a and 350 b, respectively.
  • the process of forming the S/D regions 370 optionally includes the processes of forming the LDD regions, heavily doped S/D regions, and/or stressors described above in conjunction with FIG. 2H .
  • silicide layers 368 a - 368 b and 369 a - 369 b are formed on the respective semiconductor layers 350 a, the semiconductor layer 350 b, and the S/D regions 370 .
  • the formation of the silicide layer 368 a on the semiconductor layer 350 a is optional.
  • the formation of the silicide layer 368 a on the semiconductor layer 350 a is carried out by the process for forming the silicide layers 369 a - 369 b on the S/D regions 370 .
  • the silicide layer 368 a is not formed on the top surface of the semiconductor layer 350 a.
  • the top surface of the semiconductor layer 350 a is covered with a dielectric layer, e.g., a nitride layer, while the salicidation process is in progress.
  • At least one dielectric layer e.g., a dielectric layer 375 , is formed over the substrate 301 and around the spacers 365 and 367 .
  • the top surfaces of the silicide layers 368 a - 368 b are substantially leveled with the top surface of the dielectric layer 375 .
  • a mask layer 378 e.g., silicon oxide, silicon nitride, silicon oxynitride, other dielectric materials, and/or any combinations thereof, is formed, covering the top surface of the silicide layer 368 b.
  • a removal process 379 removes the silicide layer 368 a and the semiconductor layer 350 a (shown in FIG. 3F ).
  • the removal process 379 includes, for example, a wet etch process, a dry etch process, or any combinations thereof.
  • the mask layer 378 is configured to prevent the silicide layer 368 b and the semiconductor layer 350 b from being removed, while the removal process 379 removes the silicide layer 368 a and the semiconductor layer 350 a.
  • an opening 377 is formed as shown in FIG. 3G .
  • a diffusion barrier 330 b, a work-function metallic layer 340 and a conductive layer 380 are sequentially disposed in the opening 377 .
  • the diffusion barrier 330 b is formed over the gate dielectric structure 320 a and along the sidewalls of the spacers 365 .
  • the diffusion barrier 330 b is formed around the work-function metallic layer 340 .
  • the work-function metallic layer 340 is formed around the conductive layer 380 .
  • the diffusion barrier 330 b is formed between the gate dielectric structure 320 a and the work-function metallic layer 340 .
  • the formation of the diffusion barrier 330 b is performed after the formation of the silicide layer 368 b. Not seeing the thermal cycle of the formation of the silicide layer 368 b, the work-function metallic layer 340 maintains its intended work function and does not substantially interact with the diffusion barrier 330 b.
  • FIGS. 4A-4G are schematic cross-sectional views of an integrated circuit during various gate-first high-k metal-gate fabrication stages taken along the section line C-C. It is understood that FIGS. 4A-4F have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after FIGS. 4A-4G , and that some other processes may only be briefly described herein.
  • an integrated circuit 400 is fabricated over a substrate 401 . Items of FIGS. 4A-4G that are the same or similar items in FIGS. 2A-2M are indicated by the same reference numerals, increased by 200 .
  • the integrated circuit 400 includes a transistor region 413 and an electrical fuse region 415 .
  • an isolation structure e.g., a shallow trench isolation (STI) feature 410 , is formed in the substrate 401 .
  • STI shallow trench isolation
  • a gate dielectric stack 420 , a diffusion barrier material 430 , a work-function metallic material 440 and a metallic layer 443 are sequentially formed over the substrate 401 .
  • the metallic layer 443 is made of at least one material such as aluminum oxide, aluminum, aluminum nitride, tungsten, titanium, titanium nitride (TiN), tantalum, tantalum nitride, other suitable material, and/or combinations thereof.
  • a mask layer 445 is formed over the metallic layer 443 .
  • An etch process 446 uses the mask layer 445 as an etch mask to remove portions of the metallic layer 443 , the work-function metallic material 440 and the diffusion barrier material 430 , exposing a portion of the gate dielectric stack 420 .
  • the etch process 446 includes a dry etch process, a wet etch process, and/or any combinations thereof. After the mask layer 445 is removed, the remaining metallic layer 443 a is exposed as shown in FIG. 4C .
  • the etch process 446 optionally removes a portion of the gate dielectric stack 420 , such that a portion of the STI feature 410 is exposed.
  • a semiconductor material 450 is formed over the remaining metallic layer 443 a and the exposed gate dielectric stack 420 .
  • the semiconductor material 450 continuously extends from the transistor region 413 to the electrical fuse region 415 .
  • the semiconductor material 450 is either undoped or doped.
  • a patterning process is performed to pattern the semiconductor material 450 , the remaining metallic layer 443 a, the remaining work-function metallic material 440 a, the remaining diffusion barrier material 430 a and the gate dielectric stack 420 (shown in FIG. 4D ).
  • gate dielectric structures 420 a and 420 b are formed over the substrate 401 in the transistor region 413 and the electrical fuse region 415 , respectively.
  • a diffusion barrier 430 b is formed over the gate dielectric structure 420 a.
  • a work-function metallic layer 440 b is formed over the diffusion barrier 430 b.
  • a metallic layer 443 b is formed over the work-function metallic layer 440 b.
  • Semiconductor layers 450 a and 450 b are formed over the metallic layer 443 b and the gate dielectric structure 420 b, respectively.
  • At least one implantation process 455 is performed to implant dopants into the substrate 401 to form a source/drain (S/D) region 470 adjacent to each sidewall of the gate dielectric structure 420 a.
  • semiconductor layer 450 b is doped at the same time during the implantation process 455 .
  • Spacers 465 and 467 are disposed on sidewalls of the semiconductor layers 450 a and 450 b, respectively.
  • the process of forming the S/D regions 470 optionally includes the processes of forming the LDD regions, the heavily doped regions, and/or stressors described above in conjunction with FIG. 2H .
  • silicide layers 468 a - 468 b and 469 a - 469 b are formed on the respective semiconductor layers 450 a, the semiconductor layer 450 b, and the S/D regions 470 .
  • the metallic layer 443 is configured to provide electrical connection of the gate electrode of the transistor.
  • the metallic layer 443 has a lower resistance, such that a substantive current flows through the metallic layer 443 during the operation of the transistor 413 a. Electron migration does not happen to the silicide layer 468 a.
  • the method includes forming at least one transistor over a substrate. Forming the at least one transistor includes forming a gate dielectric structure over a substrate. Forming the at least one transistor further includes forming a work-function metallic layer over the gate dielectric structure. Forming the at least one transistor further includes forming a conductive layer over the work-function metallic layer. Forming the at least one transistor further includes forming a source/drain (S/D) region being disposed adjacent to each sidewall of the gate dielectric structure. Forming the at least one transistor further includes forming a diffusion barrier layer between the gate dielectric structure and the work-function layer. The method further includes forming at least one electrical fuse over the substrate. Forming the at least one electrical fuse includes forming a first semiconductor layer over the substrate. Forming the at least one electrical fuse further includes forming a first silicide layer on the first semiconductor layer, wherein the diffusion barrier layer is formed before the first silicide layer.
  • the method includes forming a first semiconductor layer in a transistor region over a substrate and a second semiconductor layer in a fuse region over the substrate.
  • the method further includes forming a first silicide layer on the first semiconductor layer and a second silicide layer on the second semiconductor layer.
  • the method further includes forming a dielectric layer around the first and second semiconductor layers, exposing the first and second silicide layers.
  • the method further includes forming a cap layer covering the second silicide layer and exposing the first silicide layer.
  • the method further includes removing the first silicide layer and the first semiconductor layer to form a first opening that is left by the removed first silicide layer and the removed first semiconductor layer.
  • the method further includes sequentially forming a work-function metallic layer and a conductive layer in the first opening.
  • the method further includes forming a diffusion barrier between the substrate and the work-function metallic layer, wherein the diffusion barrier is formed before the first silicide layer.
  • the integrated circuit further includes at least one transistor and at least one electrical fuse disposed over the substrate.
  • the at least one transistor includes a gate dielectric structure over the substrate.
  • the at least one transistor further includes a work-function layer over the gate dielectric structure.
  • the at least one transistor further includes a conductive layer over the work-function metallic layer.
  • the at least one transistor further includes a source/drain (S/D) region adjacent to each sidewall of the gate dielectric structure.
  • the at least one transistor further includes a diffusion barrier layer between the gate dielectric structure and the work-function layer.
  • the at least one electrical fuse includes a first semiconductor layer over the substrate.
  • the at least one electrical fuse further includes a first silicide layer on the first semiconductor layer.

Abstract

A method of forming an integrated circuit. The method includes forming at least one transistor and at least one electrical fuse over a substrate. Forming the at least one transistor includes forming a gate dielectric structure over a substrate and a work-function metallic layer over the gate dielectric structure. Forming the at least one transistor further includes forming a conductive layer over the work-function metallic layer and a source/drain (S/D) region being disposed adjacent to each sidewall of the gate dielectric structure. Forming the at least one transistor further includes forming a diffusion barrier layer between the gate dielectric structure and the work-function layer. Forming the at least one electrical fuse includes forming a first semiconductor layer over the substrate. Forming the at least one electrical fuse includes forming a first silicide layer on the first semiconductor layer, wherein the diffusion barrier layer is formed before the first silicide layer.

Description

    PRIORITY CLAIM
  • The present application is a divisional of U.S. application Ser. No. 13/302,335, filed Nov. 22, 2011, which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • The present disclosure relates generally to the field of semiconductor devices and, more particularly, to integrated circuits with electrical fuses and methods of forming the same.
  • BACKGROUND
  • Various one-time programmable (OTP) devices have been provided and used in the semiconductor industry. The OTP devices can be, for example, mask read only memory (Mask ROM), electrical programmable ROM (EPROM), etc. An e-fuse OTP device uses a fuse element connected to a programming transistor. By selectively blowing fuses within an integrated circuit, which has multiple potential uses, a generic integrated circuit design may be economically manufactured and adapted to a variety of customer uses.
  • Electrical fuses are incorporated in the design of the integrated circuits, and are selectively blown, for example, by passing an electrical current of a sufficient magnitude to cause melting or agglomeration, thereby creating a more resistive path or an open circuit. The process of selectively blowing fuses is referred to as “programming.”
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes. In fact, the numbers and dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1A is a flowchart of an exemplary method of forming an integrated circuit.
  • FIG. 1B is a schematic top view of an exemplary integrated circuit.
  • FIGS. 2A-2M are schematic cross-sectional views of an integrated circuit during various gate-last high-dielectric constant (high-K) metal gate fabrication stages.
  • FIGS. 3A-3H are schematic cross-sectional views of an integrated circuit during other gate-last high-K metal gate fabrication stages.
  • FIGS. 4A-4G are schematic cross-sectional views of an integrated circuit during various gate-first high-K metal gate fabrication stages.
  • DETAILED DESCRIPTION
  • In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling-down also produces a relatively high power dissipation value, which may be addressed by using low power dissipation devices such as complementary metal-oxide-semiconductor (CMOS) devices. During the scaling trend, various materials have been implemented for the gate electrode and gate dielectric for CMOS devices. For example, high-dielectric constant gate dielectric materials and metal gates (HK/MG) have been adopted to enhance electrical performances of CMOS devices.
  • During a gate-last HK/MG process, dummy polysilicon gate electrodes of CMOS devices and electrical fuses are replaced by metallic materials. The metallic materials of the CMOS devices are designed to minimize the electron migration (EM) concern during the electrical operation of the CMOS devices. Applicants found that the high EM reliability of the metallic materials make programming the electrical fuses difficult.
  • It is understood that the following descriptions provide many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “below,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one feature's relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features.
  • FIG. 1A is a flowchart of an exemplary method of forming an integrated circuit. The integrated circuit includes CMOS devices and at least one one-time programmable (OTP) device. In some embodiments, the integrated circuit may includes various passive and active microelectronic devices, such as resistors, capacitors, inductors, diodes, metal-oxide-semiconductor field effect transistors (MOSFET), complementary MOS (CMOS) transistors, bipolar junction transistors (BJTs), laterally diffused MOS (LDMOS) transistors, high power MOS transistors, FinFET transistors, or other types of transistors. In some embodiments, the integrated circuit includes a mixed-signal circuit, a radio frequency (RF) circuit, other high-speed circuits, or any combinations thereof.
  • Referring now to FIG. 1A, the method of forming an integrated circuit includes forming at least one transistor over a substrate (block 110). The block 110 includes forming a gate dielectric structure over a substrate; forming a work-function metallic layer over the gate dielectric structure; forming a conductive layer over the work-function metallic layer; and forming a source/drain (S/D) region adjacent to each sidewall of the gate dielectric structure. The method also includes forming at least one electrical fuse over the substrate (block 120). The block 120 includes forming a first semiconductor layer over the substrate and forming a first silicide layer on the first semiconductor layer.
  • It is understood that FIG. 1A has been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after the method of FIG. 1A, and that some other processes may be briefly described herein. It is also noted that though FIG. 1A shows the order of the blocks 110 and 120, the order shown in FIG. 1A is merely exemplary. In some embodiments, at least one process step is shared for forming portions of the transistor and the electrical fuse.
  • FIG. 1B is a schematic top view of an exemplary integrated circuit. In FIG. 1B, an integrated circuit 200 includes a transistor 213 a disposed in a transistor region 213 and an electrical fuse 215 a disposed in an electrical fuse region 215. The transistor 213 a includes a gate electrode 205 that is disposed over an active area 203 of a substrate 201. The electrical fuse 215 a is disposed over an isolation area (not labeled) that is disposed around the active area 203. For programming, the electrical fuse 215 a is subjected to an electrical current to cause melting or agglomeration, thereby creating a more resistive path or an open circuit. It is noted that the layers indicating the active area 203 and the gate electrode 205 shown in FIG. 1B are merely exemplary. In some embodiments, the transistor 213 a and the electrical fuse 215 a include other layers, e.g., a contact layer, a metal routing layer, etc.
  • FIGS. 2A-2M are schematic cross-sectional views of an integrated circuit during various gate-last high-K metal gate fabrication stages taken along the section line C-C of FIG. 1B. It is understood that FIGS. 2A-2M have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after FIGS. 2A-2M, and that some other processes may only be briefly described herein.
  • Referring now to FIGS. 2A-2M, the integrated circuit 200 is fabricated over the substrate 201. The substrate 201 can be a silicon substrate doped with a P-type dopant, such as boron (a P-type substrate). Alternatively, the substrate 201 could be another suitable semiconductor material. For example, the substrate 201 may be a silicon substrate that is doped with an N-type dopant, such as phosphorous or arsenic (an N-type substrate). The substrate 201 may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, silicon germanium, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Further, the substrate 201 could include an epitaxial layer (epi layer), may be strained for performance enhancement, and may include a silicon-on-insulator (SOI) structure.
  • Referring to FIG. 2A, an isolation structure, e.g., a shallow trench isolation (STI) feature 210, is formed in the substrate 201. In some embodiments, the STI feature 210 is formed by etching recesses (or trenches) in the substrate 201 and filling the recesses with a dielectric material. In some embodiments, the dielectric material of the STI feature 210 includes silicon oxide. In some alternative embodiments, the dielectric material of the STI feature 210 includes silicon nitride, silicon oxy-nitride, fluoride-doped silicate glass (FSG), a low dielectric constant (low-k) dielectric material, other dielectric materials, and/or any combinations thereof.
  • Referring again to FIG. 2A, a gate dielectric stack 220 is formed over the substrate 201. In some embodiments, the gate dielectric stack 220 includes at least one interfacial dielectric layer and/or at least one high dielectric constant (high-k) layer. The interfacial dielectric layer may include a material such as silicon oxide, silicon nitride, silicon oxynitride, other gate dielectric materials, and/or combinations thereof. The high-k dielectric layer can have a material having a dielectric constant that is greater than a dielectric constant of SiO2, which is approximately 4. The high-k gate dielectric layer can have a thickness that is greater than the interfacial dielectric layer. In some embodiments, the high-k gate dielectric layer may include at least one of Al2O3, HfO, HfO2, ZrO, ZrO2, ZrSiO, YO, Y2O3, LaO, La2O5, GdO, Gd2O5, TiO, TiO2, TiSiO, TaO, Ta2O5, TaSiO, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO, HfSiO, SrTiO, ZrSiON, HfZrTiO, HfZrSiON, HfZrLaO, HfZrAlO, or any combinations thereof. In some embodiments, the interfacial dielectric layer and/or the high-k gate dielectric layer can be formed by, for example, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal oxidation process, a furnace process, other deposition processes, or any combinations thereof.
  • Referring to FIG. 2B, at least one diffusion barrier material 230 is optionally formed over the gate dielectric stack 220. The diffusion barrier material 230 is configured to prevent metallic ions of a work-function metal material 240 (described below in FIG. 2M) from diffusing into the gate dielectric stack 220. The diffusion barrier material 230 may comprise at least one material such as aluminum oxide, aluminum, aluminum nitride, titanium, titanium nitride (TiN), tantalum, tantalum nitride, other suitable material, and/or combinations thereof. In some embodiments, the diffusion barrier material 230 is formed, for example, by any suitable process, such as an ALD process, CVD process, a physical vapor deposition (PVD) process, an reduced-pressure CVD (RPCVD) process, a plasma-enhanced CVD (PECVD) process, a metal-organic CVD (MOCVD) process, a plating process, a sputtering process, or any combinations thereof.
  • Referring to FIG. 2C, a mask layer 245 is optionally formed over the diffusion barrier material 230. The mask layer 245 covers the transistor region 213 and have an opening 245 a exposing at least a portion of the diffusion barrier material 230 in the electrical fuse region 215. In some embodiments, the mask layer 245 can be made of, for example, a photoresist material and/or a dielectric material, e.g., silicon oxide, silicon oxynitride, silicon nitride, silicon carbide, other dielectric materials, or any combinations thereof.
  • Referring to FIG. 2D, an etch process 246 uses the mask layer 245 as an etch mask to remove the exposed diffusion barrier material 230 (shown in FIG. 2C), exposing a portion of the gate dielectric stack 220. In some embodiments, the etch process 246 includes a dry etch process, a wet etch process, and/or any combinations thereof. After the mask layer 245 is removed, the remaining diffusion barrier material 230 a is exposed as shown in FIG. 2E.
  • In some embodiments, the etch process 246 optionally removes a portion of the gate dielectric stack 220, such that a portion of the STI feature 210 is exposed. Because an electrical fuse is designed to be formed in the electrical fuse region 215, a semiconductor material 250 (described below in FIG. 2F) may directly contact the STI feature 210.
  • Referring to FIG. 2F, the semiconductor material 250 is disposed over the remaining diffusion barrier material 230 a and the exposed portion of the gate dielectric stack 220. In some embodiments, the semiconductor material 250 is made of, for example, silicon, polysilicon, amorphous silicon, crystalline silicon, or any combinations thereof. The semiconductor material 250 may be formed by chemical vapor deposition (CVD) or any suitable technique. In some embodiments, the semiconductor material 250 can be doped, e.g., in-situ doped, or undoped.
  • Referring to FIG. 2G, a patterning process is performed to pattern the semiconductor material 250, the remaining diffusion barrier material 230 a and the gate dielectric stack 220 (shown in FIG. 2F). By the patterning process, gate dielectric structures 220 a and 220 b are formed over the substrate 201, which are disposed in the transistor region 213 and the electrical fuse region 215, respectively. A diffusion barrier 230 b is formed over the gate dielectric structure 220 a. A semiconductor layer 250 a is formed over the diffusion barrier 230 b. A semiconductor layer 250 b is formed and directly contacts the gate dielectric structure 220 b.
  • Referring to FIG. 2H, at least one implantation process 255 is performed to implant dopants into the substrate 201 to form a source/drain (S/D) region 270 adjacent to each sidewall of the gate dielectric structure 220 a. In some embodiments, the implantation process 255 includes a lightly doped drain (LDD) implantation and/or a heavily-doped S/D implantation. In some embodiments, a thermal process and/or a rapid thermal process (RTP) are performed to activate dopants in the S/D regions 270.
  • In some embodiments forming LDD regions, the implantation process 255 includes a lightly doped drain implantation forming a LDD region (not shown) in portions of the substrate 201 adjacent to each side of the gate dielectric structure 220 a. The LDD regions may be formed by an ion implantation process and/or a diffusion process. N-type dopants, such as phosphorus or arsenic, may be used to form an NMOS device, and P-type dopants, such as boron, may be used to form a PMOS device.
  • After the formation of the LDD regions, spacers 265 and 267 are formed on sidewalls of the silicon layer 250 a and 250 b, respectively. The spacers 265 and 267 are formed by using a deposition process and an etching process (for example, an anisotropic etching process). The spacers 265 and 267 include a suitable dielectric material, such as silicon nitride, silicon oxide, silicon carbide, silicon oxynitride, or combinations thereof. Thereafter, the heavily-doped S/D implantation is performed to dope the S/D regions 270. N-type dopants, such as phosphorus or arsenic, can be used to form an NMOS device, and P-type dopants, such as boron, can be used to form a PMOS device.
  • In some embodiments, the implantation process 255 dopes the S/D regions 270 as well as the semiconductor layer 250 b simultaneously. In other embodiments, doping the S/D regions 270 and the semiconductor layer 250 b are separated. For example, the semiconductor layer 250 b is in-situ doped as described above in conjunction with FIG. 2F. In other embodiments, the top surface of the semiconductor layer 250 b is covered by a mask layer (not shown) while the implantation process 255 is performed, such that the implantation process 255 does not dope the semiconductor layer 250 b.
  • In some embodiments, the integrated circuit 200 can include at least one stressor (not labeled) in each the S/D region 270. The stressors may be formed after the LDD formation and before the heavily-doped S/D implantation. The stressors are configured to provide a stress to a channel (not labeled) of the transistor. The stressed channel can modify a mobility of carriers therein so as to change electrical characteristics, e.g., electrical current, of the transistor. In some embodiments, the stressor in each of the S/D regions 270 is referred to as a raised S/D region. In some embodiments, the stressors are formed by an epitaxial process, a RPCVD process, a molecular beam epitaxy (MBE) process, a CVD process, an MOCVD process, an ALD process, a multi-layer epitaxial process, or any combinations thereof.
  • In some embodiments forming a P-type transistor, the stressor includes a single SiGe or SixGe1-x layer, a multi-layer SiGe or SixGe1-x structure, an epitaxial structure, a compound material structure, other materials that can modify the carrier mobility of the transistor, or any combinations thereof. In other embodiments forming an N-type transistor, the stressor includes a single SiC or Six C1-x layer, a multi-layer SiC or Six C1-x structure, an epitaxial structure, a compound material structure, other materials that can modify the carrier mobility of the transistor, or any combinations thereof.
  • Referring to FIG. 2I, silicide layers 268 a-268 b and 269 a-269 b are formed on the respective semiconductor layers 250 a, the semiconductor layer 250 b, and the S/D regions 270. In some embodiments, the silicide layer 268 a-268 b and 269 a-269 b include at least one material such as nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi), other suitable materials, or any combinations thereof.
  • It is noted that the formation of the silicide layer 268 a on the semiconductor layer 250 a is optional. In some embodiments, the formation of the silicide layer 268 a on the semiconductor layer 250 a is carried out by the process for forming the silicide layers 269 a-269 b on the S/D regions 270. In other embodiments, the silicide layer 268 a is not formed on the top surface of the semiconductor layer 250 a. For example, the top surface of the semiconductor layer 250 a is covered with a dielectric layer, e.g., a nitride layer, while the salicidation process is performed.
  • Referring to FIG. 2J, at least one dielectric layer, e.g., a dielectric layer 275, is formed over the substrate 201 and around the spacers 265 and 267. In some embodiments, the dielectric layer 275 includes at least one material such as phosphorous-doped silicate glass (PSG), boron-doped silicate glass (BSG), boron-phosphorous-doped silicate glass (BPSG), undoped silicate glass (USG), silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material, other dielectric materials, and/or any combinations thereof. In some embodiments, the top surfaces of the silicide layers 268 a and 268 b are substantially leveled with the top surface of the dielectric layer 275.
  • Referring to FIG. 2K, a mask layer 278, e.g., silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon oxycarbide, other dielectric materials, and/or any combinations thereof, is formed, covering the top surface of the silicide layer 268 b. The mask layer 278 is configured to prevent the silicide layer 268 b from being removed, whereas the silicide layer 268 a and the semiconductor layer 250 a are removed later.
  • Referring to FIG. 2L, a removal process 279 removes the silicide layer 268 a and the semiconductor layer 250 a. The removed silicide layer 268 a and the semiconductor layer 250 a leave an opening 277 as shown in FIG. 2L. The removal process 279 includes, for example, a wet etch process, a dry etch process, and/or any combinations thereof. In some embodiments, the semiconductor layer 250 a is referred to as a dummy gate electrode that is removed during a gate-last high-k/metal-gate process.
  • Referring to FIG. 2M, a work-function metallic layer 240 is formed in the opening 277 (shown in FIG. 2L) and over the diffusion barrier 230 b. In some embodiments, the work-function metallic layer 240 includes at least one P-type work-function metallic material and/or at least one N-type work-function metallic material. In some embodiments, the P-type work-function metallic layer includes compositions such as ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, and/or other suitable materials. The N-type metal material includes compositions such as hafnium, zirconium, titanium, tantalum, aluminum, metal carbides (e.g., hafnium carbide, zirconium carbide, titanium carbide, aluminum carbide), aluminides, and/or other suitable materials. In some embodiments, the work-function metallic layer 240 is formed, for example, by any suitable process, such as an ALD process, CVD process, an RPCVD process, a PECVD process, an MOCVD process, or any combinations thereof.
  • Referring again to FIG. 2M, a conductive layer 280 is formed in the opening 277 (shown in FIG. 2L) and surrounded by the work-function metallic layer 240. A top surface of the conductive layer 280 is substantially leveled with a top surface of the silicide layer 268 b. As shown, the transistor 213 a and a resistor structure of the electrical fuse 215 a are formed over the substrate 201. In some embodiments, the conductive layer 280 is made of at least one material, such as aluminum, copper, Ti, TiN, TaN, Ta, TaC, TaSiN, W, WN, MoN, MoON, RuO2, and/or other suitable materials. In some embodiments, the conductive layer 280 is formed by any suitable process, such as an ALD process, a CVD process, a physical vapor deposition (PVD) process, an RPCVD process, a PECVD process, an MOCVD process, a plating process, a sputtering process, or any combinations thereof.
  • As shown in FIG. 2M, the transistor 213 a has the conductive layer 280 serving for electrical connection. The conductive layer 280 has the desired electrical migration reliability during the operation of the transistor 213 a. Different from the transistor 213 a, the electrical fuse 215 a has the semiconductor layer 250 b and the silicide layer 268 b. For programming the electrical fuse 215 a, a current flows through and blows out the electrical fuse 215 a. Accordingly, the transistor 213 a and the electrical fuse 215 a are formed by different materials. The gate-last HK/MG process described above in conjunction with FIGS. 2A-2M provides forming different materials for the gate of the transistor 213 a and the electrical fuse 215 a.
  • Referring again to FIG. 2M, the diffusion barrier 230 b is formed between the gate dielectric structure 220 a and the work-function metallic layer 240. The formation of the work-function metallic layer 240 is after the formation of the silicide layer 268 b. The formation of the diffusion barrier 230 b is before the formation of the silicide layer 268 b as shown in FIG. 2I. Not seeing the thermal cycle of the formation of silicide layer 268 b, the work-function metallic layer 240 maintains its intended work function and does not substantially interact with the diffusion barrier 230 b.
  • It is also noted, the processes and/or structures described above in conjunction with FIGS. 2A-2M are merely exemplary. The scope of this application is not limited thereto. For example, as described in conjunction with FIG. 2D, the etch process 246 optionally removes portions of the diffusion barrier material 230 and the gate dielectric stack 220, such that a portion of the STI feature 210 is exposed. In at least this embodiment, the semiconductor layer 250 b formed in FIG. 2M directly contacts the STI feature 210.
  • It is understood that additional processes may be performed to complete the fabrication of the integrated circuit 200. For example, these additional processes may include forming dielectric materials, contact or via plugs, metallic regions, and/or metallic lines (not shown) over the conductive layer 280 and the silicide layer 268 b for interconnection. The dielectric layers may include materials such as silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material, ultra low-k dielectric material, or any combinations thereof. The contact/via plugs, metallic regions, and/or metallic lines can include materials such as tungsten, aluminum, copper, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, other proper conductive materials, and/or combinations thereof. The contact/via plugs, metallic regions, and/or metallic lines can be formed by any suitable processes, such as deposition, photolithography, chemical mechanical polishing (CMP) process, and etching processes, and/or combinations thereof. For the sake of simplicity, these additional processes are not described herein.
  • FIGS. 3A-3H are schematic cross-sectional views of an integrated circuit during another gate-last high-k metal-gate fabrication stages along the section line C-C of FIG. 1B. Items of FIGS. 3A-3H that are the same or similar items in FIGS. 2A-2M are indicated by the same reference numerals, increased by 100. It is understood that FIGS. 3A-3H have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after FIGS. 3A-3H, and that some other processes may only be briefly described herein.
  • Referring now to FIG. 3A, an integrated circuit 300 is fabricated over a substrate 301. The integrated circuit 300 includes a transistor region 313 and a electrical fuse region 315. The integrated circuit 300 includes an isolation structure, e.g., a shallow trench isolation (STI) feature 310 that is formed in the substrate 301. A gate dielectric stack 320 is formed over the substrate 301.
  • Referring to FIG. 3B, a semiconductor material 350 is formed over the gate dielectric stack 320. In some embodiments, the semiconductor material 350 continuously extends from the transistor region 313 to the electrical fuse region 315. In some embodiments, the semiconductor material 350 is undoped or doped with N-type or P-type dopants.
  • Referring to FIG. 3C, a patterning process is performed to pattern the semiconductor material 350 and the gate dielectric stack 320 (shown in FIG. 3B). By the patterning process, gate dielectric structures 320 a and 320 b are formed over the substrate 301 in the transistor region 313 and the electrical fuse region 315, respectively. Semiconductor layers 350 a and 350 b are formed over the gate dielectric structures 320 a and 320 b, respectively.
  • Referring to FIG. 3D, at least one implantation process 355 is performed to implant dopants into the substrate 301 to form a source/drain (S/D) region 370 adjacent to each sidewall of the gate dielectric structure 320 a. Spacers 365 and 367 are formed on sidewalls of the semiconductor layers 350 a and 350 b, respectively. It is noted that the process of forming the S/D regions 370 optionally includes the processes of forming the LDD regions, heavily doped S/D regions, and/or stressors described above in conjunction with FIG. 2H.
  • Referring to FIG. 3E, silicide layers 368 a-368 b and 369 a-369 b are formed on the respective semiconductor layers 350 a, the semiconductor layer 350 b, and the S/D regions 370. In some embodiments, the formation of the silicide layer 368 a on the semiconductor layer 350 a is optional. In some embodiments, the formation of the silicide layer 368 a on the semiconductor layer 350 a is carried out by the process for forming the silicide layers 369 a-369 b on the S/D regions 370. In other embodiments, the silicide layer 368 a is not formed on the top surface of the semiconductor layer 350 a. For example, the top surface of the semiconductor layer 350 a is covered with a dielectric layer, e.g., a nitride layer, while the salicidation process is in progress.
  • Referring to FIG. 3F, at least one dielectric layer, e.g., a dielectric layer 375, is formed over the substrate 301 and around the spacers 365 and 367. In some embodiments, the top surfaces of the silicide layers 368 a-368 b are substantially leveled with the top surface of the dielectric layer 375.
  • Referring to FIG. 3G, a mask layer 378, e.g., silicon oxide, silicon nitride, silicon oxynitride, other dielectric materials, and/or any combinations thereof, is formed, covering the top surface of the silicide layer 368 b. A removal process 379 removes the silicide layer 368 a and the semiconductor layer 350 a (shown in FIG. 3F). The removal process 379 includes, for example, a wet etch process, a dry etch process, or any combinations thereof. The mask layer 378 is configured to prevent the silicide layer 368 b and the semiconductor layer 350 b from being removed, while the removal process 379 removes the silicide layer 368 a and the semiconductor layer 350 a. After removing the silicide layer 368 a and the semiconductor layer 350 a, an opening 377 is formed as shown in FIG. 3G.
  • Referring to FIG. 3H, a diffusion barrier 330 b, a work-function metallic layer 340 and a conductive layer 380 are sequentially disposed in the opening 377. The diffusion barrier 330 b is formed over the gate dielectric structure 320 a and along the sidewalls of the spacers 365. The diffusion barrier 330 b is formed around the work-function metallic layer 340. The work-function metallic layer 340 is formed around the conductive layer 380. As shown in FIG. 3H, the diffusion barrier 330 b is formed between the gate dielectric structure 320 a and the work-function metallic layer 340. The formation of the diffusion barrier 330 b is performed after the formation of the silicide layer 368 b. Not seeing the thermal cycle of the formation of the silicide layer 368 b, the work-function metallic layer 340 maintains its intended work function and does not substantially interact with the diffusion barrier 330 b.
  • FIGS. 4A-4G are schematic cross-sectional views of an integrated circuit during various gate-first high-k metal-gate fabrication stages taken along the section line C-C. It is understood that FIGS. 4A-4F have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and/or after FIGS. 4A-4G, and that some other processes may only be briefly described herein.
  • Referring now to FIGS. 4A-4G, an integrated circuit 400 is fabricated over a substrate 401. Items of FIGS. 4A-4G that are the same or similar items in FIGS. 2A-2M are indicated by the same reference numerals, increased by 200. The integrated circuit 400 includes a transistor region 413 and an electrical fuse region 415. In FIG. 4A, an isolation structure, e.g., a shallow trench isolation (STI) feature 410, is formed in the substrate 401.
  • Referring again to FIG. 4A, a gate dielectric stack 420, a diffusion barrier material 430, a work-function metallic material 440 and a metallic layer 443 are sequentially formed over the substrate 401. In some embodiments, the metallic layer 443 is made of at least one material such as aluminum oxide, aluminum, aluminum nitride, tungsten, titanium, titanium nitride (TiN), tantalum, tantalum nitride, other suitable material, and/or combinations thereof.
  • Referring to FIG. 4B, a mask layer 445 is formed over the metallic layer 443. An etch process 446 uses the mask layer 445 as an etch mask to remove portions of the metallic layer 443, the work-function metallic material 440 and the diffusion barrier material 430, exposing a portion of the gate dielectric stack 420. In some embodiments, the etch process 446 includes a dry etch process, a wet etch process, and/or any combinations thereof. After the mask layer 445 is removed, the remaining metallic layer 443 a is exposed as shown in FIG. 4C. In some embodiments, the etch process 446 optionally removes a portion of the gate dielectric stack 420, such that a portion of the STI feature 410 is exposed.
  • Referring to FIG. 4D, a semiconductor material 450 is formed over the remaining metallic layer 443 a and the exposed gate dielectric stack 420. In some embodiments, the semiconductor material 450 continuously extends from the transistor region 413 to the electrical fuse region 415. In other embodiments, the semiconductor material 450 is either undoped or doped.
  • Referring to FIG. 4E, a patterning process is performed to pattern the semiconductor material 450, the remaining metallic layer 443 a, the remaining work-function metallic material 440 a, the remaining diffusion barrier material 430 a and the gate dielectric stack 420 (shown in FIG. 4D). By the patterning process, gate dielectric structures 420 a and 420 b are formed over the substrate 401 in the transistor region 413 and the electrical fuse region 415, respectively. A diffusion barrier 430 b is formed over the gate dielectric structure 420 a. A work-function metallic layer 440 b is formed over the diffusion barrier 430 b. A metallic layer 443 b is formed over the work-function metallic layer 440 b. Semiconductor layers 450 a and 450 b are formed over the metallic layer 443 b and the gate dielectric structure 420 b, respectively.
  • Referring to FIG. 4F, at least one implantation process 455 is performed to implant dopants into the substrate 401 to form a source/drain (S/D) region 470 adjacent to each sidewall of the gate dielectric structure 420 a. In some embodiments, semiconductor layer 450 b is doped at the same time during the implantation process 455. Spacers 465 and 467 are disposed on sidewalls of the semiconductor layers 450 a and 450 b, respectively. It is noted that the process of forming the S/D regions 470 optionally includes the processes of forming the LDD regions, the heavily doped regions, and/or stressors described above in conjunction with FIG. 2H.
  • Referring to FIG. 4G, silicide layers 468 a-468 b and 469 a-469 b are formed on the respective semiconductor layers 450 a, the semiconductor layer 450 b, and the S/D regions 470. In the gate-first HK/MG process, the metallic layer 443 is configured to provide electrical connection of the gate electrode of the transistor. Compared with the silicide layer 468 a, the metallic layer 443 has a lower resistance, such that a substantive current flows through the metallic layer 443 during the operation of the transistor 413 a. Electron migration does not happen to the silicide layer 468 a.
  • One aspect of this description relates to a method of forming an integrated circuit. The method includes forming at least one transistor over a substrate. Forming the at least one transistor includes forming a gate dielectric structure over a substrate. Forming the at least one transistor further includes forming a work-function metallic layer over the gate dielectric structure. Forming the at least one transistor further includes forming a conductive layer over the work-function metallic layer. Forming the at least one transistor further includes forming a source/drain (S/D) region being disposed adjacent to each sidewall of the gate dielectric structure. Forming the at least one transistor further includes forming a diffusion barrier layer between the gate dielectric structure and the work-function layer. The method further includes forming at least one electrical fuse over the substrate. Forming the at least one electrical fuse includes forming a first semiconductor layer over the substrate. Forming the at least one electrical fuse further includes forming a first silicide layer on the first semiconductor layer, wherein the diffusion barrier layer is formed before the first silicide layer.
  • Another aspect of this description relates to a method of forming an integrated circuit. The method includes forming a first semiconductor layer in a transistor region over a substrate and a second semiconductor layer in a fuse region over the substrate. The method further includes forming a first silicide layer on the first semiconductor layer and a second silicide layer on the second semiconductor layer. The method further includes forming a dielectric layer around the first and second semiconductor layers, exposing the first and second silicide layers. The method further includes forming a cap layer covering the second silicide layer and exposing the first silicide layer. The method further includes removing the first silicide layer and the first semiconductor layer to form a first opening that is left by the removed first silicide layer and the removed first semiconductor layer. The method further includes sequentially forming a work-function metallic layer and a conductive layer in the first opening. The method further includes forming a diffusion barrier between the substrate and the work-function metallic layer, wherein the diffusion barrier is formed before the first silicide layer.
  • Still another aspect of this description relates to an integrated circuit. The integrated circuit further includes at least one transistor and at least one electrical fuse disposed over the substrate. The at least one transistor includes a gate dielectric structure over the substrate. The at least one transistor further includes a work-function layer over the gate dielectric structure. The at least one transistor further includes a conductive layer over the work-function metallic layer. The at least one transistor further includes a source/drain (S/D) region adjacent to each sidewall of the gate dielectric structure. The at least one transistor further includes a diffusion barrier layer between the gate dielectric structure and the work-function layer. The at least one electrical fuse includes a first semiconductor layer over the substrate. The at least one electrical fuse further includes a first silicide layer on the first semiconductor layer.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method of forming an integrated circuit, the method comprising:
forming at least one transistor over a substrate, wherein forming the at least one transistor comprises:
forming a gate dielectric structure over a substrate;
forming a work-function metallic layer over the gate dielectric structure;
forming a conductive layer over the work-function metallic layer; and
forming a source/drain (S/D) region being disposed adjacent to each sidewall of the gate dielectric structure;
forming a diffusion barrier layer between the gate dielectric structure and the work-function layer; and
forming at least one electrical fuse over the substrate, wherein forming the at least one electrical fuse comprises:
forming a first semiconductor layer over the substrate; and
forming a first silicide layer on the first semiconductor layer, wherein the diffusion barrier layer is formed before the first silicide layer.
2. The method of claim 1, wherein the work-function metallic layer is formed after forming the first silicide layer.
3. The method of claim 2, wherein forming the work-function metallic layer and forming the conductive layer comprise:
forming a second semiconductor layer over the diffusion barrier before forming the silicide layer;
forming a second silicide layer on the second semiconductor layer;
forming a cap layer covering the first silicide layer and exposing the second silicide layer;
removing the second silicide layer and the second semiconductor layer to form a first opening that is left by the removed second silicide layer and the second semiconductor layer; and
sequentially forming the work-function metallic layer and the conductive layer in the first opening.
4. The method of claim 3, wherein forming the first semiconductor layer over the substrate and forming the second semiconductor layer over the diffusion barrier layer comprise:
forming a diffusion barrier material over the substrate;
removing a portion of the diffusion barrier material to form a second opening in the remaining diffusion barrier material;
forming a semiconductor material on the remaining diffusion barrier material; and
removing portions of the semiconductor material and the remaining diffusion barrier material, such that first semiconductor layer is formed over the substrate and the second semiconductor layer is formed over the diffusion barrier layer.
5. The method of claim 1, wherein the diffusion barrier layer and the work-function metallic layer are formed before forming the first silicide layer.
6. The method of claim 1, further comprising:
forming a second semiconductor material on the conductive layer.
7. The method of claim 5, wherein forming the first semiconductor layer over the substrate and forming the second semiconductor material on the conductive layer comprise:
forming a gate dielectric structure over the substrate;
forming a diffusion barrier material over the gate dielectric structure;
forming a work-function metallic material over the diffusion barrier material;
forming a conductive material over the work-function metallic material;
removing portions of the diffusion barrier material, the work-function metallic material and the conductive material to form an opening in the remaining diffusion barrier material, the remaining work-function metallic material and the remaining conductive material;
forming a semiconductor material on the remaining conductive material and filling in the opening; and
removing portions of the semiconductor material, the remaining diffusion barrier material, the remaining work-function metallic material and the remaining conductive material, such that first semiconductor layer is formed over the substrate and the second semiconductor layer is formed on the conductive layer.
8. A method of forming an integrated circuit, the method comprising:
forming a first semiconductor layer in a transistor region over a substrate and a second semiconductor layer in a fuse region over the substrate;
forming a first silicide layer on the first semiconductor layer and a second silicide layer on the second semiconductor layer;
forming a dielectric layer around the first and second semiconductor layers, exposing the first and second silicide layers;
forming a cap layer covering the second silicide layer and exposing the first silicide layer;
removing the first silicide layer and the first semiconductor layer to form a first opening that is left by the removed first silicide layer and the removed first semiconductor layer;
sequentially forming a work-function metallic layer and a conductive layer in the first opening; and
forming a diffusion barrier between the substrate and the work-function metallic layer, wherein the diffusion barrier is formed before the first silicide layer.
9. The method of claim 8, wherein the work-function metallic layer is formed after forming the first silicide layer.
10. The method of claim 9, wherein forming the first semiconductor layer over the substrate and forming the second semiconductor layer over the diffusion barrier comprise:
forming a diffusion barrier material over the substrate;
removing a portion of the diffusion barrier material to form a second opening in the remaining diffusion barrier material;
forming a semiconductor material on the remaining diffusion barrier material; and
removing portions of the semiconductor material and the remaining diffusion barrier material, such that first semiconductor layer is formed over the substrate and the second semiconductor layer is formed over the diffusion barrier.
11. An integrated circuit comprising:
at least one transistor and at least one electrical fuse disposed over the substrate, wherein the at least one transistor comprises:
a gate dielectric structure over the substrate;
a work-function layer over the gate dielectric structure;
a conductive layer over the work-function metallic layer; and
a source/drain (S/D) region adjacent to each sidewall of the gate dielectric structure;
a diffusion barrier between the gate dielectric structure and the work-function layer; and
wherein the at least one electrical fuse comprises:
a first semiconductor layer over the substrate; and
a first silicide layer on the first semiconductor layer.
12. The integrated circuit of claim 11, wherein the diffusion barrier continuously extend around the work-function layer.
13. The integrated circuit of claim 11, further comprising a second semiconductor layer over the conductive layer.
14. The integrated circuit of claim 13, further comprising a second silicide layer over the second semiconductor layer, wherein a top surface of the second silicide layer is substantially leveled with a top surface of the first silicide layer.
15. The integrated circuit of claim 11, wherein the at least one electrical fuse further comprises a dielectric layer between the first semiconductor layer and the substrate.
16. The integrated circuit of claim 11, further comprising an isolation feature in the substrate, wherein the first semiconductor layer is over the isolation feature.
17. The integrated circuit of claim 11, wherein the S/D region extends above a top surface of the substrate.
18. The integrated circuit of claim 11, wherein the work function layer contacts sidewalls of the conductive layer.
19. The integrated circuit of claim 11, wherein the at least one transistor further comprises spacers, and the work function layer directly contacts the spacers.
20. The integrated circuit of claim 11, wherein the at least one transistor further comprises spacers, and the diffusion barrier is between the work function layer and the spacers.
US15/350,424 2011-11-22 2016-11-14 Integrated circuit with electrical fuse and method of forming the same Abandoned US20170062335A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/350,424 US20170062335A1 (en) 2011-11-22 2016-11-14 Integrated circuit with electrical fuse and method of forming the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/302,335 US9524934B2 (en) 2011-11-22 2011-11-22 Integrated circuits with electrical fuses and methods of forming the same
US15/350,424 US20170062335A1 (en) 2011-11-22 2016-11-14 Integrated circuit with electrical fuse and method of forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/302,335 Division US9524934B2 (en) 2011-11-22 2011-11-22 Integrated circuits with electrical fuses and methods of forming the same

Publications (1)

Publication Number Publication Date
US20170062335A1 true US20170062335A1 (en) 2017-03-02

Family

ID=48425982

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/302,335 Active 2034-07-17 US9524934B2 (en) 2011-11-22 2011-11-22 Integrated circuits with electrical fuses and methods of forming the same
US15/350,424 Abandoned US20170062335A1 (en) 2011-11-22 2016-11-14 Integrated circuit with electrical fuse and method of forming the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/302,335 Active 2034-07-17 US9524934B2 (en) 2011-11-22 2011-11-22 Integrated circuits with electrical fuses and methods of forming the same

Country Status (2)

Country Link
US (2) US9524934B2 (en)
CN (2) CN108538809A (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8835246B2 (en) 2011-02-25 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with resistors and methods of forming the same
US8524556B1 (en) * 2012-03-14 2013-09-03 United Microelectronics Corp. Resistor and manufacturing method thereof
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9202691B2 (en) * 2013-01-18 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US9093375B2 (en) 2013-03-12 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure and method
US9263583B2 (en) * 2013-10-14 2016-02-16 Globalfoundries Inc. Integrated finFET-BJT replacement metal gate
CN105514160B (en) * 2014-09-26 2019-05-07 中芯国际集成电路制造(上海)有限公司 LDMOS device and its manufacturing method
US9514998B1 (en) * 2015-05-11 2016-12-06 International Business Machines Corporation Polysilicon resistor formation in silicon-on-insulator replacement metal gate finFET processes
KR102327140B1 (en) 2015-11-30 2021-11-16 삼성전자주식회사 OTP(One Time Programmable) memory device, fabricating method thereof, and electronic apparatus comprising the memory device
WO2018182705A1 (en) * 2017-03-31 2018-10-04 Intel Corporation Semiconductor fuse elements and methods of producing the same
US10741678B2 (en) * 2017-10-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11404426B2 (en) * 2020-02-04 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling trap formation to improve memory window in one-time program devices
CN116847650A (en) * 2022-03-22 2023-10-03 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040169221A1 (en) * 2003-02-28 2004-09-02 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof
US20070194387A1 (en) * 2006-02-21 2007-08-23 International Business Machines Corporation Extended raised source/drain structure for enhanced contact area and method for forming extended raised source/drain structure
US20100019344A1 (en) * 2008-07-25 2010-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Novel poly resistor and poly efuse design for replacement gate technology
US20100193867A1 (en) * 2009-02-03 2010-08-05 Jiang Yan Silicided Semiconductor Structure and Method of Forming the Same
US20110117710A1 (en) * 2009-11-19 2011-05-19 Yung-Chang Lin Method of fabricating efuse, resistor and transistor
US20110147853A1 (en) * 2009-12-18 2011-06-23 United Microelectronics Corporation Method of Forming an Electrical Fuse and a Metal Gate Transistor and the Related Electrical Fuse
US20110156161A1 (en) * 2009-12-29 2011-06-30 United Microelectronics Corp. Semiconductor device and method of forming the same
US20110266633A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Inc. Semiconductor Device Comprising Metal Gates and Semiconductor Resistors Formed on the Basis of a Replacement Gate Approach
US20110272764A1 (en) * 2010-05-04 2011-11-10 Samsung Electronics Co., Ltd. Semiconductor Device Having e-Fuse Structure And Method Of Fabricating The Same
US20120043614A1 (en) * 2010-08-20 2012-02-23 Samsung Electronics Co., Ltd. Semiconductor devices having passive element in recessed portion of device isolation pattern and methods of fabricating the same
US20120129312A1 (en) * 2010-11-22 2012-05-24 International Business Machines Corporation Method of forming e-fuse in replacement metal gate manufacturing process

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7126199B2 (en) 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7932146B2 (en) * 2008-03-20 2011-04-26 United Microelectronics Corp. Metal gate transistor and polysilicon resistor and method for fabricating the same
US8421519B2 (en) * 2008-11-11 2013-04-16 Stmicroelectronics Pvt. Ltd. Switched charge storage element network
US8138037B2 (en) * 2009-03-17 2012-03-20 International Business Machines Corporation Method and structure for gate height scaling with high-k/metal gate technology
CN102082122B (en) * 2009-11-30 2013-12-11 联华电子股份有限公司 Manufacturing method of electric fuse, resistor and transistor
US8003461B1 (en) * 2010-02-04 2011-08-23 United Microelectronics Corp. Method of fabricating efuse structure, resistor sturcture and transistor sturcture
DE102010003555B4 (en) * 2010-03-31 2019-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Aluminum fuses in a semiconductor device that has metal gate electrode structures

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040169221A1 (en) * 2003-02-28 2004-09-02 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof
US20070194387A1 (en) * 2006-02-21 2007-08-23 International Business Machines Corporation Extended raised source/drain structure for enhanced contact area and method for forming extended raised source/drain structure
US20100019344A1 (en) * 2008-07-25 2010-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Novel poly resistor and poly efuse design for replacement gate technology
US20100193867A1 (en) * 2009-02-03 2010-08-05 Jiang Yan Silicided Semiconductor Structure and Method of Forming the Same
US20110117710A1 (en) * 2009-11-19 2011-05-19 Yung-Chang Lin Method of fabricating efuse, resistor and transistor
US20110147853A1 (en) * 2009-12-18 2011-06-23 United Microelectronics Corporation Method of Forming an Electrical Fuse and a Metal Gate Transistor and the Related Electrical Fuse
US20110156161A1 (en) * 2009-12-29 2011-06-30 United Microelectronics Corp. Semiconductor device and method of forming the same
US20110266633A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Inc. Semiconductor Device Comprising Metal Gates and Semiconductor Resistors Formed on the Basis of a Replacement Gate Approach
US20110272764A1 (en) * 2010-05-04 2011-11-10 Samsung Electronics Co., Ltd. Semiconductor Device Having e-Fuse Structure And Method Of Fabricating The Same
US20120043614A1 (en) * 2010-08-20 2012-02-23 Samsung Electronics Co., Ltd. Semiconductor devices having passive element in recessed portion of device isolation pattern and methods of fabricating the same
US20120129312A1 (en) * 2010-11-22 2012-05-24 International Business Machines Corporation Method of forming e-fuse in replacement metal gate manufacturing process

Also Published As

Publication number Publication date
US20130126979A1 (en) 2013-05-23
US9524934B2 (en) 2016-12-20
CN108538809A (en) 2018-09-14
CN103137553A (en) 2013-06-05

Similar Documents

Publication Publication Date Title
US9524934B2 (en) Integrated circuits with electrical fuses and methods of forming the same
US9887189B2 (en) Integrated circuits with resistors
US11211323B2 (en) Method of fabricating field effect transistor having non-orthogonal gate electrode
US9917050B2 (en) Semiconductor device including source/drain contact having height below gate stack
US9601489B2 (en) Dummy metal gate structures to reduce dishing during chemical-mechanical polishing
KR101363699B1 (en) Metal gate semiconductor device
US8609484B2 (en) Method for forming high-K metal gate device
KR102503922B1 (en) Forming esd devices using multi-gate compatible processes
CN102820229B (en) There is the semiconductor device of grade doping distribution
US9831130B2 (en) Method for forming semiconductor device structure
US9502499B2 (en) Semiconductor device structure having multi-layered isolation trench structures
US9048186B2 (en) Methods for forming integrated circuits
US20220157941A1 (en) Semiconductor Gate-All-Around Device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHERN, CHAN-HONG;HSUEH, FU-LUNG;HSU, KUOYUAN (PETER);SIGNING DATES FROM 20111102 TO 20111107;REEL/FRAME:040309/0140

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION