US20170012011A1 - Semiconductor package structure and method of the same - Google Patents

Semiconductor package structure and method of the same Download PDF

Info

Publication number
US20170012011A1
US20170012011A1 US15/237,337 US201615237337A US2017012011A1 US 20170012011 A1 US20170012011 A1 US 20170012011A1 US 201615237337 A US201615237337 A US 201615237337A US 2017012011 A1 US2017012011 A1 US 2017012011A1
Authority
US
United States
Prior art keywords
die
semiconductor package
metal layer
die pad
package structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/237,337
Inventor
Yu-Ming Peng
Wei-Lun Hsu
Chu-Chun Hsu
Hong-Sheng Ke
Yu Chia Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Inpaq Technology Co Ltd
Original Assignee
Inpaq Technology Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Inpaq Technology Co., Ltd. filed Critical Inpaq Technology Co., Ltd.
Priority to US15/237,337 priority Critical patent/US20170012011A1/en
Publication of US20170012011A1 publication Critical patent/US20170012011A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02373Layout of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Definitions

  • the present disclosure relates to a semiconductor package structure and associated semiconductor packaging method.
  • a circuit board for carrying active and passive components and wirings has evolved from a single-layer board into a multilayer board.
  • an area of wire routing can be expanded in a limited space on the circuit board by employing an interlayer connection technique, which also complies with the requirements of high density integrated circuits.
  • a conventional semiconductor package structure includes a wire bonded semiconductor die adhered to a front side of a substrate.
  • the semiconductor die can be coupled to outside electrical components by disposing solder balls on a back side of the substrate.
  • electrical efficiency is hard to improve at high frequencies due to a high impedance characteristic caused by long wiring.
  • fabrication of the conventional semiconductor package structure is highly complicated.
  • FIG. 1 is a cross-sectional view of a conventional semiconductor package structure.
  • the semiconductor package structure 10 includes a substrate 11 , a die 12 , a plurality of metal wires 13 and an encapsulation adhesive 14 .
  • the die 12 is fixed on a surface of the substrate 11 by an adhesive 15 .
  • the die 12 is further electrically connected to a plurality of bonding pads 112 of the substrate 11 via the plurality of metal wires 13 .
  • An insulating layer 111 of the substrate 11 includes a plurality of conductive pillars 114 , thus the plurality of bonding pads 112 can be electrically connected to a plurality of pads 113 on a backside of the substrate 11 via the plurality of conductive pillars 114 .
  • the plurality of pads 113 can be bonded to the solder balls (not shown in FIG. 1 ), thus forming a ball grid array (BOA) package.
  • the die 12 and the plurality of metal wires 13 are capsulated in the encapsulation adhesive 14 .
  • the aforementioned semiconductor package structure requires not only complicated operations such as die adhesion, wire bonding and encapsulating, but also a lead frame or circuit board to carry the die. Consequently, the cost of a package cannot be effectively reduced. How to further improve the complex semiconductor packaging method has become an urgent issue in this field.
  • One of the objectives of the present invention is to disclose semiconductor package structures and a method of the same, to solve the issue.
  • a semiconductor package structure includes a substrate having a front side and a back side; a first insulating layer disposed on the front side of the substrate; and a die disposed on the first insulating layer; wherein the die comprises a first die pad and a second die pad, the first die pad is coupled to a first portion of a metal layer, the second die pad is coupled to a second portion of the metal layer, and the first portion of the metal layer and the second portion of the metal layer are spaced apart by a second insulating layer.
  • a semiconductor package structure includes a substrate; a first insulating layer disposed on the substrate; a first die disposed on the first insulating layer; and a second die disposed on the first insulating layer; and wherein the first die comprises a first die pad and a second die pad, the second die comprises a third die pad and a fourth die pad, the first die pad is coupled to a first portion of a metal layer, the second die pad is coupled to the third die pad via a second portion of the metal layer, the fourth die pad is coupled to a third portion of the metal layer, and the first portion, the second portion and the third portion of the metal layer are spaced apart by a second insulating layer.
  • a semiconductor packaging method includes: providing a substrate having a front side and a back side; disposing a first insulating layer on the front side of the substrate; disposing a die on the first insulating layer, wherein the die comprises a first die pad and a second die pad; disposing a second insulating layer on the first insulating layer, the die, the first die pad and the second die pad; removing a portion of the second insulating layer to form a first window and a second window, so as to expose the first die pad and the second die pad; disposing a first metal layer on the second insulating layer, the first window and the second window, and the first metal layer being coupled to the first die pad and the second die pad; disposing a third insulating layer on the first metal layer; removing a portion of the third insulating layer to form a third window and a fourth window, so as to expose the first metal layer; disposing a second metal layer on the first metal
  • FIG. 1 is a cross-sectional view of a conventional semiconductor package structure
  • FIGS. 2-11 are cross-sectional views of a semiconductor package structure during a process of a semiconductor packaging method according to an embodiment of the disclosure
  • FIG. 12 is a cross-sectional view of a semiconductor package structure according to an embodiment of the disclosure.
  • FIG. 13 is a cross-sectional view of a semiconductor package structure according to another embodiment of the disclosure.
  • FIG. 14 is a cross-sectional view of a semiconductor package structure according to still another embodiment of the disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIGS. 2-11 are cross-sectional views of a semiconductor package structure during a process of a semiconductor packaging method according to an embodiment of the disclosure.
  • a plurality of dies are obtained by sawing a wafer. At least one of the plurality of dies is arranged on a substrate 202 according to a predefined matrix and/or die size after a pick and place process.
  • the substrate 202 is an insulating substrate. In order to facilitate illustration of the present disclosure, only a die 204 is depicted in the embodiment shown in FIGS. 2-11 .
  • a first insulating layer 206 and a protection layer 208 are adhered to a front side and a back side of the substrate 202 respectively.
  • the first insulating layer 206 and the protection layer 208 are dry films including constituents selected from at least one of polyimide, epoxy, benzocyclobutene resin and polymer.
  • a curing process may be adopted to firmly bind the die 204 on the surface of the first insulating layer 206 .
  • the protection layer 208 may be employed to protect the substrate 202 , in order to prevent fragmentation of the substrate 202 . However, this is not a limitation of the disclosure. In some embodiments, the protection layer 208 may be omitted.
  • the die 204 includes a first die pad 210 and a second die pad 112 . In some embodiments, the die 204 may include more or less die pads.
  • a second insulating layer 302 is adhered to the first insulating layer 206 .
  • the second insulating layer 302 covers the die 204 , the first die pad 210 and the second die pad 212 .
  • the second insulating layer 302 is a photosensitive dry film including constituents selected from at least one of polyimide, epoxy resin, benzocyclobutene resin and polymer.
  • an expose development process is performed to transfer a predefined pattern to the second insulating layer 302 over the first die pad 210 and the second die pad 212 . A portion of the second insulating layer 302 is removed, and therefore a first window 402 and a second window 404 are formed.
  • a first metal layer 502 is deposited along a surface of the second insulating layer 302 and a profile of the first window 402 and the second window 404 .
  • the first metal layer 502 is used a diffusion barrier layer between the first die pad 210 and the second die pad 212 and the subsequent metal layer in order to improve reliability of electrical characteristics, thus preventing copper atoms from drift or diffusion once copper is included in the following metal layer.
  • the first metal layer 502 may include TiW, TiN, Ta, TaN, Ta—Si—N and WN.
  • the first metal layer 502 covers a surface of the third insulating layer, a profile of the first window 402 and the second window 404 , and the exposed first die pad 210 and the exposed second die pad 212 shown in FIG. 4 .
  • a third insulating layer 602 is deposit on the first metal layer 502 .
  • An exposure development process is performed to transfer a pattern to form a third window 702 and a fourth window 704 as illustrated in FIG. 7 .
  • an electroplating process can be performed to deposit a second metal layer 802 in the third window 702 and the fourth window 704 in FIG. 8 as bonding pads.
  • a thin and continuous seed layer (not shown in FIG. 8 ) can be formed in order to improve adhesion and facilitate growth of copper during the electroplating process.
  • the second metal layer 802 may be selected from at least one of Pd, Al, Cr, Ni, Ti, Au, Cu or Pt.
  • an insulating layer removing process can be used to strip out the third insulating layer 602 and a portion of the first metal layer 502 not covered by the second metal layer 802 , as shown in FIG. 9 .
  • a fourth insulating layer 1002 is deposited to cover the second insulating layer 302 , the first metal layer 502 and the second metal layer 802 as depicted in FIG. 10 .
  • FIG. 10 In FIG.
  • an exposure development process is performed to transfer a pattern and form a structure of a fifth window 1102 and a sixth window 1104 , wherein the third insulating layer 602 , the fourth insulating layer 1002 and the second insulating layer 302 may include the same materials.
  • the first die pad 210 of the die 204 is coupled to a first portion (e.g. the left part of the second metal layer 802 of FIG. 11 ) of the second metal layer 802 via the first metal layer 502
  • the second die pad 212 of the die 204 is coupled to a second portion (e.g. the right part of the second metal layer 802 of FIG. 11 ) of the second metal layer 802 via the first metal layer 502
  • the first portion and the second portion are spaced apart by insulating materials (e.g. the fourth insulating 1002 of FIG. 11 ).
  • FIG. 12 is a cross-sectional view of a semiconductor package structure according to an embodiment of the disclosure.
  • the semiconductor package structure may be flipped over to couple the second metal layer 802 to external circuits by solder. Consequently, the semiconductor package structure can communicate with the external circuits by electrical signals.
  • FIG. 13 is a cross-sectional view of a semiconductor package structure according to another embodiment of the disclosure.
  • a dip silver/copper operation may be performed upon two terminals of the semiconductor of FIG. 12 as shown in FIG. 13 , to form a first metal terminal 1302 and a second metal terminal 1304 .
  • the first metal terminal 1302 and the second metal terminal 1304 are silver or copper, and further plated by metal materials such as nickel and tin by a barrel plating operation.
  • the first metal terminal 1302 and the second metal terminal 1304 may include other types of metal, such as palladium, aluminum, chromium, nickel, titanium, gold or platinum.
  • the semiconductor package structure of FIG. 13 may be soldered to the external circuits without being flipped over.
  • the semiconductor package structure of FIG. 13 may be disposed on an external circuit board upright, upside down or on its side, and communicates with the external circuit board by soldering.
  • the die 204 may include three or more die pads. Therefore, three or more dip silver/copper metal terminals may be included in the semiconductor package structure.
  • FIG. 14 is a cross-sectional view of a semiconductor package structure according to still another embodiment of the disclosure.
  • the semiconductor package structure of FIG. 14 includes a first die 1404 and a second die 1406 adhered to a first insulating layer 1408 on a front side of the substrate 202 .
  • a protection 1410 is optionally adhered to a back side of the substrate 202 .
  • the first die 1404 includes a first die pad 1416 and a second die pad 1418 ; the second die 1406 includes a third die pad 1412 and a fourth die pad 1414 .
  • the 14 further includes a second insulating layer 1420 , a first metal layer 1424 , a second metal layer 1422 , a third insulating layer 1428 , a first metal terminal 1426 and a second metal terminal 1430 .
  • the second die pad 1418 of the first die 1404 is coupled to the third die pad 1412 of the second die 1406 via a second portion of the first metal layer 1424 and the second metal layer 1422 .
  • the first die pad 1416 of the first die 1404 is coupled to the first metal terminal 1426 via a first portion of the first metal layer 1424 and the second metal layer 1422 .
  • the fourth die pad 1414 of the second die 1406 is coupled to the second metal terminal 1430 via a third portion of the first metal layer 1424 and the second metal layer 1422 .
  • the first die 1404 and the second die 1406 of the embodiment of FIG. 14 may be capacitors to be packaged.
  • the first die 1404 and the second die 1406 may be serially connected to perform a function different from separately adopting each single capacitor.
  • one or more dies may be connected in serial/parallel by using the semiconductor package method mentioned above.
  • the inner metal layers may be utilized to connect some die pads of the dies to each other according to design requirements, and coupling some die pads requires communication with external circuits to metal terminals at two sides of the substrate.
  • the dies mentioned in the disclosure are not limited to any specific components.
  • the dies mentioned in the disclosure may be any digital components, analog components, mix-signal components or active/passive components, such as capacitors, resistors, inductors, transient-voltage-suppression (TVS) diode or computers.
  • active/passive components such as capacitors, resistors, inductors, transient-voltage-suppression (TVS) diode or computers.

Abstract

The disclosure provides a semiconductor package structure, including a substrate having a front side and a back side, a first insulating layer disposed on the front side of the substrate, and a die disposed on the first insulating layer; wherein the die includes a first die pad and a second die pad, the first die pad coupled to a first portion of a metal layer, the second die pad coupled to a second portion of the metal layer, and the first portion of the metal layer and the second. portion of the metal layer spaced apart by a second insulating layer. An associated semiconductor packaging method and another semiconductor package structure are also disclosed.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This is a divisional application of U.S. application Ser. No. 14/795,331, filed Jul. 9, 2015.
  • TECHNICAL FIELD
  • The present disclosure relates to a semiconductor package structure and associated semiconductor packaging method.
  • DISCUSSION OF THE BACKGROUND
  • Within the electronics industry, vigorous development has focused on multi-function and high-performance capabilities of electronic products. To meet the high-integration and miniaturization packaging requirements of semiconductor package structures, a circuit board for carrying active and passive components and wirings has evolved from a single-layer board into a multilayer board. In this way, an area of wire routing can be expanded in a limited space on the circuit board by employing an interlayer connection technique, which also complies with the requirements of high density integrated circuits.
  • A conventional semiconductor package structure includes a wire bonded semiconductor die adhered to a front side of a substrate. The semiconductor die can be coupled to outside electrical components by disposing solder balls on a back side of the substrate. Despite the objective of achieving a high pin count, electrical efficiency is hard to improve at high frequencies due to a high impedance characteristic caused by long wiring. In addition, fabrication of the conventional semiconductor package structure is highly complicated.
  • FIG. 1 is a cross-sectional view of a conventional semiconductor package structure. The semiconductor package structure 10 includes a substrate 11, a die 12, a plurality of metal wires 13 and an encapsulation adhesive 14. The die 12 is fixed on a surface of the substrate 11 by an adhesive 15. The die 12 is further electrically connected to a plurality of bonding pads 112 of the substrate 11 via the plurality of metal wires 13. An insulating layer 111 of the substrate 11 includes a plurality of conductive pillars 114, thus the plurality of bonding pads 112 can be electrically connected to a plurality of pads 113 on a backside of the substrate 11 via the plurality of conductive pillars 114. Further, the plurality of pads 113 can be bonded to the solder balls (not shown in FIG. 1), thus forming a ball grid array (BOA) package. In order to protect the die 12 and the plurality of metal wires 13 from being damaged, the die 12 and the plurality of metal wires 13 are capsulated in the encapsulation adhesive 14.
  • The aforementioned semiconductor package structure requires not only complicated operations such as die adhesion, wire bonding and encapsulating, but also a lead frame or circuit board to carry the die. Consequently, the cost of a package cannot be effectively reduced. How to further improve the complex semiconductor packaging method has become an urgent issue in this field.
  • SUMMARY
  • One of the objectives of the present invention is to disclose semiconductor package structures and a method of the same, to solve the issue.
  • According to a first aspect of the present invention, a semiconductor package structure is disclosed. The semiconductor package structure includes a substrate having a front side and a back side; a first insulating layer disposed on the front side of the substrate; and a die disposed on the first insulating layer; wherein the die comprises a first die pad and a second die pad, the first die pad is coupled to a first portion of a metal layer, the second die pad is coupled to a second portion of the metal layer, and the first portion of the metal layer and the second portion of the metal layer are spaced apart by a second insulating layer.
  • According to a second aspect of the present invention, a semiconductor package structure is disclosed. The semiconductor package structure includes a substrate; a first insulating layer disposed on the substrate; a first die disposed on the first insulating layer; and a second die disposed on the first insulating layer; and wherein the first die comprises a first die pad and a second die pad, the second die comprises a third die pad and a fourth die pad, the first die pad is coupled to a first portion of a metal layer, the second die pad is coupled to the third die pad via a second portion of the metal layer, the fourth die pad is coupled to a third portion of the metal layer, and the first portion, the second portion and the third portion of the metal layer are spaced apart by a second insulating layer.
  • According to a third aspect of the present invention, a semiconductor packaging method is disclosed. The semiconductor packaging method includes: providing a substrate having a front side and a back side; disposing a first insulating layer on the front side of the substrate; disposing a die on the first insulating layer, wherein the die comprises a first die pad and a second die pad; disposing a second insulating layer on the first insulating layer, the die, the first die pad and the second die pad; removing a portion of the second insulating layer to form a first window and a second window, so as to expose the first die pad and the second die pad; disposing a first metal layer on the second insulating layer, the first window and the second window, and the first metal layer being coupled to the first die pad and the second die pad; disposing a third insulating layer on the first metal layer; removing a portion of the third insulating layer to form a third window and a fourth window, so as to expose the first metal layer; disposing a second metal layer on the first metal layer in the third window and the fourth window; and removing the third insulating layer and a portion of the first metal layer, so as to uncouple the first die pad from the second die pad via the first metal layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a cross-sectional view of a conventional semiconductor package structure;
  • FIGS. 2-11 are cross-sectional views of a semiconductor package structure during a process of a semiconductor packaging method according to an embodiment of the disclosure;
  • FIG. 12 is a cross-sectional view of a semiconductor package structure according to an embodiment of the disclosure;
  • FIG. 13 is a cross-sectional view of a semiconductor package structure according to another embodiment of the disclosure; and
  • FIG. 14 is a cross-sectional view of a semiconductor package structure according to still another embodiment of the disclosure.
  • DETAILED DESCRIPTION
  • Certain terms are used throughout the description and following claims to refer to particular components. As one skilled in the art will appreciate, manufacturers may refer to a component by different names. This document does not intend to distinguish between components that differ in name but not function. In the following description and in the claims, the terms “include” and “comprise” are used in an open-ended fashion, and thus should be interpreted to mean “include, but not limited to . . . ”. Also, the term “couple” is intended to mean either an indirect or direct electrical connection. Accordingly, if one device is electrically connected to another device, that connection may be through a direct electrical connection, or through an indirect electrical connection via other devices and connections.
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Certain terms are used throughout the description and following claims to refer to particular components. As one skilled in the art will appreciate, manufacturers may refer to a component by different names. This document does not intend to distinguish between components that differ in name but not function. In the following description and in the claims, the terms “include” and “comprise” are used in an open-ended fashion, and thus should be interpreted to mean “include, but not limited to . . . ”. Also, the term “couple” is intended to mean either an indirect or direct electrical connection. Accordingly, if one device is electrically connected to another device, that connection may be through a direct electrical connection, or through an indirect electrical connection via other devices and connections.
  • FIGS. 2-11 are cross-sectional views of a semiconductor package structure during a process of a semiconductor packaging method according to an embodiment of the disclosure. Initially, a plurality of dies are obtained by sawing a wafer. At least one of the plurality of dies is arranged on a substrate 202 according to a predefined matrix and/or die size after a pick and place process. The substrate 202 is an insulating substrate. In order to facilitate illustration of the present disclosure, only a die 204 is depicted in the embodiment shown in FIGS. 2-11. As shown in FIG. 2, a first insulating layer 206 and a protection layer 208 are adhered to a front side and a back side of the substrate 202 respectively. It is preferred that the first insulating layer 206 and the protection layer 208 are dry films including constituents selected from at least one of polyimide, epoxy, benzocyclobutene resin and polymer. A curing process may be adopted to firmly bind the die 204 on the surface of the first insulating layer 206. The protection layer 208 may be employed to protect the substrate 202, in order to prevent fragmentation of the substrate 202. However, this is not a limitation of the disclosure. In some embodiments, the protection layer 208 may be omitted. In this embodiment, the die 204 includes a first die pad 210 and a second die pad 112. In some embodiments, the die 204 may include more or less die pads.
  • Next, as shown in FIG. 3, a second insulating layer 302 is adhered to the first insulating layer 206. The second insulating layer 302 covers the die 204, the first die pad 210 and the second die pad 212. Where the second insulating layer 302 is a photosensitive dry film including constituents selected from at least one of polyimide, epoxy resin, benzocyclobutene resin and polymer. In FIG. 4, an expose development process is performed to transfer a predefined pattern to the second insulating layer 302 over the first die pad 210 and the second die pad 212. A portion of the second insulating layer 302 is removed, and therefore a first window 402 and a second window 404 are formed. Consequently, the first die pad 210 and the second die pad 212 can be exposed. In FIG. 5, a first metal layer 502 is deposited along a surface of the second insulating layer 302 and a profile of the first window 402 and the second window 404. The first metal layer 502 is used a diffusion barrier layer between the first die pad 210 and the second die pad 212 and the subsequent metal layer in order to improve reliability of electrical characteristics, thus preventing copper atoms from drift or diffusion once copper is included in the following metal layer. The first metal layer 502 may include TiW, TiN, Ta, TaN, Ta—Si—N and WN. The first metal layer 502 covers a surface of the third insulating layer, a profile of the first window 402 and the second window 404, and the exposed first die pad 210 and the exposed second die pad 212 shown in FIG. 4.
  • As shown in FIG. 6, a third insulating layer 602 is deposit on the first metal layer 502. An exposure development process is performed to transfer a pattern to form a third window 702 and a fourth window 704 as illustrated in FIG. 7. Then an electroplating process can be performed to deposit a second metal layer 802 in the third window 702 and the fourth window 704 in FIG. 8 as bonding pads. In some embodiments, after the first metal layer 502 is finished and before the electroplating process is started, a thin and continuous seed layer (not shown in FIG. 8) can be formed in order to improve adhesion and facilitate growth of copper during the electroplating process. The second metal layer 802 may be selected from at least one of Pd, Al, Cr, Ni, Ti, Au, Cu or Pt. Next, an insulating layer removing process can be used to strip out the third insulating layer 602 and a portion of the first metal layer 502 not covered by the second metal layer 802, as shown in FIG. 9. Then, a fourth insulating layer 1002 is deposited to cover the second insulating layer 302, the first metal layer 502 and the second metal layer 802 as depicted in FIG. 10. In FIG. 11, an exposure development process is performed to transfer a pattern and form a structure of a fifth window 1102 and a sixth window 1104, wherein the third insulating layer 602, the fourth insulating layer 1002 and the second insulating layer 302 may include the same materials.
  • It can be understood by referring to FIG. 11 that the first die pad 210 of the die 204 is coupled to a first portion (e.g. the left part of the second metal layer 802 of FIG. 11) of the second metal layer 802 via the first metal layer 502, and the second die pad 212 of the die 204 is coupled to a second portion (e.g. the right part of the second metal layer 802 of FIG. 11) of the second metal layer 802 via the first metal layer 502. The first portion and the second portion are spaced apart by insulating materials (e.g. the fourth insulating 1002 of FIG. 11). In addition, in this embodiment, it is optional to grind the substrate 202 according to a required thickness. Further, as mentioned above, when the substrate 202 includes a plurality of dies, the substrate 202 may be sawed based on the die number in order to obtain the single semiconductor package structure of FIG. 12. FIG. 12 is a cross-sectional view of a semiconductor package structure according to an embodiment of the disclosure. The semiconductor package structure may be flipped over to couple the second metal layer 802 to external circuits by solder. Consequently, the semiconductor package structure can communicate with the external circuits by electrical signals.
  • FIG. 13 is a cross-sectional view of a semiconductor package structure according to another embodiment of the disclosure. In some embodiments, a dip silver/copper operation may be performed upon two terminals of the semiconductor of FIG. 12 as shown in FIG. 13, to form a first metal terminal 1302 and a second metal terminal 1304. The first metal terminal 1302 and the second metal terminal 1304 are silver or copper, and further plated by metal materials such as nickel and tin by a barrel plating operation. Please note that in some embodiments, the first metal terminal 1302 and the second metal terminal 1304 may include other types of metal, such as palladium, aluminum, chromium, nickel, titanium, gold or platinum. The semiconductor package structure of FIG. 13 may be soldered to the external circuits without being flipped over. In some embodiments, the semiconductor package structure of FIG. 13 may be disposed on an external circuit board upright, upside down or on its side, and communicates with the external circuit board by soldering. Thus, the facility can be greatly improved. In some embodiments, the die 204 may include three or more die pads. Therefore, three or more dip silver/copper metal terminals may be included in the semiconductor package structure.
  • FIG. 14 is a cross-sectional view of a semiconductor package structure according to still another embodiment of the disclosure. The semiconductor package structure of FIG. 14 includes a first die 1404 and a second die 1406 adhered to a first insulating layer 1408 on a front side of the substrate 202. A protection 1410 is optionally adhered to a back side of the substrate 202. The first die 1404 includes a first die pad 1416 and a second die pad 1418; the second die 1406 includes a third die pad 1412 and a fourth die pad 1414. The semiconductor package structure of FIG. 14 further includes a second insulating layer 1420, a first metal layer 1424, a second metal layer 1422, a third insulating layer 1428, a first metal terminal 1426 and a second metal terminal 1430. The second die pad 1418 of the first die 1404 is coupled to the third die pad 1412 of the second die 1406 via a second portion of the first metal layer 1424 and the second metal layer 1422. The first die pad 1416 of the first die 1404 is coupled to the first metal terminal 1426 via a first portion of the first metal layer 1424 and the second metal layer 1422. The fourth die pad 1414 of the second die 1406 is coupled to the second metal terminal 1430 via a third portion of the first metal layer 1424 and the second metal layer 1422.
  • The first die 1404 and the second die 1406 of the embodiment of FIG. 14 may be capacitors to be packaged. By using the semiconductor package structure shown in FIG. 14, the first die 1404 and the second die 1406 may be serially connected to perform a function different from separately adopting each single capacitor. In some embodiments, one or more dies may be connected in serial/parallel by using the semiconductor package method mentioned above. The inner metal layers may be utilized to connect some die pads of the dies to each other according to design requirements, and coupling some die pads requires communication with external circuits to metal terminals at two sides of the substrate.
  • The dies mentioned in the disclosure are not limited to any specific components. The dies mentioned in the disclosure may be any digital components, analog components, mix-signal components or active/passive components, such as capacitors, resistors, inductors, transient-voltage-suppression (TVS) diode or computers.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (6)

What is claimed is:
1. A semiconductor package structure, comprising:
a substrate;
a first insulating layer disposed on the substrate;
a first die disposed on the first insulating layer; and
a second die disposed on the first insulating layer; and
wherein the first die comprises a first die pad and a second die pad, the second die comprises a third die pad and a fourth die pad, the first die pad is coupled to a first portion of a metal layer, the second die pad is coupled to the third die pad via a second portion of the metal layer, the fourth die pad is coupled to a third portion of the metal layer, and the first portion, the second portion and the third portion of the metal layer are spaced apart by a second insulating layer.
2. The semiconductor package structure of claim 1, wherein at least a portion of each of the first portion and the third portion of the metal layer above two terminals of the substrate is exposed.
3. The semiconductor package structure of claim 2, wherein the semiconductor package structure comprises a first metal terminal disposed on a terminal of the substrate and coupled to the first portion of the metal layer, and the semiconductor package structure comprises a second metal terminal disposed on another terminal of the substrate and coupled to the third portion of the metal layer.
4. The semiconductor package structure of claim 3, wherein the first metal terminal and the second metal terminal are selected from at least one of silver and copper.
5. The semiconductor package structure of claim 1, wherein the metal layer is selected from at least one of palladium, aluminum, chromium, nickel, titanium, gold, copper and platinum.
6. The semiconductor package structure of claim 1, wherein the first insulating layer and the second insulating layer are photosensitive dry films comprising constituents selected from at least one of polyimide, epoxy resin, benzocyclobutene resin and polymer.
US15/237,337 2015-07-09 2016-08-15 Semiconductor package structure and method of the same Abandoned US20170012011A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/237,337 US20170012011A1 (en) 2015-07-09 2016-08-15 Semiconductor package structure and method of the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/795,331 US20170012010A1 (en) 2015-07-09 2015-07-09 Semiconductor package structure and method of the same
US15/237,337 US20170012011A1 (en) 2015-07-09 2016-08-15 Semiconductor package structure and method of the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/795,331 Division US20170012010A1 (en) 2015-07-09 2015-07-09 Semiconductor package structure and method of the same

Publications (1)

Publication Number Publication Date
US20170012011A1 true US20170012011A1 (en) 2017-01-12

Family

ID=57731424

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/795,331 Abandoned US20170012010A1 (en) 2015-07-09 2015-07-09 Semiconductor package structure and method of the same
US15/237,372 Active US11152320B2 (en) 2015-07-09 2016-08-15 Semiconductor package structure and method of the same
US15/237,337 Abandoned US20170012011A1 (en) 2015-07-09 2016-08-15 Semiconductor package structure and method of the same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/795,331 Abandoned US20170012010A1 (en) 2015-07-09 2015-07-09 Semiconductor package structure and method of the same
US15/237,372 Active US11152320B2 (en) 2015-07-09 2016-08-15 Semiconductor package structure and method of the same

Country Status (1)

Country Link
US (3) US20170012010A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170365565A1 (en) * 2016-06-15 2017-12-21 Broadcom Corporation High density redistribution layer (rdl) interconnect bridge using a reconstituted wafer
CN110867430A (en) * 2018-08-28 2020-03-06 财团法人工业技术研究院 Heterogeneous integrated assembly structure and manufacturing method thereof
WO2020123793A1 (en) 2018-12-14 2020-06-18 General Electric Company Methods of fabricating high voltage semiconductor devices having improved electric field suppression
US11538769B2 (en) 2018-12-14 2022-12-27 General Electric Company High voltage semiconductor devices having improved electric field suppression
TWI816540B (en) * 2022-09-06 2023-09-21 立錡科技股份有限公司 Package structure

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110767604B (en) * 2019-10-31 2022-03-18 厦门市三安集成电路有限公司 Compound semiconductor device and back copper processing method of compound semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080020358A1 (en) * 2006-07-08 2008-01-24 Karen Keith Favored position globe
US20090160071A1 (en) * 2007-12-20 2009-06-25 Geng-Shin Shen Die rearrangement package structure using layout process to form a compliant configuration
US20090208868A1 (en) * 2005-07-14 2009-08-20 Mitsui Chemicals , Inc. Positive photosensitive resin composition and method for forming pattern
US20140070403A1 (en) * 2012-09-12 2014-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Methods and Packaged Devices

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004349361A (en) * 2003-05-21 2004-12-09 Casio Comput Co Ltd Semiconductor device and its manufacturing method
US7489032B2 (en) * 2003-12-25 2009-02-10 Casio Computer Co., Ltd. Semiconductor device including a hard sheet to reduce warping of a base plate and method of fabricating the same
US8624359B2 (en) * 2011-10-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level chip scale package and method of manufacturing the same
US8846453B1 (en) * 2013-03-12 2014-09-30 Inpaq Technology Co., Ltd. Semiconductor package structure and method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090208868A1 (en) * 2005-07-14 2009-08-20 Mitsui Chemicals , Inc. Positive photosensitive resin composition and method for forming pattern
US20080020358A1 (en) * 2006-07-08 2008-01-24 Karen Keith Favored position globe
US20090160071A1 (en) * 2007-12-20 2009-06-25 Geng-Shin Shen Die rearrangement package structure using layout process to form a compliant configuration
US20140070403A1 (en) * 2012-09-12 2014-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Methods and Packaged Devices

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170365565A1 (en) * 2016-06-15 2017-12-21 Broadcom Corporation High density redistribution layer (rdl) interconnect bridge using a reconstituted wafer
US10276403B2 (en) * 2016-06-15 2019-04-30 Avago Technologies International Sales Pe. Limited High density redistribution layer (RDL) interconnect bridge using a reconstituted wafer
CN110867430A (en) * 2018-08-28 2020-03-06 财团法人工业技术研究院 Heterogeneous integrated assembly structure and manufacturing method thereof
US11004816B2 (en) * 2018-08-28 2021-05-11 Industrial Technology Research Institute Hetero-integrated structure
WO2020123793A1 (en) 2018-12-14 2020-06-18 General Electric Company Methods of fabricating high voltage semiconductor devices having improved electric field suppression
EP3895207A4 (en) * 2018-12-14 2022-10-12 General Electric Company Methods of fabricating high voltage semiconductor devices having improved electric field suppression
US11538769B2 (en) 2018-12-14 2022-12-27 General Electric Company High voltage semiconductor devices having improved electric field suppression
TWI816540B (en) * 2022-09-06 2023-09-21 立錡科技股份有限公司 Package structure

Also Published As

Publication number Publication date
US20170012010A1 (en) 2017-01-12
US11152320B2 (en) 2021-10-19
US20170011961A1 (en) 2017-01-12

Similar Documents

Publication Publication Date Title
US11152320B2 (en) Semiconductor package structure and method of the same
US11705411B2 (en) Chip package with antenna element
US10090253B2 (en) Semiconductor package
US9478474B2 (en) Methods and apparatus for forming package-on-packages
US9224709B2 (en) Semiconductor device including an embedded surface mount device and method of forming the same
US9831219B2 (en) Manufacturing method of package structure
US6864172B2 (en) Manufacturing method of semiconductor device
TW201834084A (en) Semiconductor device and method of forming an integrated sip module with embedded inductor or package
US8841759B2 (en) Semiconductor package and manufacturing method thereof
JP2009016786A (en) Ultrathin semiconductor package and its manufacturing method
US8367473B2 (en) Substrate having single patterned metal layer exposing patterned dielectric layer, chip package structure including the substrate, and manufacturing methods thereof
US9485868B2 (en) Package structure
US11437310B2 (en) Connection structure and method of forming the same
KR20080079074A (en) Semiconductor package and fabrication method thereof
CN112151492A (en) Lithographically defined vertical interconnect VIAs (VIA) for bridging die First Level Interconnects (FLI)
US20220005786A1 (en) Method for fabricating electronic package
US20230260911A1 (en) Electronic device and manufacturing method thereof
KR102041373B1 (en) Semiconductor device and method for manufacturing the same
US9230895B2 (en) Package substrate and fabrication method thereof
TWI591784B (en) Semiconductor package structure and semiconductor packaging method
US20170317031A1 (en) Fabrication Method OF A Package Substrate
US11127705B2 (en) Semiconductor structure and manufacturing method thereof
US20210028102A1 (en) Semiconductor packages
CN116798993A (en) Package structure and method for forming the same
KR20230033996A (en) Semiconductor package

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION