US20160358774A1 - Silicon germanium-on-insulator formation by thermal mixing - Google Patents

Silicon germanium-on-insulator formation by thermal mixing Download PDF

Info

Publication number
US20160358774A1
US20160358774A1 US15/237,235 US201615237235A US2016358774A1 US 20160358774 A1 US20160358774 A1 US 20160358774A1 US 201615237235 A US201615237235 A US 201615237235A US 2016358774 A1 US2016358774 A1 US 2016358774A1
Authority
US
United States
Prior art keywords
layer
silicon
germanium
present application
insulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/237,235
Inventor
Stephen W. Bedell
Joel P. de Souza
Jeehwan Kim
Devendra K. Sadana
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/237,235 priority Critical patent/US20160358774A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BEDELL, STEPHEN W., DE SOUZA, JOEL P., KIM, JEEHWAN, SADANA, DEVENDRA K.
Publication of US20160358774A1 publication Critical patent/US20160358774A1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1604Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • the present application relates to semiconductor manufacturing, and more particularly to methods of fabricating a silicon germanium (hereinafter “SiGe”)-on-insulator (hereinafter “SGOI”) material in which thermal mixing of either a layer of silicon formed on a germanium-on-insulator (hereinafter “GeOI”), or a layer of germanium formed on a silicon-on-insulator (hereinafter “SOI”) is employed.
  • SiGe silicon germanium
  • SiOI germanium-on-insulator
  • SOI silicon-on-insulator
  • Crystalline Si 1-x Ge x is attractive for both bipolar and metal oxide semiconductor field effect transistor (hereinafter “MOSFET”) applications.
  • MOSFET metal oxide semiconductor field effect transistor
  • High performance p-channel MOSFETs consisting of a SiGe channel are in use in 22 nm complementary metal oxide semiconductor (hereinafter “CMOS”) devices.
  • CMOS complementary metal oxide semiconductor
  • Ge germanium
  • Si 1-x Ge x epitaxially grown on a single crystal Si substrate is metastable and relaxes by generating misfit dislocations and stacking faults when its thickness exceeds a certain value, known as the critical thickness. This thickness decreases exponentially as x increases in Si 1-x Ge x .
  • a layer of amorphous silicon is formed on a germanium-on-insulator substrate, or a layer of germanium is formed on a silicon-on-insulator substrate.
  • An anneal is then performed which causes thermal mixing of silicon and germanium atoms within one of the aforementioned structures and subsequent formation of a silicon germanium-on-insulator material.
  • a method of forming the SGOI material can include providing a structure comprising, from bottom to top, a germanium-on-insulator substrate and an amorphous silicon layer. The structure is then converted into a silicon germanium-on-insulator material by annealing, wherein during the annealing silicon atoms from the amorphous silicon layer intermix with germanium atoms in a germanium layer of the germanium-on-insulator substrate to form a silicon germanium layer.
  • a method of forming the SGOI material may include providing a structure comprising, from bottom to top, a silicon-on-insulator substrate and a germanium layer. The structure is then converted into a silicon germanium-on-insulator material by annealing, wherein during the annealing silicon atoms from a silicon layer of the silicon-on-insulator substrate intermix with germanium atoms in the germanium layer to form a silicon germanium layer.
  • a method of forming the semiconductor structure includes forming a gate structure on an active silicon germanium region of a silicon germanium-on-insulator material. Next, an amorphous silicon layer is formed on exposed surfaces of the active silicon germanium region and surrounding the gate structure. Embedded SiGe source/drain regions are then formed in the active SiGe region and at a footprint of the gate structure utilizing a thermal mixing process in which silicon atoms from the amorphous silicon layer intermix with germanium atoms in the SiGe active region to form the embedded SiGe source/drain regions.
  • another method of forming a semiconductor structure includes forming an amorphous silicon layer portion on a surface of an active silicon germanium region of a silicon germanium-on-insulator material. A gate structure is then formed on a surface of the amorphous silicon. Next, an embedded SiGe channel region is formed in the active SiGe region and directly beneath the gate structure utilizing a thermal mixing process in which silicon atoms from the amorphous silicon layer portion intermix with germanium atoms in the SiGe active region to form the embedded SiGe channel region.
  • FIG. 1 is a cross sectional view of an exemplary semiconductor structure including a GeOI substrate that can be employed in accordance with an embodiment of the present application.
  • FIG. 2 is a cross sectional view of the exemplary semiconductor structure of FIG. 1 after forming an amorphous Si layer on a topmost surface of the GeOI substrate in accordance with an embodiment of the present application.
  • FIG. 3 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 4 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after forming a dielectric material on the amorphous Si layer in accordance with an embodiment of the present application.
  • FIG. 5 is a cross sectional view of the exemplary semiconductor structure of FIG. 4 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 6 is a cross sectional view of the exemplary semiconductor structure of FIG. 5 after removing the dielectric material from the structure in accordance with an embodiment of the present application.
  • FIG. 7 is a cross sectional view of an exemplary semiconductor structure including an SOI substrate that can be employed in accordance with an embodiment of the present application.
  • FIG. 8 is a cross sectional view of the exemplary semiconductor structure of FIG. 7 after forming a germanium (Ge) layer on a topmost surface of the SOI substrate in accordance with an embodiment of the present application.
  • Ge germanium
  • FIG. 9 is a cross sectional view of the exemplary semiconductor structure of FIG. 8 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 10 is a cross sectional view of the exemplary semiconductor structure of FIG. 8 after forming a dielectric material on the Ge layer in accordance with an embodiment of the present application.
  • FIG. 11 is a cross sectional view of the exemplary semiconductor structure of FIG. 10 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 12 is a cross sectional view of the exemplary semiconductor structure of FIG. 11 after removing the dielectric material from the structure in accordance with an embodiment of the present application.
  • FIG. 13 is a cross sectional view of the exemplary semiconductor structure of FIG. 8 after forming an amorphous region at an interface between the Ge layer and a silicon layer of the SOI substrate in accordance with an embodiment of the present application.
  • FIG. 14 is a cross sectional view of the exemplary semiconductor structure of FIG. 13 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 15 is a cross sectional view of the exemplary semiconductor structure of FIG. 13 after forming a dielectric material on the Ge layer in accordance with an embodiment of the present application.
  • FIG. 16 is a cross sectional view of the exemplary semiconductor structure of FIG. 15 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 17 is a cross sectional view of the exemplary semiconductor structure of FIG. 16 after removing the dielectric material from the structure in accordance with an embodiment of the present application.
  • FIG. 18 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after forming dielectric structures within the amorphous Si layer and the Ge layer of the GeOI substrate in accordance with an embodiment of the present application.
  • FIG. 19 is a cross sectional view of the exemplary semiconductor structure of FIG. 18 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 20 is a cross sectional view of an exemplary semiconductor structure including a gate structure located on an active silicon germanium (SiGe) region of an SGOI substrate in accordance with an embodiment of the present application.
  • SiGe active silicon germanium
  • FIG. 21 is a cross sectional view of the exemplary semiconductor structure of FIG. 20 after formation of a gate dielectric spacer.
  • FIG. 22 is a cross sectional view of the exemplary semiconductor structure of FIG. 21 after forming an amorphous Si layer.
  • FIG. 23 is a cross sectional view of the exemplary semiconductor structure of FIG. 22 after forming embedded SiGe source/drain regions in the active SiGe region and at a footprint of the gate structure utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 24 is a cross sectional view of an exemplary semiconductor structure including an amorphous Si layer portion on an active SiGe region of an SGOI substrate in accordance with an embodiment of the present application.
  • FIG. 25 is a cross sectional view of the exemplary semiconductor structure of FIG. 24 after formation of a gate structure on the amorphous Si layer portion and formation of a gate dielectric spacer.
  • FIG. 26 is a cross sectional view of the exemplary semiconductor structure of FIG. 25 after forming an embedded SiGe channel region in the active SiGe region and directly beneath the gate structure utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • the GeOI substrate 10 that can be employed in the present application includes, from bottom to top, a handle substrate 12 , an insulator layer 14 , and a germanium layer 16 .
  • the handle substrate 12 provides mechanical support for the insulator layer 14 and the germanium layer 16 .
  • the handle substrate 12 of the GeOI substrate 10 may comprise any semiconductor material.
  • semiconductor as used throughout the present application denotes a material that has an electrical conductivity value between a conductor, such as copper, and an insulator such as, silicon dioxide.
  • the semiconductor material that may provide the handle substrate 12 of the GeOI substrate 10 includes, for example, doped and undoped Si, doped and undoped Ge or doped and undoped SiGe. Multilayers of these semiconductor materials can also be used as the semiconductor material that provides handle substrate 12 .
  • the handle substrate 12 and the germanium layer 16 are both comprised of germanium.
  • the handle substrate 12 is a non-semiconductor material such as, for example, a dielectric material and/or a conductor. In yet other embodiments, the handle substrate 12 may be omitted.
  • the handle substrate 12 and the germanium layer 16 may have a same crystal orientation. In other embodiments, the handle substrate 12 and the germanium layer 16 may have different crystal orientations.
  • the crystal orientation of the handle substrate 12 and/or the germanium layer 16 may be ⁇ 100 ⁇ , ⁇ 110 ⁇ , or ⁇ 111 ⁇ . Other crystallographic orientations besides those specifically mentioned can also be used in the present application.
  • the handle substrate 12 and/or the germanium layer 16 of the GeOI substrate 10 may be a single crystalline semiconductor material, a polycrystalline material, or an amorphous material. Typically, at least the germanium layer 16 is a single crystalline semiconductor material.
  • the insulator layer 14 of the GeOI substrate 10 may be a crystalline or non-crystalline oxide or nitride. In one embodiment, the insulator layer 14 is an oxide such as, for example, silicon dioxide.
  • the germanium layer 16 of the GeOI substrate 10 consists of elemental, i.e., non-alloyed, germanium.
  • the GeOI substrate 10 may be formed utilizing standard processes including for example, layer transfer. Typically, a layer transfer process is employed in providing the GeOI substrate 10 . In such instances, a first semiconductor wafer including at least a layer of germanium is bonded to a second semiconductor wafer that includes the insulator layer 14 and the handle substrate 12 . In some embodiments, a bulk germanium substrate may be employed as the first wafer. In other embodiments, the first wafer includes a germanium layer formed by a deposition process such, as for example, epitaxial growth, on a surface of a sacrificial semiconductor substrate.
  • the sacrificial substrate may include silicon, and a graded SiGe intermediate layer can be formed between the sacrificial substrate and the overlying germanium layer 16 .
  • Various material removal processes can be used to remove the sacrificial substrate and the graded SiGe intermediate layer after bonding.
  • an optional thinning step may follow the bonding of the first and second wafers together. The optional thinning step reduces the thickness of the germanium layer 16 to a layer having a thickness that is more desirable.
  • the thickness of the germanium layer 16 of the GeOI substrate 10 can be from 10 nm to 200 nm. In another example, the thickness of the germanium layer 16 of the GeOI substrate 10 can be from 50 nm to 70 nm. Other thicknesses that are lesser than or greater than the aforementioned thicknesses ranges may also be employed as the thickness of the germanium layer 16 . For example, and when an ETGeOI (extremely thin germanium-on-insulator) substrate is employed, the germanium layer 16 can have a thickness of less than 10 nm.
  • a thinning step such as, for example, planarization or etching can be used to reduce the thickness of the germanium layer 16 to a value within a desired thickness range.
  • the insulator layer 14 of the GeOI substrate 10 typically has a thickness from 1 nm to 200 nm, with a thickness from 100 nm to 150 nm being more typical. Other thicknesses that are lesser than or greater than the aforementioned thickness ranges can also be employed as the thickness of the insulator layer 14 .
  • the thickness of the handle substrate 12 of the GeOI substrate 10 is inconsequential to the present application.
  • FIG. 2 there is illustrated the exemplary semiconductor structure of FIG. 1 after forming an amorphous Si layer 18 on a topmost surface of the GeOI substrate 10 in accordance with an embodiment of the present application.
  • the amorphous Si layer 18 is a contiguous layer that spans the entirety of the topmost surface of the GeOI substrate 10 .
  • the amorphous Si layer 18 is formed on a surface of the germanium layer 16 of the GeOI substrate 10 .
  • the term “amorphous Si” is used throughout the present application to denote a non-crystalline allotropic form of silicon.
  • the amorphous Si layer 18 can be formed utilizing a deposition process including, for example, physical vapor deposition (PVD; also referred to as sputter deposition), chemical vapor deposition (CVD), rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), or inductively coupled plasma chemical vapor deposition (ICP CVD).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • RTCVD rapid thermal chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ICP CVD inductively coupled plasma chemical vapor deposition
  • hydrogen may be introduced during the deposition process forming a hydrogenated amorphous Si layer.
  • the amorphous Si layer 18 can have a thickness from 10 nm to 200 nm. Other thickness that are lesser than or greater than the aforementioned thickness range can also be employed as the thickness of the amorphous Si layer 18 .
  • FIG. 3 there is illustrated the exemplary semiconductor structure of FIG. 2 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the amorphous Si layer 18 and the underlying germanium layer 16 are converted into a silicon germanium layer 20 .
  • silicon atoms from the amorphous silicon layer 18 diffuse and intermix with germanium atoms in the germanium layer 16 of the germanium-on-insulator substrate GeOI to form silicon germanium layer 20 .
  • a silicon germanium-on-insulator (i.e., SGOI) material 22 including, from bottom to top, the handle substrate 12 , the insulator layer 14 and the silicon germanium layer 20 .
  • the amorphous Si layer 18 and the germanium layer 16 are completely consumed during the thermal mixing process.
  • a portion of the amorphous Si layer 18 may remain and can be removed by utilizing a chemical removal process.
  • thermal mixing is performed in this embodiment of the present application by annealing in an inert ambient.
  • inert ambients that can be used during the anneal include helium (He), argon (Ar), neon (Ne), nitrogen (N 2 ) or any mixtures thereof.
  • the anneal is performed in N 2 .
  • a single anneal may be performed or multiple anneals (in the same or different inert ambient) may be performed.
  • the anneal may be performed at a temperature from 600° C. to 900° C.
  • the anneal may be performed at a constant rate.
  • the anneal may be performed a different, variable rates.
  • the anneal may be performed utilizing a series of ramp up cycles and soak cycles, as desired.
  • the anneal may be performed in a same reactor chamber (i.e., in-situ) as used to deposit the amorphous Si layer 18 . In other embodiments, the anneal may be performed in a different reactor chamber (i.e., ex-situ) from that used to deposit the amorphous Si layer 18 .
  • the resultant silicon germanium layer 20 that forms may have a germanium content from 5 atomic percent germanium to 90 atomic percent germanium. In one embodiment of the present application, the silicon germanium layer 20 that forms may have a germanium content of greater than 50 atomic percent germanium to 90 atomic percent germanium.
  • the germanium content within the silicon germanium layer 20 can be controlled in the present application by the thickness of the amorphous Si layer 18 , and/or the thickness of the germanium layer 16 and/or the conditions of the anneal used to perform the thermal mixing. For example, the thicker the amorphous Si layer 18 and/or the thinner the germanium layer 16 , the lower the germanium content is within the silicon germanium layer 20 that is formed.
  • the amorphous Si layer 18 also aides in preventing oxidation of the germanium layer 16 during the anneal.
  • X-ray, Raman, SIMS, and TEM were used to verify Si diffusion, and conversion of the GeOI substrate 10 into the SGOI substrate 22 .
  • the dielectric material 24 is a contiguous dielectric that spans the entirety of the amorphous Si layer 18 .
  • the dielectric material 24 includes any insulator material including, for example, silicon dioxide, silicon nitride and/or silicon oxynitride.
  • insulators that can be employed as the dielectric material 24 include for example, a dielectric metal oxide such as, for example, HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , SiON, SiN x , a silicate thereof, and an alloy thereof.
  • a dielectric metal oxide such as, for example, HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO x N y , Y 2 O x N y
  • Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2.
  • a multilayered dielectric structure comprising different dielectric materials, e.g., silicon dioxide, and a dielectric metal oxide, can be formed and used as the dielectric material 24 .
  • the dielectric material 24 can be formed by a deposition technique such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition.
  • the dielectric material 24 can be formed by a thermal growth technique such as, for example, thermal oxidation and/or thermal nitridation.
  • a combination of a deposition and thermal growth may be used in forming a multilayered dielectric material 24 structure.
  • the dielectric material 24 can have a thickness in a range from 10 nm to 100 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the thickness of the dielectric material 24 .
  • FIG. 5 there is illustrated the exemplary semiconductor structure of FIG. 4 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the thermal mixing that is employed in this embodiment of the present application is an anneal as described in the previous embodiment of the present application; the anneal ambient and conditions described above are applicable here for this embodiment of the present application as well.
  • the thermal mixing converts the GeOI substrate 10 into a silicon germanium-on-insulator (i.e., SGOI) material 22 including, from bottom to top, the handle substrate 12 , the insulator layer 14 and the silicon germanium layer 20 .
  • SGOI silicon germanium-on-insulator
  • the amorphous Si layer 18 and the germanium layer 16 are completely consumed during the thermal mixing process.
  • the dielectric material 24 remains and is present atop the silicon germanium layer 20 of the SGOI material 22 .
  • a portion of the amorphous Si layer 18 can remain between the dielectric material 24 and the now formed silicon germanium layer 20 .
  • FIG. 6 there is illustrated the exemplary semiconductor structure of FIG. 5 after removing the dielectric material 24 from the structure (i.e., the SGOI material 22 ) in accordance with an embodiment of the present application.
  • the entirety of the dielectric material 24 may remain atop the SGOI material 22 .
  • portions of the dielectric material 24 may be removed to provide either a dielectric material having a reduced thickness as compared to the originally deposited dielectric material 24 , or patterned dielectric material portions.
  • the dielectric material 24 can be entirely or partially removed utilizing chemical mechanical planarization, grinding and/or chemical etching. In cases in which patterned dielectric material portions are formed, lithography and etching can be used to pattern the dielectric material 24 .
  • the SOI substrate 30 that can be employed in the present application includes, from bottom to top, a handle substrate 32 , an insulator layer 34 , and a silicon layer 36 .
  • the handle substrate 32 provides mechanical support for the insulator layer 34 and the silicon layer 36 .
  • the handle substrate 32 of the SOI substrate 30 may comprise one of the semiconductor materials mentioned above for handle substrate 12 .
  • the handle substrate 32 and the silicon layer 36 are both comprised of silicon.
  • the handle substrate 32 is a non-semiconductor material such as, for example, a dielectric material and/or a conductor. In yet other embodiments, the handle substrate 32 may be omitted.
  • the handle substrate 32 and the silicon layer 36 may have a same crystal orientation. In other embodiments, the handle substrate 32 and the silicon layer 36 may have different crystal orientations.
  • the crystal orientation of the handle substrate 32 and/or the silicon layer 36 may be ⁇ 100 ⁇ , ⁇ 110 ⁇ , or ⁇ 111 ⁇ . Other crystallographic orientations besides those specifically mentioned can also be used in the present application.
  • the handle substrate 32 and/or the silicon layer 36 of the SOI substrate 30 may be a single crystalline semiconductor material, a polycrystalline material, or an amorphous material. Typically, at least the silicon layer 36 is a single crystalline semiconductor material.
  • the insulator layer 34 of the SOI substrate 30 may be a crystalline or non-crystalline oxide or nitride. In one embodiment, the insulator layer 34 is an oxide such as, for example, silicon dioxide.
  • the silicon layer 36 of the SOI substrate 30 consists of elemental, i.e., non-alloyed, silicon.
  • the SOI substrate 30 may be formed utilizing standard processes including for example, layer transfer. Typically, a layer transfer process is employed in providing the SOI substrate 30 . In such instances, a first semiconductor wafer including at least a layer of silicon is bonded to a second semiconductor wafer that includes the insulator layer 34 and the handle substrate 32 . In some embodiments, a bulk silicon substrate may be employed as the first wafer. In other embodiments, the first wafer includes a silicon layer formed by a deposition process such, as for example, epitaxial growth, on a surface of a sacrificial semiconductor substrate.
  • the sacrificial substrate may include silicon, and a graded SiGe intermediate layer can be formed between the sacrificial substrate and the overlying silicon layer 36 .
  • the sacrificial substrate and the graded SiGe intermediate layer can be removed after bonding.
  • an optional thinning step may follow the bonding of the first and second wafers together. The optional thinning step reduces the thickness of the silicon layer 36 to a layer having a thickness that is more desirable.
  • the thickness of the silicon layer 36 of the SOI substrate 30 can be from 10 nm to 100 nm. In another example, the thickness of the silicon layer 36 of the SOI substrate 30 can be from 50 nm to 70 nm. Other thicknesses that are lesser than or greater than the aforementioned thicknesses ranges may also be employed in the present application. For example, and when an ETSOI (extremely thin silicon-on-insulator) substrate is employed, the silicon layer 36 can have a thickness of less than 10 nm. If the thickness of the silicon layer 36 layer is not within a desired range, a thinning step such as, for example, planarization or etching can be used to reduce the thickness of the silicon layer 36 to a value within a desired thickness range.
  • ETSOI extreme thin silicon-on-insulator
  • the insulator layer 34 of the SOI substrate 30 typically has a thickness from 1 nm to 200 nm, with a thickness from 100 nm to 150 nm being more typical. Other thicknesses that are lesser than or greater than the aforementioned thickness ranges can also be employed as the thickness of the insulator layer 34 .
  • the thickness of the handle substrate 32 of the SOI substrate 30 is inconsequential to the present application.
  • FIG. 8 there is illustrated the exemplary semiconductor structure of FIG. 7 after forming a germanium (Ge) layer 38 on a topmost surface of the SOI substrate 30 in accordance with an embodiment of the present application.
  • the Ge layer 38 is a contiguous layer that spans the entirety of the topmost surface of the SOI substrate 30 .
  • the Ge layer 38 is formed on a surface of the silicon layer 36 of the SOI substrate 30 .
  • the Ge layer 38 can be formed utilizing a deposition process including, for example, epitaxial growth.
  • epitaxial growth and/or deposition and “epitaxially formed and/or grown” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface.
  • the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed.
  • an epitaxial semiconductor material deposited on a ⁇ 100 ⁇ crystal surface will take on a ⁇ 100 ⁇ orientation.
  • the Ge layer 38 has an epitaxial relationship, i.e., same crystal orientation, as that of the surface of the Si layer 36 .
  • Examples of various epitaxial growth process that are suitable for use in forming the Ge layer 38 of the present application include, e.g., rapid pressure chemical vapor deposition (RPCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) or molecular beam epitaxy (MBE).
  • RPCVD rapid pressure chemical vapor deposition
  • LEPD low-energy plasma deposition
  • UHVCVD ultra-high vacuum chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • MBE molecular beam epitaxy
  • the temperature for epitaxial deposition process for forming the Ge layer 38 typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • germanium source gases which are well known to those skilled in the art may be used for the deposition of the Ge layer 38 .
  • Carrier gases like hydrogen, nitrogen, helium and argon can be used during the epitaxial growth process.
  • hydrogen may be introduced during the deposition process forming a hydrogenated Ge layer.
  • the Ge layer 38 can have a thickness from 10 nm to 200 nm. Other thickness that are lesser than or greater than the aforementioned thickness range can also be employed as the thickness of the Ge layer 38 .
  • FIG. 9 there is illustrated the exemplary semiconductor structure of FIG. 8 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the Ge layer 38 and the underlying Si layer 36 are converted into a silicon germanium layer 40 ; intermixing occurs at the interface between the Ge layer 38 and the Si layer 38 .
  • silicon atoms from the Si layer 36 diffuse and intermix with germanium atoms in the Ge layer 38 to form silicon germanium layer 40 .
  • a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32 , the insulator layer 34 and the silicon germanium layer 42 , is formed.
  • SGOI silicon germanium-on-insulator
  • the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process.
  • a portion of the Ge layer 38 can remain atop the now formed silicon germanium layer 42 .
  • any remaining Ge layer 38 can be removed utilizing chemical mechanical planarization, grinding and/or chemical (wet or dry) etching.
  • the remaining Ge layer 38 can be left atop the now formed silicon germanium layer 42 .
  • thermal mixing is performed in this embodiment of the present application by annealing in hydrogen (H 2 ).
  • the hydrogen may be admixed with an inert ambient such as, for example, helium (He), argon (Ar), neon (Ne), nitrogen (N 2 ) or any mixtures thereof; in such an embodiment the hydrogen is present in a concentration up to 10 vol. %, the remainder up to 100 vol. % being one of the inert ambients mentioned above.
  • the anneal is performed in H 2 only. A single anneal may be performed or multiple anneals (in the same or different H 2 ambient) may be performed.
  • the anneal may be performed at a temperature from 600° C. to 900° C. In some embodiments, the anneal may be performed at a constant rate. In other embodiments, the anneal may be performed a different, variable rates. In yet other embodiments, the anneal may be performed utilizing a series of ramp up cycles and soak cycles, as desired.
  • the anneal may be performed in a same reactor chamber (i.e., in-situ) as used to deposit the Ge layer 38 . In other embodiments, the anneal may be performed in a different reactor chamber (i.e., ex-situ) from that used to deposit the Ge layer 38 .
  • the resultant silicon germanium layer 40 that forms may have a germanium content from 5 atomic percent germanium to 90 atomic percent germanium. In one embodiment of the present application, the silicon germanium layer 40 that forms may have a germanium content of greater than 50 atomic percent germanium to 90 atomic percent germanium.
  • the germanium content within the silicon germanium layer 40 can be controlled in the present application by the thickness of the Si layer 36 , and/or the thickness of the Ge layer 38 and/or the conditions of the anneal used to perform the thermal mixing. For example, the thicker the Si layer 36 and/or the thinner the Ge layer 38 , the lower the germanium content is within the silicon germanium layer 40 that is formed.
  • X-ray, Raman, SIMS, and TEM were used to verify Si diffusion, and conversion of the SOI substrate 30 into the SGOI substrate 42 .
  • the dielectric material 44 that is employed in this embodiment of the present application may include one of the insulators mentioned above for dielectric material 24 . Also, the dielectric material 44 may be formed by, and have a thickness within a range, as mentioned above for dielectric material 24 .
  • FIG. 11 there is illustrated the exemplary semiconductor structure of FIG. 10 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the thermal mixing process used in this embodiment of the present application is the same as that described above in providing the structure shown in FIG. 9 ; the anneal ambient and conditions described above in regard to providing the structure shown in FIG. 9 are applicable here for this embodiment of the present application as well.
  • the thermal mixing converts the SOI substrate 30 and the Ge layer 38 into a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32 , the insulator layer 34 and the silicon germanium layer 40 .
  • SGOI silicon germanium-on-insulator
  • the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process.
  • a remaining portion of the Ge layer 38 may be located between the dielectric material 44 and the silicon germanium layer 40 .
  • the dielectric material 44 remains and is present atop the silicon germanium layer 40 of the SGOI material 42 .
  • FIG. 12 there is illustrated the exemplary semiconductor structure of FIG. 11 after removing the dielectric material 44 from the structure in accordance with an embodiment of the present application.
  • the dielectric material 44 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching.
  • the remaining portion of Ge layer 38 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching.
  • FIG. 13 there is illustrated the exemplary semiconductor structure of FIG. 8 after forming an amorphous region 46 at an interface between the Ge layer 38 and the SOI substrate 30 (i.e., the Si layer 36 ) in accordance with an embodiment of the present application.
  • the amorphous region 46 is continuously present between the Ge layer 38 and the Si layer 36 .
  • the amorphous region 46 is a region that lacks any well defined crystal structure. Moreover, the amorphous region 46 is a region in which crystal damage has been introduced into the structure by utilizing one or more amorphization ion implantation processes.
  • the one or more amorphization ion implantation processes includes ion implanting an amorphizing ion into the structure.
  • the amorphizing ion that can be employed in the present application in providing the amorphous region 46 includes any ion such as Si or Ge.
  • the one or more amorphization ion implantation processes may be performed at room temperature (i.e., from 20° C. to 40° C.), or temperatures below room temperature may be employed.
  • the conditions for the one or more amorphization ion implantation process are selected to form the amorphous region 44 at the interface between the Si layer 36 and the Ge layer 38 .
  • FIG. 14 there is illustrated the exemplary semiconductor structure of FIG. 13 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the thermal mixing process used in this embodiment of the present application is the same as that described above in providing the structure shown in FIG. 9 ; the anneal ambient and conditions described above in regard to providing the structure shown in FIG. 9 are applicable here for this embodiment of the present application as well.
  • the thermal mixing converts the SOI substrate 30 and the Ge layer 38 into a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32 , the insulator layer 34 and a silicon germanium layer 40 .
  • a silicon germanium-on-insulator i.e., SGOI
  • the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process.
  • a remaining portion of the Ge layer 38 may be located atop the silicon germanium layer 40 .
  • the amorphous region 46 is recrystallized.
  • the dielectric material 44 that is employed in this embodiment of the present application may include one of the insulators mentioned above for dielectric material 24 . Also, the dielectric material 44 may be formed by, and have a thickness within a range, as mentioned above for dielectric material 24 .
  • FIG. 16 there is illustrated the exemplary semiconductor structure of FIG. 16 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the thermal mixing process used in this embodiment of the present application is the same as that described above in providing the structure shown in FIG. 9 ; the anneal ambient and conditions described above in regard to providing the structure shown in FIG. 9 are applicable here for this embodiment of the present application as well.
  • the thermal mixing converts the SOI substrate 30 and the Ge layer 38 into a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32 , the insulator layer 34 and the silicon germanium layer 40 .
  • SGOI silicon germanium-on-insulator
  • the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process.
  • a remaining portion of the Ge layer 38 may be located between the dielectric material 44 and the silicon germanium layer 40 .
  • the dielectric material 44 remains and is present atop the silicon germanium layer 40 of the SGOI material 42 .
  • the amorphous region 46 is recrystallized.
  • FIG. 17 there is illustrated the exemplary semiconductor structure of FIG. 16 after removing the dielectric material 44 from the structure in accordance with an embodiment of the present application.
  • the dielectric material 44 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching.
  • the remaining portion of Ge layer 38 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching.
  • FIG. 18 there is illustrated the exemplary semiconductor structure of FIG. 1 after forming dielectric structures 50 within the amorphous Si layer 18 and the Ge layer 16 of the GeOI substrate 10 in accordance with an embodiment of the present application.
  • the remaining portions of the amorphous Si layer 18 are labeled as 18 P and can be referred to hereinafter as amorphous Si portions 18 P.
  • the remaining portions of the Ge layer 16 are labeled as 16 P and can be referred to hereinafter as germanium portions 16 P.
  • the number of dielectric structures 50 that can be formed is not limited to two as shown in FIG. 18 . Instead, a single dielectric structure 50 can be formed, or greater than two dielectric structures 50 can be formed.
  • Each dielectric structure 50 that is formed has a bottommost surface in contact with a topmost surface of the insulator layer 14 .
  • Each dielectric structure 50 that is formed has sidewall surfaces that contact sidewall surfaces of an abutting germanium portion 16 P and an abutting amorphous Si portion 18 P.
  • Each dielectric structure 50 can have a topmost surface that is coplanar with a topmost surface of the amorphous Si portions 18 P. In some embodiments, the topmost surface of each dielectric structure 50 may extend above or below the topmost surface of the amorphous Si portions 18 P.
  • Each dielectric structure 50 can be formed by first forming a trench through the amorphous Si layer 18 and through the Ge layer 16 , stopping on a topmost surface of the insulator layer 14 .
  • the trench can be formed by lithography and anisotropic etching. A single etch or multiple etching steps may be used in providing the trench.
  • the trench is filled with a trench dielectric material such as, for example, silicon dioxide.
  • a planarization process may follow the trench dielectric fill.
  • FIG. 19 there is illustrated the exemplary semiconductor structure of FIG. 18 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • the amorphous Si portions 18 P and the underlying germanium portions 16 P are converted into a silicon germanium portions 20 P.
  • silicon atoms from the amorphous silicon portions 18 P diffuse and intermix with germanium atoms in the germanium portions 16 P of the germanium-on-insulator substrate GeOI to form silicon germanium portions 20 P.
  • a silicon germanium-on-insulator (i.e., SGOI) material 22 including, from bottom to top, the handle substrate 12 , the insulator layer 14 and silicon germanium portions 20 P, is formed.
  • the amorphous Si portions 18 P and the germanium portions 16 P are completely consumed during the thermal mixing process.
  • an upper portion of the amorphous Si portion 18 P can remain and be removed during a subsequently performed material removal process.
  • each silicon germanium portion 20 P is isolated from its nearest neighboring silicon germanium portion 20 P by a dielectric structure 50 .
  • thermal mixing is performed in this embodiment of the present application by annealing utilizing the conditions and ambient mentioned above in providing the structure shown in FIG. 3 of the present application.
  • Each silicon germanium portion 20 P that is formed is similar to the silicon germanium layer 20 formed in FIG. 3 .
  • a planarization process can be performed to provide the planar structure shown in FIG. 19 .
  • the topmost surface of each dielectric structure 50 may extend above the topmost surface of each silicon germanium portion 20 P.
  • dielectric material can be removed after the thermal mixing is performed as also described hereinabove.
  • the SGOI substrate 60 also includes a handle substrate 62 and an insulator layer 64 .
  • Handle substrate 62 includes one of the materials mentioned above for handle substrate 12 .
  • Insulator layer 64 includes one of the insulator materials mentioned above for insulator layer 14 .
  • active SiGe region 66 includes a patterned silicon germanium layer.
  • the active SiGe region 66 may comprise a non-patterned silicon germanium layer.
  • the silicon germanium layer that provides the active silicon germanium region 66 can be formed utilizing any of the various embodiments of the present application including the one described in FIGS. 18 and 19 of the present application.
  • Element 68 represents dielectric structures.
  • the dielectric structures 68 are formed prior to formation of the silicon germanium layer that provides the active silicon region 66 (as shown, for example, in FIGS. 18 and 19 above).
  • the silicon germanium layer that provides the active silicon germanium region 66 is formed first, and then the dielectric structures are formed by forming a trench (by lithography and etching) and filling the trench with a trench dielectric material.
  • the active silicon germanium region 66 can be processed into a fin structure or a nanowire structure utilizing processes techniques well known to those skilled in the art. When a fin structure or nanowire structure is formed, the subsequently formed gate structure would straddle the fin structure or the nanowire structure.
  • the gate structure 70 can be a functional gate structure.
  • functional gate structure it is meant a permanent gate structure used to control output current (i.e., flow of carriers in the channel of a semiconductor device through electrical or magnetic fields.
  • the gate structure 70 can be a sacrificial gate structure.
  • sacrificial gate structure it is meant a material or materials that serves (or serve) as a placeholder structure for a functional gate structure to be subsequently formed.
  • the gate structure 70 is a functional gate structure
  • the gate structure includes, from bottom to top, a gate dielectric portion 72 , a gate conductor portion 70 , and a gate cap portion 76 .
  • the gate cap portion 76 may be omitted.
  • the gate dielectric portion 72 includes a gate dielectric material.
  • the gate dielectric material that provides the gate dielectric portion 72 may be a semiconductor oxide, a semiconductor nitride, and/or a semiconductor oxynitride.
  • the gate dielectric material that can be used in providing the gate dielectric portion 72 can be composed of silicon dioxide, silicon nitride and/or silicon oxynitride.
  • the gate dielectric material that can be used in providing the gate dielectric portion 72 may include at least a dielectric metal oxide.
  • Exemplary dielectric metal oxides that can be used as the gate dielectric material that provides gate dielectric portion 72 include, but are not limited to, HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , SiON, SiN x , a silicate thereof, and an alloy thereof.
  • Each value of x is independently from 0 . 5 to 3 and each value of y is independently from 0 to 2.
  • a multilayered gate dielectric structure comprising different gate dielectric materials, e.g., silicon dioxide, and a dielectric metal oxide can be formed and used as the gate dielectric material that provides the gate dielectric portion 72 .
  • the gate dielectric material that provides the gate dielectric portion 72 can be formed by a deposition technique such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition.
  • the gate dielectric material that provides the gate dielectric portion 72 can be formed by a thermal growth technique such as, for example, thermal oxidation and/or thermal nitridation.
  • a combination of a deposition and thermal growth may be used in forming a multilayered gate dielectric structure that provides the gate dielectric portion 72 .
  • the gate dielectric material that provides the gate dielectric portion 72 can have a thickness in a range from 1 nm to 10 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the gate dielectric material that provides the gate dielectric portion 72 .
  • the gate conductor portion 74 includes a gate conductor material.
  • the gate conductor material that provides the gate conductor portion 74 can be composed of doped polysilicon, doped silicon germanium, an elemental metal (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least two elemental metals, an elemental metal nitride (e.g., tungsten nitride, aluminum nitride, and titanium nitride), or multilayered combinations thereof.
  • an entirety of the gate conductor material that provides the gate conductor portion 74 is comprised of a doped polysilicon or doped polysilicon germanium.
  • a lower portion of the gate conductor material that provides the gate conductor portion 74 is comprised a conductive material other than doped polysilicon or doped polysilicon germanium, and an upper portion of the gate conductor material that provides the gate conductor portion 74 is comprised of doped polysilicon or doped silicon germanium.
  • the gate conductor material that provides the gate conductor portion 74 can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, atomic layer deposition (ALD) or other like deposition processes.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • the gate conductor material that provides the gate conductor portion 74 has a thickness from 1 nm to 100 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the gate conductor material that provides the gate conductor portion 74 .
  • a gate cap portion 76 is present on the gate conductor portion 74 .
  • the gate cap portion 76 may be composed of any dielectric hard mask material such as, for example, silicon dioxide, silicon nitride, and/or silicon oxynitride.
  • the dielectric hard mask material that provides the gate cap portion 76 may be formed by a deposition process such as, for example, CVD and PECVD.
  • the dielectric hard mask material that provides the gate cap portion 76 has a thickness from 1 nm to 100 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the dielectric hard mask material that provides the gate cap portion 76 .
  • Gate structure 70 is formed by first forming a gate material stack of, from bottom to top, the gate dielectric material, the gate conductor material and, if present, the hard mask dielectric material.
  • the gate material stack is then patterned by lithography and etching which provides the gate structure 70 .
  • Lithography can include forming a photoresist (not shown) on the topmost surface of the material stack, exposing the photoresist to a desired pattern of radiation, and then developing the exposed photoresist with a resist developer to provide a patterned photoresist atop the gate material stack. At least one etch is then employed which transfers the pattern from the patterned photoresist into the various materials of the gate material stack.
  • the etch used for pattern transfer may include a dry etch process such as, for example, reactive ion etching, plasma etching, ion beam etching or laser ablation.
  • the etch used for pattern transfer may include a wet chemical etchant such as, for example, KOH (potassium hydroxide).
  • a combination of a dry etch and a wet chemical etch may be used to transfer the pattern.
  • the patterned photoresist can be removed utilizing a resist stripping process such as, for example, ashing.
  • the sidewall edges of the gate dielectric portion 72 , the gate conductor portion, and the dielectric cap portion 76 are vertically coincident to (i.e., vertically aligned with) each other.
  • gate structure 70 can be a sacrificial gate structure.
  • the sacrificial gate structure may include, from bottom to top, a sacrificial gate dielectric, a sacrificial gate material, and a sacrificial gate cap.
  • the sacrificial gate dielectric and/or the sacrificial gate cap are optional.
  • the sacrificial gate dielectric may include one of the dielectric materials mentioned above for providing the gate dielectric portion 72 .
  • the sacrificial gate cap may include one of the dielectric hard mask materials used in providing the gate gap portion 76 .
  • the sacrificial gate material may be composed of polysilicon or a metal such as Al, W, or Cu. Blanket sacrificial material layers are first formed, and then lithography and etching are used in providing a sacrificial gate structure. In such an embodiment, the sacrificial gate structure is replaced with a permanent gate structure (as defined above) anytime after source/drain regions are formed.
  • the gate dielectric spacer 78 is formed on exposed sidewall surfaces of the gate structure 70 .
  • a base of the gate dielectric spacer 78 may be present on a topmost surface of the active silicon germanium region 66 .
  • the gate dielectric spacer 78 can be formed by first providing a spacer material and then etching the spacer material.
  • the spacer material may be composed of any dielectric spacer material including, for example, a dielectric oxide, dielectric nitride, and/or dielectric oxynitride.
  • the spacer material used in providing the gate dielectric spacer 78 may be composed of silicon dioxide or silicon nitride.
  • the spacer material can be provided by a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or physical vapor deposition (PVD).
  • the etching of the spacer material may comprise a dry etch process such as, for example, a reactive ion etch.
  • Amorphous Si layer 80 is present on exposed surfaces of the active silicon germanium region 66 and surrounds the gate dielectric spacer 78 and the gate structure 70 .
  • the amorphous Si layer 80 can be formed utilizing one of the deposition processing mentioned above for forming amorphous Si layer 18 .
  • Amorphous Si layer 80 may or may not be hydrogenated.
  • the amorphous Si layer 80 can have a thickness with the range mentioned above for amorphous Si layer 18 .
  • FIG. 23 there is illustrated the exemplary semiconductor structure of FIG. 22 after forming embedded SiGe source/drain regions 82 S, 82 D in the active SiGe region 66 and at a footprint of the gate structure 70 utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • Si atoms from the amorphous Si layer 80 diffuse into the active silicon germanium region 66 and mix with the Si and Ge atoms within the active silicon germanium region 66 to provide the embedded SiGe source/drain regions 82 S, 82 D.
  • the embedded SiGe source/drain regions 82 S, 82 D that are formed by this process have a lower germanium content than the remaining portion of the active silicon germanium region 66 , especially the channel region that is located directly beneath the gate structure 70 . Such a structure will yield smaller lattice parameter source/drain regions and will thus create tensile strain in the channel. In cases in which the active silicon germanium region contains a germanium content of greater than 85 atomic percent, this process can result in the ability to fabricate high mobility MOSFETs.
  • thermal mixing is performed in this embodiment of the present application by annealing utilizing the conditions and ambient mentioned above in providing the structure shown in FIG. 3 of the present application.
  • the amorphous Si layer 80 can be completely consumed by this process. In some embodiments, a portion of the amorphous Si layer 80 may remain and be removed during a subsequently performed material removal process.
  • dielectric material atop the amorphous Si layer 80 prior to performing the thermal mixing process.
  • the dielectric material can be removed after the thermal mixing is performed as also described hereinabove.
  • an exemplary semiconductor structure including, an amorphous Si layer portion 80 P on an active SiGe region 66 of a SGOI substrate 60 in accordance with an embodiment of the present application.
  • the amorphous Si layer portion 80 P spans across the entire length of the active SiGe region 66 .
  • the SGOI substrate 60 also includes a handle substrate 62 and an insulator layer 64 .
  • Handle substrate 62 includes one of the materials mentioned above for handle substrate 12 .
  • Insulator layer 64 includes one of the insulator materials mentioned above for insulator layer 14 .
  • active SiGe region 66 includes a patterned silicon germanium layer.
  • the active SiGe region 66 may comprise a non-patterned silicon germanium layer. Although a single active SiGe region 66 is described and illustrated, a plurality of active SiGe regions can be present atop the insulator layer 64 .
  • the silicon germanium layer that provides the active silicon germanium region 66 can be formed utilizing any of the various embodiments of the present application including the one described in FIGS. 18 and 19 of the present application.
  • Element 68 represents dielectric structures.
  • the dielectric structures 68 are formed prior to formation of the silicon germanium layer that provides the active silicon region 66 (as shown, for example, in FIGS. 18 and 19 above).
  • the silicon germanium layer that provides the active silicon germanium region 66 is formed first, and then the dielectric structures are formed by forming a trench (by lithography and etching) and filling the trench with a trench dielectric material.
  • the active silicon germanium region 66 can be processed into a fin structure or a nanowire structure utilizing processes techniques well known to those skilled in the art. When a fin structure or nanowire structure is formed, the subsequently formed gate structure would straddle the fin structure or the nanowire structure.
  • the amorphous Si portion 80 P can be formed utilizing one of the deposition processing mentioned above for forming amorphous Si layer 18 .
  • Amorphous Si portion 80 P may or may not be hydrogenated.
  • the amorphous Si portion 80 P can have a thickness with the range mentioned above for amorphous Si layer 18 .
  • the gate structure 70 may be a functional gate structure, as defined above, and thus includes from bottom to top, gate dielectric portion 72 , gate conductor portion 74 and gate cap portion 76 .
  • gate structure 70 is a sacrificial gate structure which is replaced with a functional gate structure after subsequent formation of an embedded SiGe channel region in the active SiGe region 66 .
  • the gate dielectric spacer 78 used in this embodiment of the present applicant is the same as the gate dielectric spacer used in providing the structure shown in FIG. 21 of the present application.
  • FIG. 26 there is illustrated the exemplary semiconductor structure of FIG. 25 after forming embedded SiGe channel region 86 in the active SiGe region 66 and directly beneath the gate structure 70 utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • thermal mixing is performed in this embodiment of the present application by annealing utilizing the conditions and ambient mentioned above in providing the structure shown in FIG. 3 of the present application.
  • the amorphous Si portion 80 P can be completely consumed by this process.
  • embedded SiGe channel region 86 has a lower germanium content that that in the source/drain regions (to be subsequently formed and not shown herein). Such a structure will yield a smaller lattice parameter in the channel region and will thus experience compressive strain in the channel due to the higher germanium content surrounding the embedded SiGe channel region 86 . This structure will result in a high mobility MOSFET.

Abstract

A layer of amorphous silicon is formed on a germanium-on-insulator substrate, or a layer of germanium is formed on a silicon-on-insulator substrate. An anneal is then performed which causes thermal mixing of silicon and germanium atoms within one of the aforementioned structures and subsequent formation of a silicon germanium-on-insulator material.

Description

    BACKGROUND
  • The present application relates to semiconductor manufacturing, and more particularly to methods of fabricating a silicon germanium (hereinafter “SiGe”)-on-insulator (hereinafter “SGOI”) material in which thermal mixing of either a layer of silicon formed on a germanium-on-insulator (hereinafter “GeOI”), or a layer of germanium formed on a silicon-on-insulator (hereinafter “SOI”) is employed.
  • Crystalline Si1-xGex is attractive for both bipolar and metal oxide semiconductor field effect transistor (hereinafter “MOSFET”) applications. High performance p-channel MOSFETs consisting of a SiGe channel are in use in 22 nm complementary metal oxide semiconductor (hereinafter “CMOS”) devices. Increasing germanium (hereinafter “Ge”) concentration in Si1-xGex to very high values, such as x>70% Ge, allows higher carrier mobilities for both electron and holes. However, Si1-xGex epitaxially grown on a single crystal Si substrate is metastable and relaxes by generating misfit dislocations and stacking faults when its thickness exceeds a certain value, known as the critical thickness. This thickness decreases exponentially as x increases in Si1-xGex.
  • Thus, there is a need for providing a method that allows for the formation of high structural quality Si1-xGex-on-insulator material, in particular Si1-xGex-on-insulator materials that contain x>50%, that avoids the problems associated in the prior art.
  • SUMMARY
  • A layer of amorphous silicon is formed on a germanium-on-insulator substrate, or a layer of germanium is formed on a silicon-on-insulator substrate. An anneal is then performed which causes thermal mixing of silicon and germanium atoms within one of the aforementioned structures and subsequent formation of a silicon germanium-on-insulator material.
  • In one aspect of the present application, various methods of forming a silicon germanium-on-insulator (SGOI) material are provided. In one embodiment of the present application, a method of forming the SGOI material can include providing a structure comprising, from bottom to top, a germanium-on-insulator substrate and an amorphous silicon layer. The structure is then converted into a silicon germanium-on-insulator material by annealing, wherein during the annealing silicon atoms from the amorphous silicon layer intermix with germanium atoms in a germanium layer of the germanium-on-insulator substrate to form a silicon germanium layer.
  • In another embodiment of the present application, a method of forming the SGOI material may include providing a structure comprising, from bottom to top, a silicon-on-insulator substrate and a germanium layer. The structure is then converted into a silicon germanium-on-insulator material by annealing, wherein during the annealing silicon atoms from a silicon layer of the silicon-on-insulator substrate intermix with germanium atoms in the germanium layer to form a silicon germanium layer.
  • In another aspect of the present application, methods of forming semiconductor structures are provided. In accordance with one embodiment of the present application, a method of forming the semiconductor structure includes forming a gate structure on an active silicon germanium region of a silicon germanium-on-insulator material. Next, an amorphous silicon layer is formed on exposed surfaces of the active silicon germanium region and surrounding the gate structure. Embedded SiGe source/drain regions are then formed in the active SiGe region and at a footprint of the gate structure utilizing a thermal mixing process in which silicon atoms from the amorphous silicon layer intermix with germanium atoms in the SiGe active region to form the embedded SiGe source/drain regions.
  • In accordance with another embodiment of the present application, another method of forming a semiconductor structure includes forming an amorphous silicon layer portion on a surface of an active silicon germanium region of a silicon germanium-on-insulator material. A gate structure is then formed on a surface of the amorphous silicon. Next, an embedded SiGe channel region is formed in the active SiGe region and directly beneath the gate structure utilizing a thermal mixing process in which silicon atoms from the amorphous silicon layer portion intermix with germanium atoms in the SiGe active region to form the embedded SiGe channel region.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a cross sectional view of an exemplary semiconductor structure including a GeOI substrate that can be employed in accordance with an embodiment of the present application.
  • FIG. 2 is a cross sectional view of the exemplary semiconductor structure of FIG. 1 after forming an amorphous Si layer on a topmost surface of the GeOI substrate in accordance with an embodiment of the present application.
  • FIG. 3 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 4 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after forming a dielectric material on the amorphous Si layer in accordance with an embodiment of the present application.
  • FIG. 5 is a cross sectional view of the exemplary semiconductor structure of FIG. 4 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 6 is a cross sectional view of the exemplary semiconductor structure of FIG. 5 after removing the dielectric material from the structure in accordance with an embodiment of the present application.
  • FIG. 7 is a cross sectional view of an exemplary semiconductor structure including an SOI substrate that can be employed in accordance with an embodiment of the present application.
  • FIG. 8 is a cross sectional view of the exemplary semiconductor structure of FIG. 7 after forming a germanium (Ge) layer on a topmost surface of the SOI substrate in accordance with an embodiment of the present application.
  • FIG. 9 is a cross sectional view of the exemplary semiconductor structure of FIG. 8 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 10 is a cross sectional view of the exemplary semiconductor structure of FIG. 8 after forming a dielectric material on the Ge layer in accordance with an embodiment of the present application.
  • FIG. 11 is a cross sectional view of the exemplary semiconductor structure of FIG. 10 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 12 is a cross sectional view of the exemplary semiconductor structure of FIG. 11 after removing the dielectric material from the structure in accordance with an embodiment of the present application.
  • FIG. 13 is a cross sectional view of the exemplary semiconductor structure of FIG. 8 after forming an amorphous region at an interface between the Ge layer and a silicon layer of the SOI substrate in accordance with an embodiment of the present application.
  • FIG. 14 is a cross sectional view of the exemplary semiconductor structure of FIG. 13 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 15 is a cross sectional view of the exemplary semiconductor structure of FIG. 13 after forming a dielectric material on the Ge layer in accordance with an embodiment of the present application.
  • FIG. 16 is a cross sectional view of the exemplary semiconductor structure of FIG. 15 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 17 is a cross sectional view of the exemplary semiconductor structure of FIG. 16 after removing the dielectric material from the structure in accordance with an embodiment of the present application.
  • FIG. 18 is a cross sectional view of the exemplary semiconductor structure of FIG. 2 after forming dielectric structures within the amorphous Si layer and the Ge layer of the GeOI substrate in accordance with an embodiment of the present application.
  • FIG. 19 is a cross sectional view of the exemplary semiconductor structure of FIG. 18 after performing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 20 is a cross sectional view of an exemplary semiconductor structure including a gate structure located on an active silicon germanium (SiGe) region of an SGOI substrate in accordance with an embodiment of the present application.
  • FIG. 21 is a cross sectional view of the exemplary semiconductor structure of FIG. 20 after formation of a gate dielectric spacer.
  • FIG. 22 is a cross sectional view of the exemplary semiconductor structure of FIG. 21 after forming an amorphous Si layer.
  • FIG. 23 is a cross sectional view of the exemplary semiconductor structure of FIG. 22 after forming embedded SiGe source/drain regions in the active SiGe region and at a footprint of the gate structure utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • FIG. 24 is a cross sectional view of an exemplary semiconductor structure including an amorphous Si layer portion on an active SiGe region of an SGOI substrate in accordance with an embodiment of the present application.
  • FIG. 25 is a cross sectional view of the exemplary semiconductor structure of FIG. 24 after formation of a gate structure on the amorphous Si layer portion and formation of a gate dielectric spacer.
  • FIG. 26 is a cross sectional view of the exemplary semiconductor structure of FIG. 25 after forming an embedded SiGe channel region in the active SiGe region and directly beneath the gate structure utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • DETAILED DESCRIPTION
  • The present application will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present application. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present application may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present application.
  • Referring first to FIG. 1, there is illustrated an exemplary semiconductor structure including an GeOI substrate 10 that can be employed in accordance with an embodiment of the present application. The GeOI substrate 10 that can be employed in the present application includes, from bottom to top, a handle substrate 12, an insulator layer 14, and a germanium layer 16. The handle substrate 12 provides mechanical support for the insulator layer 14 and the germanium layer 16.
  • In one embodiment of the present application, the handle substrate 12 of the GeOI substrate 10 may comprise any semiconductor material. The term “semiconductor” as used throughout the present application denotes a material that has an electrical conductivity value between a conductor, such as copper, and an insulator such as, silicon dioxide. The semiconductor material that may provide the handle substrate 12 of the GeOI substrate 10 includes, for example, doped and undoped Si, doped and undoped Ge or doped and undoped SiGe. Multilayers of these semiconductor materials can also be used as the semiconductor material that provides handle substrate 12. In some embodiments, the handle substrate 12 and the germanium layer 16 are both comprised of germanium. In some embodiments of the present application, the handle substrate 12 is a non-semiconductor material such as, for example, a dielectric material and/or a conductor. In yet other embodiments, the handle substrate 12 may be omitted.
  • In some embodiments, the handle substrate 12 and the germanium layer 16 may have a same crystal orientation. In other embodiments, the handle substrate 12 and the germanium layer 16 may have different crystal orientations. For example, the crystal orientation of the handle substrate 12 and/or the germanium layer 16 may be {100}, {110}, or {111}. Other crystallographic orientations besides those specifically mentioned can also be used in the present application. The handle substrate 12 and/or the germanium layer 16 of the GeOI substrate 10 may be a single crystalline semiconductor material, a polycrystalline material, or an amorphous material. Typically, at least the germanium layer 16 is a single crystalline semiconductor material.
  • The insulator layer 14 of the GeOI substrate 10 may be a crystalline or non-crystalline oxide or nitride. In one embodiment, the insulator layer 14 is an oxide such as, for example, silicon dioxide. The germanium layer 16 of the GeOI substrate 10 consists of elemental, i.e., non-alloyed, germanium.
  • The GeOI substrate 10 may be formed utilizing standard processes including for example, layer transfer. Typically, a layer transfer process is employed in providing the GeOI substrate 10. In such instances, a first semiconductor wafer including at least a layer of germanium is bonded to a second semiconductor wafer that includes the insulator layer 14 and the handle substrate 12. In some embodiments, a bulk germanium substrate may be employed as the first wafer. In other embodiments, the first wafer includes a germanium layer formed by a deposition process such, as for example, epitaxial growth, on a surface of a sacrificial semiconductor substrate. In some embodiments, the sacrificial substrate may include silicon, and a graded SiGe intermediate layer can be formed between the sacrificial substrate and the overlying germanium layer 16. Various material removal processes can be used to remove the sacrificial substrate and the graded SiGe intermediate layer after bonding. When a layer transfer process is employed, an optional thinning step may follow the bonding of the first and second wafers together. The optional thinning step reduces the thickness of the germanium layer 16 to a layer having a thickness that is more desirable.
  • In one example, the thickness of the germanium layer 16 of the GeOI substrate 10 can be from 10 nm to 200 nm. In another example, the thickness of the germanium layer 16 of the GeOI substrate 10 can be from 50 nm to 70 nm. Other thicknesses that are lesser than or greater than the aforementioned thicknesses ranges may also be employed as the thickness of the germanium layer 16. For example, and when an ETGeOI (extremely thin germanium-on-insulator) substrate is employed, the germanium layer 16 can have a thickness of less than 10 nm. If the thickness of the germanium layer 16 layer is not within a desired range, a thinning step such as, for example, planarization or etching can be used to reduce the thickness of the germanium layer 16 to a value within a desired thickness range. The insulator layer 14 of the GeOI substrate 10 typically has a thickness from 1 nm to 200 nm, with a thickness from 100 nm to 150 nm being more typical. Other thicknesses that are lesser than or greater than the aforementioned thickness ranges can also be employed as the thickness of the insulator layer 14. The thickness of the handle substrate 12 of the GeOI substrate 10 is inconsequential to the present application.
  • Referring now to FIG. 2, there is illustrated the exemplary semiconductor structure of FIG. 1 after forming an amorphous Si layer 18 on a topmost surface of the GeOI substrate 10 in accordance with an embodiment of the present application. As is shown, the amorphous Si layer 18 is a contiguous layer that spans the entirety of the topmost surface of the GeOI substrate 10. As is also shown, the amorphous Si layer 18 is formed on a surface of the germanium layer 16 of the GeOI substrate 10. The term “amorphous Si” is used throughout the present application to denote a non-crystalline allotropic form of silicon.
  • The amorphous Si layer 18 can be formed utilizing a deposition process including, for example, physical vapor deposition (PVD; also referred to as sputter deposition), chemical vapor deposition (CVD), rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), or inductively coupled plasma chemical vapor deposition (ICP CVD). In some embodiments, hydrogen may be introduced during the deposition process forming a hydrogenated amorphous Si layer. The amorphous Si layer 18 can have a thickness from 10 nm to 200 nm. Other thickness that are lesser than or greater than the aforementioned thickness range can also be employed as the thickness of the amorphous Si layer 18.
  • Referring now to FIG. 3, there is illustrated the exemplary semiconductor structure of FIG. 2 after performing a thermal mixing process in accordance with an embodiment of the present application. During thermal mixing, the amorphous Si layer 18 and the underlying germanium layer 16 are converted into a silicon germanium layer 20. Notably, and during thermal mixing, silicon atoms from the amorphous silicon layer 18 diffuse and intermix with germanium atoms in the germanium layer 16 of the germanium-on-insulator substrate GeOI to form silicon germanium layer 20. Thus, a silicon germanium-on-insulator (i.e., SGOI) material 22 including, from bottom to top, the handle substrate 12, the insulator layer 14 and the silicon germanium layer 20, is formed. Typically, and as shown, the amorphous Si layer 18 and the germanium layer 16 are completely consumed during the thermal mixing process. In some embodiments, a portion of the amorphous Si layer 18 may remain and can be removed by utilizing a chemical removal process.
  • In accordance with the present application, thermal mixing is performed in this embodiment of the present application by annealing in an inert ambient. Examples of inert ambients that can be used during the anneal include helium (He), argon (Ar), neon (Ne), nitrogen (N2) or any mixtures thereof. In one embodiment, the anneal is performed in N2. A single anneal may be performed or multiple anneals (in the same or different inert ambient) may be performed. In one embodiment of the present application, the anneal may be performed at a temperature from 600° C. to 900° C. In some embodiments, the anneal may be performed at a constant rate. In other embodiments, the anneal may be performed a different, variable rates. In yet other embodiments, the anneal may be performed utilizing a series of ramp up cycles and soak cycles, as desired.
  • In some embodiments, the anneal may be performed in a same reactor chamber (i.e., in-situ) as used to deposit the amorphous Si layer 18. In other embodiments, the anneal may be performed in a different reactor chamber (i.e., ex-situ) from that used to deposit the amorphous Si layer 18.
  • The resultant silicon germanium layer 20 that forms may have a germanium content from 5 atomic percent germanium to 90 atomic percent germanium. In one embodiment of the present application, the silicon germanium layer 20 that forms may have a germanium content of greater than 50 atomic percent germanium to 90 atomic percent germanium. The germanium content within the silicon germanium layer 20 can be controlled in the present application by the thickness of the amorphous Si layer 18, and/or the thickness of the germanium layer 16 and/or the conditions of the anneal used to perform the thermal mixing. For example, the thicker the amorphous Si layer 18 and/or the thinner the germanium layer 16, the lower the germanium content is within the silicon germanium layer 20 that is formed. The amorphous Si layer 18 also aides in preventing oxidation of the germanium layer 16 during the anneal. In the present application, X-ray, Raman, SIMS, and TEM were used to verify Si diffusion, and conversion of the GeOI substrate 10 into the SGOI substrate 22.
  • Referring now to FIG. 4, there is illustrated the exemplary semiconductor structure of FIG. 2 after forming a dielectric material 24 on the amorphous Si layer 18 in accordance with an embodiment of the present application. The dielectric material 24 is a contiguous dielectric that spans the entirety of the amorphous Si layer 18. The dielectric material 24 includes any insulator material including, for example, silicon dioxide, silicon nitride and/or silicon oxynitride. Other examples of insulators that can be employed as the dielectric material 24 include for example, a dielectric metal oxide such as, for example, HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, SiON, SiNx, a silicate thereof, and an alloy thereof. Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2. In some embodiments, a multilayered dielectric structure comprising different dielectric materials, e.g., silicon dioxide, and a dielectric metal oxide, can be formed and used as the dielectric material 24.
  • In some embodiments of the present application, the dielectric material 24 can be formed by a deposition technique such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition. In another embodiment of the present application, the dielectric material 24 can be formed by a thermal growth technique such as, for example, thermal oxidation and/or thermal nitridation. In yet a further embodiment of the present application, a combination of a deposition and thermal growth may be used in forming a multilayered dielectric material 24 structure.
  • In one embodiment of the present application, the dielectric material 24 can have a thickness in a range from 10 nm to 100 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the thickness of the dielectric material 24.
  • Referring now to FIG. 5, there is illustrated the exemplary semiconductor structure of FIG. 4 after performing a thermal mixing process in accordance with an embodiment of the present application. The thermal mixing that is employed in this embodiment of the present application is an anneal as described in the previous embodiment of the present application; the anneal ambient and conditions described above are applicable here for this embodiment of the present application as well.
  • The thermal mixing converts the GeOI substrate 10 into a silicon germanium-on-insulator (i.e., SGOI) material 22 including, from bottom to top, the handle substrate 12, the insulator layer 14 and the silicon germanium layer 20. Typically, and as shown, the amorphous Si layer 18 and the germanium layer 16 are completely consumed during the thermal mixing process. The dielectric material 24 remains and is present atop the silicon germanium layer 20 of the SGOI material 22. In some embodiments, a portion of the amorphous Si layer 18 can remain between the dielectric material 24 and the now formed silicon germanium layer 20.
  • Referring now to FIG. 6, there is illustrated the exemplary semiconductor structure of FIG. 5 after removing the dielectric material 24 from the structure (i.e., the SGOI material 22) in accordance with an embodiment of the present application. In some embodiments (not shown), the entirety of the dielectric material 24 may remain atop the SGOI material 22. In other embodiments, portions of the dielectric material 24 may be removed to provide either a dielectric material having a reduced thickness as compared to the originally deposited dielectric material 24, or patterned dielectric material portions.
  • In one embodiment of the present application, the dielectric material 24 can be entirely or partially removed utilizing chemical mechanical planarization, grinding and/or chemical etching. In cases in which patterned dielectric material portions are formed, lithography and etching can be used to pattern the dielectric material 24.
  • Referring now to FIG. 7, there is illustrated an exemplary semiconductor structure including an SOI substrate 30 that can be employed in accordance with an embodiment of the present application. The SOI substrate 30 that can be employed in the present application includes, from bottom to top, a handle substrate 32, an insulator layer 34, and a silicon layer 36. The handle substrate 32 provides mechanical support for the insulator layer 34 and the silicon layer 36.
  • The handle substrate 32 of the SOI substrate 30 may comprise one of the semiconductor materials mentioned above for handle substrate 12. In some embodiments, the handle substrate 32 and the silicon layer 36 are both comprised of silicon. In some embodiments of the present application, the handle substrate 32 is a non-semiconductor material such as, for example, a dielectric material and/or a conductor. In yet other embodiments, the handle substrate 32 may be omitted.
  • In some embodiments, the handle substrate 32 and the silicon layer 36 may have a same crystal orientation. In other embodiments, the handle substrate 32 and the silicon layer 36 may have different crystal orientations. For example, the crystal orientation of the handle substrate 32 and/or the silicon layer 36 may be {100}, {110}, or {111}. Other crystallographic orientations besides those specifically mentioned can also be used in the present application. The handle substrate 32 and/or the silicon layer 36 of the SOI substrate 30 may be a single crystalline semiconductor material, a polycrystalline material, or an amorphous material. Typically, at least the silicon layer 36 is a single crystalline semiconductor material.
  • The insulator layer 34 of the SOI substrate 30 may be a crystalline or non-crystalline oxide or nitride. In one embodiment, the insulator layer 34 is an oxide such as, for example, silicon dioxide. The silicon layer 36 of the SOI substrate 30 consists of elemental, i.e., non-alloyed, silicon.
  • The SOI substrate 30 may be formed utilizing standard processes including for example, layer transfer. Typically, a layer transfer process is employed in providing the SOI substrate 30. In such instances, a first semiconductor wafer including at least a layer of silicon is bonded to a second semiconductor wafer that includes the insulator layer 34 and the handle substrate 32. In some embodiments, a bulk silicon substrate may be employed as the first wafer. In other embodiments, the first wafer includes a silicon layer formed by a deposition process such, as for example, epitaxial growth, on a surface of a sacrificial semiconductor substrate. In some embodiments, the sacrificial substrate may include silicon, and a graded SiGe intermediate layer can be formed between the sacrificial substrate and the overlying silicon layer 36. The sacrificial substrate and the graded SiGe intermediate layer can be removed after bonding. When a layer transfer process is employed, an optional thinning step may follow the bonding of the first and second wafers together. The optional thinning step reduces the thickness of the silicon layer 36 to a layer having a thickness that is more desirable.
  • In one example, the thickness of the silicon layer 36 of the SOI substrate 30 can be from 10 nm to 100 nm. In another example, the thickness of the silicon layer 36 of the SOI substrate 30 can be from 50 nm to 70 nm. Other thicknesses that are lesser than or greater than the aforementioned thicknesses ranges may also be employed in the present application. For example, and when an ETSOI (extremely thin silicon-on-insulator) substrate is employed, the silicon layer 36 can have a thickness of less than 10 nm. If the thickness of the silicon layer 36 layer is not within a desired range, a thinning step such as, for example, planarization or etching can be used to reduce the thickness of the silicon layer 36 to a value within a desired thickness range. The insulator layer 34 of the SOI substrate 30 typically has a thickness from 1 nm to 200 nm, with a thickness from 100 nm to 150 nm being more typical. Other thicknesses that are lesser than or greater than the aforementioned thickness ranges can also be employed as the thickness of the insulator layer 34. The thickness of the handle substrate 32 of the SOI substrate 30 is inconsequential to the present application.
  • Referring now to FIG. 8, there is illustrated the exemplary semiconductor structure of FIG. 7 after forming a germanium (Ge) layer 38 on a topmost surface of the SOI substrate 30 in accordance with an embodiment of the present application. As is shown, the Ge layer 38 is a contiguous layer that spans the entirety of the topmost surface of the SOI substrate 30. As is also shown, the Ge layer 38 is formed on a surface of the silicon layer 36 of the SOI substrate 30.
  • The Ge layer 38 can be formed utilizing a deposition process including, for example, epitaxial growth. The terms “epitaxial growth and/or deposition” and “epitaxially formed and/or grown” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material has the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a {100} crystal surface will take on a {100} orientation. Thus, and in the present embodiment, the Ge layer 38 has an epitaxial relationship, i.e., same crystal orientation, as that of the surface of the Si layer 36.
  • Examples of various epitaxial growth process that are suitable for use in forming the Ge layer 38 of the present application include, e.g., rapid pressure chemical vapor deposition (RPCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) or molecular beam epitaxy (MBE). The temperature for epitaxial deposition process for forming the Ge layer 38 typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • A number of different germanium source gases which are well known to those skilled in the art may be used for the deposition of the Ge layer 38. Carrier gases like hydrogen, nitrogen, helium and argon can be used during the epitaxial growth process. In some embodiments, hydrogen may be introduced during the deposition process forming a hydrogenated Ge layer. The Ge layer 38 can have a thickness from 10 nm to 200 nm. Other thickness that are lesser than or greater than the aforementioned thickness range can also be employed as the thickness of the Ge layer 38.
  • Referring now to FIG. 9, there is illustrated the exemplary semiconductor structure of FIG. 8 after performing a thermal mixing process in accordance with an embodiment of the present application. During thermal mixing, the Ge layer 38 and the underlying Si layer 36 are converted into a silicon germanium layer 40; intermixing occurs at the interface between the Ge layer 38 and the Si layer 38. Notably, and during thermal mixing, silicon atoms from the Si layer 36 diffuse and intermix with germanium atoms in the Ge layer 38 to form silicon germanium layer 40. Thus, a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32, the insulator layer 34 and the silicon germanium layer 42, is formed. Typically, and as shown, the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process. In some embodiments, a portion of the Ge layer 38 can remain atop the now formed silicon germanium layer 42. In such an embodiment, any remaining Ge layer 38 can be removed utilizing chemical mechanical planarization, grinding and/or chemical (wet or dry) etching. In some embodiments, the remaining Ge layer 38 can be left atop the now formed silicon germanium layer 42.
  • In accordance with the present application, thermal mixing is performed in this embodiment of the present application by annealing in hydrogen (H2). In some embodiments, the hydrogen may be admixed with an inert ambient such as, for example, helium (He), argon (Ar), neon (Ne), nitrogen (N2) or any mixtures thereof; in such an embodiment the hydrogen is present in a concentration up to 10 vol. %, the remainder up to 100 vol. % being one of the inert ambients mentioned above. In one embodiment, the anneal is performed in H2 only. A single anneal may be performed or multiple anneals (in the same or different H2 ambient) may be performed. In one embodiment of the present application, the anneal may be performed at a temperature from 600° C. to 900° C. In some embodiments, the anneal may be performed at a constant rate. In other embodiments, the anneal may be performed a different, variable rates. In yet other embodiments, the anneal may be performed utilizing a series of ramp up cycles and soak cycles, as desired.
  • In some embodiments, the anneal may be performed in a same reactor chamber (i.e., in-situ) as used to deposit the Ge layer 38. In other embodiments, the anneal may be performed in a different reactor chamber (i.e., ex-situ) from that used to deposit the Ge layer 38.
  • The resultant silicon germanium layer 40 that forms may have a germanium content from 5 atomic percent germanium to 90 atomic percent germanium. In one embodiment of the present application, the silicon germanium layer 40 that forms may have a germanium content of greater than 50 atomic percent germanium to 90 atomic percent germanium. The germanium content within the silicon germanium layer 40 can be controlled in the present application by the thickness of the Si layer 36, and/or the thickness of the Ge layer 38 and/or the conditions of the anneal used to perform the thermal mixing. For example, the thicker the Si layer 36 and/or the thinner the Ge layer 38, the lower the germanium content is within the silicon germanium layer 40 that is formed. In the present application, X-ray, Raman, SIMS, and TEM were used to verify Si diffusion, and conversion of the SOI substrate 30 into the SGOI substrate 42.
  • Referring now to FIG. 10, there is illustrated the exemplary semiconductor structure of FIG. 8 after forming a dielectric material 44 on the Ge layer 38 in accordance with an embodiment of the present application. The dielectric material 44 that is employed in this embodiment of the present application may include one of the insulators mentioned above for dielectric material 24. Also, the dielectric material 44 may be formed by, and have a thickness within a range, as mentioned above for dielectric material 24.
  • Referring now to FIG. 11, there is illustrated the exemplary semiconductor structure of FIG. 10 after performing a thermal mixing process in accordance with an embodiment of the present application. The thermal mixing process used in this embodiment of the present application is the same as that described above in providing the structure shown in FIG. 9; the anneal ambient and conditions described above in regard to providing the structure shown in FIG. 9 are applicable here for this embodiment of the present application as well.
  • The thermal mixing converts the SOI substrate 30 and the Ge layer 38 into a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32, the insulator layer 34 and the silicon germanium layer 40. Typically, and as shown, the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process. In some embodiments, a remaining portion of the Ge layer 38 (not shown) may be located between the dielectric material 44 and the silicon germanium layer 40. The dielectric material 44 remains and is present atop the silicon germanium layer 40 of the SGOI material 42.
  • Referring now to FIG. 12, there is illustrated the exemplary semiconductor structure of FIG. 11 after removing the dielectric material 44 from the structure in accordance with an embodiment of the present application. The dielectric material 44 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching. In embodiments in which a remaining portion of Ge layer 38 is present, the remaining portion of Ge layer 38 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching.
  • Referring now to FIG. 13, there is illustrated the exemplary semiconductor structure of FIG. 8 after forming an amorphous region 46 at an interface between the Ge layer 38 and the SOI substrate 30 (i.e., the Si layer 36) in accordance with an embodiment of the present application. In the embodiment that is illustrated, the amorphous region 46 is continuously present between the Ge layer 38 and the Si layer 36.
  • The amorphous region 46 is a region that lacks any well defined crystal structure. Moreover, the amorphous region 46 is a region in which crystal damage has been introduced into the structure by utilizing one or more amorphization ion implantation processes. The one or more amorphization ion implantation processes includes ion implanting an amorphizing ion into the structure. The amorphizing ion that can be employed in the present application in providing the amorphous region 46 includes any ion such as Si or Ge. The one or more amorphization ion implantation processes may be performed at room temperature (i.e., from 20° C. to 40° C.), or temperatures below room temperature may be employed. The conditions for the one or more amorphization ion implantation process are selected to form the amorphous region 44 at the interface between the Si layer 36 and the Ge layer 38.
  • Referring now to FIG. 14, there is illustrated the exemplary semiconductor structure of FIG. 13 after performing a thermal mixing process in accordance with an embodiment of the present application. The thermal mixing process used in this embodiment of the present application is the same as that described above in providing the structure shown in FIG. 9; the anneal ambient and conditions described above in regard to providing the structure shown in FIG. 9 are applicable here for this embodiment of the present application as well.
  • The thermal mixing converts the SOI substrate 30 and the Ge layer 38 into a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32, the insulator layer 34 and a silicon germanium layer 40. Typically, and as shown, the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process. In some embodiments, a remaining portion of the Ge layer 38 (not shown) may be located atop the silicon germanium layer 40. During the thermal mixing process, the amorphous region 46 is recrystallized.
  • Referring now to FIG. 15, there is shown the exemplary semiconductor structure of FIG. 13 after forming a dielectric material 44 on the Ge layer 38 in accordance with an embodiment of the present application. The dielectric material 44 that is employed in this embodiment of the present application may include one of the insulators mentioned above for dielectric material 24. Also, the dielectric material 44 may be formed by, and have a thickness within a range, as mentioned above for dielectric material 24.
  • Referring now to FIG. 16, there is illustrated the exemplary semiconductor structure of FIG. 16 after performing a thermal mixing process in accordance with an embodiment of the present application. The thermal mixing process used in this embodiment of the present application is the same as that described above in providing the structure shown in FIG. 9; the anneal ambient and conditions described above in regard to providing the structure shown in FIG. 9 are applicable here for this embodiment of the present application as well.
  • The thermal mixing converts the SOI substrate 30 and the Ge layer 38 into a silicon germanium-on-insulator (i.e., SGOI) material 42 including, from bottom to top, the handle substrate 32, the insulator layer 34 and the silicon germanium layer 40. Typically, and as shown, the Si layer 36 and the Ge layer 38 are completely consumed during the thermal mixing process. In some embodiments, a remaining portion of the Ge layer 38 (not shown) may be located between the dielectric material 44 and the silicon germanium layer 40. The dielectric material 44 remains and is present atop the silicon germanium layer 40 of the SGOI material 42. During the thermal mixing process, the amorphous region 46 is recrystallized.
  • Referring now to FIG. 17, there is illustrated the exemplary semiconductor structure of FIG. 16 after removing the dielectric material 44 from the structure in accordance with an embodiment of the present application. The dielectric material 44 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching. In embodiments in which a remaining portion of Ge layer 38 is present, the remaining portion of Ge layer 38 can be removed utilizing chemical mechanical planarization, grinding and/or chemical etching.
  • Referring now to FIG. 18, there is illustrated the exemplary semiconductor structure of FIG. 1 after forming dielectric structures 50 within the amorphous Si layer 18 and the Ge layer 16 of the GeOI substrate 10 in accordance with an embodiment of the present application. In the drawing, the remaining portions of the amorphous Si layer 18 are labeled as 18P and can be referred to hereinafter as amorphous Si portions 18P. In the drawing, the remaining portions of the Ge layer 16 are labeled as 16P and can be referred to hereinafter as germanium portions 16P.
  • The number of dielectric structures 50 that can be formed is not limited to two as shown in FIG. 18. Instead, a single dielectric structure 50 can be formed, or greater than two dielectric structures 50 can be formed. Each dielectric structure 50 that is formed has a bottommost surface in contact with a topmost surface of the insulator layer 14. Each dielectric structure 50 that is formed has sidewall surfaces that contact sidewall surfaces of an abutting germanium portion 16P and an abutting amorphous Si portion 18P. Each dielectric structure 50 can have a topmost surface that is coplanar with a topmost surface of the amorphous Si portions 18P. In some embodiments, the topmost surface of each dielectric structure 50 may extend above or below the topmost surface of the amorphous Si portions 18P.
  • Each dielectric structure 50 can be formed by first forming a trench through the amorphous Si layer 18 and through the Ge layer 16, stopping on a topmost surface of the insulator layer 14. The trench can be formed by lithography and anisotropic etching. A single etch or multiple etching steps may be used in providing the trench. Once the trench is formed, the trench is filled with a trench dielectric material such as, for example, silicon dioxide. A planarization process may follow the trench dielectric fill.
  • Referring now to FIG. 19, there is illustrated the exemplary semiconductor structure of FIG. 18 after performing a thermal mixing process in accordance with an embodiment of the present application. During thermal mixing, the amorphous Si portions 18P and the underlying germanium portions 16P are converted into a silicon germanium portions 20P. Notably, and during thermal mixing silicon atoms from the amorphous silicon portions 18P diffuse and intermix with germanium atoms in the germanium portions 16P of the germanium-on-insulator substrate GeOI to form silicon germanium portions 20P. Thus, a silicon germanium-on-insulator (i.e., SGOI) material 22 including, from bottom to top, the handle substrate 12, the insulator layer 14 and silicon germanium portions 20P, is formed. Typically, and as shown, the amorphous Si portions 18P and the germanium portions 16P are completely consumed during the thermal mixing process. In some embodiments, an upper portion of the amorphous Si portion 18P can remain and be removed during a subsequently performed material removal process. As is also shown, each silicon germanium portion 20P is isolated from its nearest neighboring silicon germanium portion 20P by a dielectric structure 50.
  • In accordance with the present application, thermal mixing is performed in this embodiment of the present application by annealing utilizing the conditions and ambient mentioned above in providing the structure shown in FIG. 3 of the present application. Each silicon germanium portion 20P that is formed is similar to the silicon germanium layer 20 formed in FIG. 3. In some embodiments, and following the thermal mixing process, a planarization process can be performed to provide the planar structure shown in FIG. 19. In some embodiments (not shown), the topmost surface of each dielectric structure 50 may extend above the topmost surface of each silicon germanium portion 20P.
  • Although not shown, it is possible to form a dielectric material across the structure shown in FIG. 18 prior to performing the thermal mixing process. The dielectric material can be removed after the thermal mixing is performed as also described hereinabove.
  • Referring now to FIG. 20, there is illustrated an exemplary semiconductor structure including, a gate structure 70 located on an active SiGe region 66 of a SGOI substrate 60 in accordance with an embodiment of the present application. In addition to the active SiGe region 66, the SGOI substrate 60 also includes a handle substrate 62 and an insulator layer 64. Handle substrate 62 includes one of the materials mentioned above for handle substrate 12. Insulator layer 64 includes one of the insulator materials mentioned above for insulator layer 14. In the present embodiments, active SiGe region 66 includes a patterned silicon germanium layer. In some embodiments, the active SiGe region 66 may comprise a non-patterned silicon germanium layer. Although a single active SiGe region 66 is described and illustrated, a plurality of active SiGe regions can be present atop the insulator layer 64.
  • The silicon germanium layer that provides the active silicon germanium region 66 can be formed utilizing any of the various embodiments of the present application including the one described in FIGS. 18 and 19 of the present application. Element 68 represents dielectric structures. In some embodiments, the dielectric structures 68 are formed prior to formation of the silicon germanium layer that provides the active silicon region 66 (as shown, for example, in FIGS. 18 and 19 above). In other embodiments, the silicon germanium layer that provides the active silicon germanium region 66 is formed first, and then the dielectric structures are formed by forming a trench (by lithography and etching) and filling the trench with a trench dielectric material.
  • In some embodiments (not shown), the active silicon germanium region 66 can be processed into a fin structure or a nanowire structure utilizing processes techniques well known to those skilled in the art. When a fin structure or nanowire structure is formed, the subsequently formed gate structure would straddle the fin structure or the nanowire structure.
  • In some embodiments of the present application, the gate structure 70 can be a functional gate structure. By “functional gate structure” it is meant a permanent gate structure used to control output current (i.e., flow of carriers in the channel of a semiconductor device through electrical or magnetic fields. In other embodiments, the gate structure 70 can be a sacrificial gate structure. By “sacrificial gate structure” it is meant a material or materials that serves (or serve) as a placeholder structure for a functional gate structure to be subsequently formed.
  • In embodiments in which the gate structure 70 is a functional gate structure, the gate structure includes, from bottom to top, a gate dielectric portion 72, a gate conductor portion 70, and a gate cap portion 76. In some embodiments, the gate cap portion 76 may be omitted.
  • The gate dielectric portion 72 includes a gate dielectric material. In one embodiment, the gate dielectric material that provides the gate dielectric portion 72 may be a semiconductor oxide, a semiconductor nitride, and/or a semiconductor oxynitride. In one example, the gate dielectric material that can be used in providing the gate dielectric portion 72 can be composed of silicon dioxide, silicon nitride and/or silicon oxynitride. In another embodiment of the present application, the gate dielectric material that can be used in providing the gate dielectric portion 72 may include at least a dielectric metal oxide. Exemplary dielectric metal oxides that can be used as the gate dielectric material that provides gate dielectric portion 72 include, but are not limited to, HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, SiON, SiNx, a silicate thereof, and an alloy thereof. Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2. In some embodiments, a multilayered gate dielectric structure comprising different gate dielectric materials, e.g., silicon dioxide, and a dielectric metal oxide can be formed and used as the gate dielectric material that provides the gate dielectric portion 72.
  • In some embodiments of the present application, the gate dielectric material that provides the gate dielectric portion 72 can be formed by a deposition technique such as, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, or atomic layer deposition. In another embodiment of the present application, the gate dielectric material that provides the gate dielectric portion 72 can be formed by a thermal growth technique such as, for example, thermal oxidation and/or thermal nitridation. In yet a further embodiment of the present application, a combination of a deposition and thermal growth may be used in forming a multilayered gate dielectric structure that provides the gate dielectric portion 72.
  • In one embodiment of the present application, the gate dielectric material that provides the gate dielectric portion 72 can have a thickness in a range from 1 nm to 10 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the gate dielectric material that provides the gate dielectric portion 72.
  • The gate conductor portion 74 includes a gate conductor material. In one embodiment of the present application, the gate conductor material that provides the gate conductor portion 74 can be composed of doped polysilicon, doped silicon germanium, an elemental metal (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least two elemental metals, an elemental metal nitride (e.g., tungsten nitride, aluminum nitride, and titanium nitride), or multilayered combinations thereof. In one embodiment, an entirety of the gate conductor material that provides the gate conductor portion 74 is comprised of a doped polysilicon or doped polysilicon germanium. In another embodiment, a lower portion of the gate conductor material that provides the gate conductor portion 74 is comprised a conductive material other than doped polysilicon or doped polysilicon germanium, and an upper portion of the gate conductor material that provides the gate conductor portion 74 is comprised of doped polysilicon or doped silicon germanium.
  • The gate conductor material that provides the gate conductor portion 74 can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, atomic layer deposition (ALD) or other like deposition processes. In one embodiment, the gate conductor material that provides the gate conductor portion 74 has a thickness from 1 nm to 100 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the gate conductor material that provides the gate conductor portion 74.
  • In some embodiments, and as shown, a gate cap portion 76 is present on the gate conductor portion 74. The gate cap portion 76 may be composed of any dielectric hard mask material such as, for example, silicon dioxide, silicon nitride, and/or silicon oxynitride. The dielectric hard mask material that provides the gate cap portion 76 may be formed by a deposition process such as, for example, CVD and PECVD. In one embodiment, the dielectric hard mask material that provides the gate cap portion 76 has a thickness from 1 nm to 100 nm. Other thicknesses that are lesser than or greater than the aforementioned thickness range can also be employed for the dielectric hard mask material that provides the gate cap portion 76.
  • Gate structure 70 is formed by first forming a gate material stack of, from bottom to top, the gate dielectric material, the gate conductor material and, if present, the hard mask dielectric material. The gate material stack is then patterned by lithography and etching which provides the gate structure 70. Lithography can include forming a photoresist (not shown) on the topmost surface of the material stack, exposing the photoresist to a desired pattern of radiation, and then developing the exposed photoresist with a resist developer to provide a patterned photoresist atop the gate material stack. At least one etch is then employed which transfers the pattern from the patterned photoresist into the various materials of the gate material stack. In one embodiment, the etch used for pattern transfer may include a dry etch process such as, for example, reactive ion etching, plasma etching, ion beam etching or laser ablation. In another embodiment, the etch used for pattern transfer may include a wet chemical etchant such as, for example, KOH (potassium hydroxide). In yet another embodiment, a combination of a dry etch and a wet chemical etch may be used to transfer the pattern. After transferring the pattern into the gate material stack, the patterned photoresist can be removed utilizing a resist stripping process such as, for example, ashing.
  • As is shown in the embodiment illustrated in FIG. 20, the sidewall edges of the gate dielectric portion 72, the gate conductor portion, and the dielectric cap portion 76 are vertically coincident to (i.e., vertically aligned with) each other.
  • In some embodiments of the present application (not shown), and as mentioned above, gate structure 70 can be a sacrificial gate structure. In such an embodiment, the sacrificial gate structure may include, from bottom to top, a sacrificial gate dielectric, a sacrificial gate material, and a sacrificial gate cap. The sacrificial gate dielectric and/or the sacrificial gate cap are optional. When present, the sacrificial gate dielectric may include one of the dielectric materials mentioned above for providing the gate dielectric portion 72. When present, the sacrificial gate cap may include one of the dielectric hard mask materials used in providing the gate gap portion 76. The sacrificial gate material may be composed of polysilicon or a metal such as Al, W, or Cu. Blanket sacrificial material layers are first formed, and then lithography and etching are used in providing a sacrificial gate structure. In such an embodiment, the sacrificial gate structure is replaced with a permanent gate structure (as defined above) anytime after source/drain regions are formed.
  • Referring now to FIG. 21, there is illustrated the exemplary semiconductor structure of FIG. 20 after formation of a gate dielectric spacer 78. The gate dielectric spacer 78 is formed on exposed sidewall surfaces of the gate structure 70. A base of the gate dielectric spacer 78 may be present on a topmost surface of the active silicon germanium region 66. The gate dielectric spacer 78 can be formed by first providing a spacer material and then etching the spacer material. The spacer material may be composed of any dielectric spacer material including, for example, a dielectric oxide, dielectric nitride, and/or dielectric oxynitride. In one example, the spacer material used in providing the gate dielectric spacer 78 may be composed of silicon dioxide or silicon nitride. The spacer material can be provided by a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or physical vapor deposition (PVD). The etching of the spacer material may comprise a dry etch process such as, for example, a reactive ion etch.
  • Referring now to FIG. 22, there is illustrated the exemplary semiconductor structure of FIG. 21 after forming an amorphous Si layer 80. Amorphous Si layer 80 is present on exposed surfaces of the active silicon germanium region 66 and surrounds the gate dielectric spacer 78 and the gate structure 70. The amorphous Si layer 80 can be formed utilizing one of the deposition processing mentioned above for forming amorphous Si layer 18. Amorphous Si layer 80 may or may not be hydrogenated. The amorphous Si layer 80 can have a thickness with the range mentioned above for amorphous Si layer 18.
  • Referring now to FIG. 23, there is illustrated the exemplary semiconductor structure of FIG. 22 after forming embedded SiGe source/ drain regions 82S, 82D in the active SiGe region 66 and at a footprint of the gate structure 70 utilizing a thermal mixing process in accordance with an embodiment of the present application. During thermal mixing, Si atoms from the amorphous Si layer 80 diffuse into the active silicon germanium region 66 and mix with the Si and Ge atoms within the active silicon germanium region 66 to provide the embedded SiGe source/ drain regions 82S, 82D. The embedded SiGe source/ drain regions 82S, 82D that are formed by this process have a lower germanium content than the remaining portion of the active silicon germanium region 66, especially the channel region that is located directly beneath the gate structure 70. Such a structure will yield smaller lattice parameter source/drain regions and will thus create tensile strain in the channel. In cases in which the active silicon germanium region contains a germanium content of greater than 85 atomic percent, this process can result in the ability to fabricate high mobility MOSFETs.
  • In accordance with the present application, thermal mixing is performed in this embodiment of the present application by annealing utilizing the conditions and ambient mentioned above in providing the structure shown in FIG. 3 of the present application. The amorphous Si layer 80 can be completely consumed by this process. In some embodiments, a portion of the amorphous Si layer 80 may remain and be removed during a subsequently performed material removal process.
  • Although not shown, it is possible to form a dielectric material atop the amorphous Si layer 80 prior to performing the thermal mixing process. The dielectric material can be removed after the thermal mixing is performed as also described hereinabove.
  • Referring now to FIG. 24, there is illustrated an exemplary semiconductor structure including, an amorphous Si layer portion 80P on an active SiGe region 66 of a SGOI substrate 60 in accordance with an embodiment of the present application. In some embodiments, the amorphous Si layer portion 80P spans across the entire length of the active SiGe region 66. In addition to the active SiGe region 66, the SGOI substrate 60 also includes a handle substrate 62 and an insulator layer 64. Handle substrate 62 includes one of the materials mentioned above for handle substrate 12. Insulator layer 64 includes one of the insulator materials mentioned above for insulator layer 14. In the present embodiments, active SiGe region 66 includes a patterned silicon germanium layer. In some embodiments, the active SiGe region 66 may comprise a non-patterned silicon germanium layer. Although a single active SiGe region 66 is described and illustrated, a plurality of active SiGe regions can be present atop the insulator layer 64.
  • The silicon germanium layer that provides the active silicon germanium region 66 can be formed utilizing any of the various embodiments of the present application including the one described in FIGS. 18 and 19 of the present application. Element 68 represents dielectric structures. In some embodiments, the dielectric structures 68 are formed prior to formation of the silicon germanium layer that provides the active silicon region 66 (as shown, for example, in FIGS. 18 and 19 above). In other embodiments, the silicon germanium layer that provides the active silicon germanium region 66 is formed first, and then the dielectric structures are formed by forming a trench (by lithography and etching) and filling the trench with a trench dielectric material.
  • In some embodiments (not shown), the active silicon germanium region 66 can be processed into a fin structure or a nanowire structure utilizing processes techniques well known to those skilled in the art. When a fin structure or nanowire structure is formed, the subsequently formed gate structure would straddle the fin structure or the nanowire structure.
  • The amorphous Si portion 80P can be formed utilizing one of the deposition processing mentioned above for forming amorphous Si layer 18. Amorphous Si portion 80P may or may not be hydrogenated. The amorphous Si portion 80P can have a thickness with the range mentioned above for amorphous Si layer 18.
  • Referring now to FIG. 25, there is illustrated the exemplary semiconductor structure of FIG. 24 after formation of a gate structure 70 on the amorphous Si layer portion 80P and formation of a gate dielectric spacer 78. In one embodiment, the gate structure 70 may be a functional gate structure, as defined above, and thus includes from bottom to top, gate dielectric portion 72, gate conductor portion 74 and gate cap portion 76. In another embodiment (not shown), gate structure 70 is a sacrificial gate structure which is replaced with a functional gate structure after subsequent formation of an embedded SiGe channel region in the active SiGe region 66. The gate dielectric spacer 78 used in this embodiment of the present applicant is the same as the gate dielectric spacer used in providing the structure shown in FIG. 21 of the present application.
  • Referring now to FIG. 26, there is illustrated the exemplary semiconductor structure of FIG. 25 after forming embedded SiGe channel region 86 in the active SiGe region 66 and directly beneath the gate structure 70 utilizing a thermal mixing process in accordance with an embodiment of the present application.
  • In accordance with the present application, thermal mixing is performed in this embodiment of the present application by annealing utilizing the conditions and ambient mentioned above in providing the structure shown in FIG. 3 of the present application. The amorphous Si portion 80P can be completely consumed by this process.
  • In accordance with this embodiment of the present application, embedded SiGe channel region 86 has a lower germanium content that that in the source/drain regions (to be subsequently formed and not shown herein). Such a structure will yield a smaller lattice parameter in the channel region and will thus experience compressive strain in the channel due to the higher germanium content surrounding the embedded SiGe channel region 86. This structure will result in a high mobility MOSFET.
  • While the present application has been particularly shown and described with respect to various embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present application. It is therefore intended that the present application not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (17)

What is claimed as new is:
1. A method of forming a silicon germanium-on-insulator (SGOI) material, said method comprising:
providing a structure comprising, from bottom to top, a silicon-on-insulator substrate and a germanium layer; and
converting said structure into a silicon germanium-on-insulator material by annealing, wherein during said annealing silicon atoms from a silicon layer of said silicon-on-insulator substrate intermix with germanium atoms in said germanium layer to form a silicon germanium layer.
2. The method of claim 1, wherein said silicon layer of said silicon-on-insulator substrate is single crystalline.
3. The method of claim 1, wherein said germanium layer is continuously present on a topmost surface of said silicon layer of said silicon-on-insulator substrate.
4. The method of claim 1, wherein said germanium layer has an epitaxial relationship with said silicon layer of said silicon-on-insulator substrate.
5. The method of claim 1, wherein said germanium layer is hydrogenated.
6. The method of claim 1, wherein said germanium layer is completely consumed by said annealing.
7. The method of claim 1, wherein said germanium layer is partially consumed by said annealing.
8. The method of claim 7, further comprising removing a remaining portion of said germanium layer.
9. The method of claim 1, further comprising forming a dielectric material on a surface of said germanium layer prior to said converting.
10. The method of claim 1, further comprising forming an amorphous region at an interface between said germanium layer and said silicon layer of said silicon-on-insulator substrate prior to said converting.
11. The method of claim 10, wherein said forming said amorphous region comprises ion implanting an amorphizing ion into said structure prior to said annealing.
12. The method of claim 11, wherein said amorphizing ion comprises Si or Ge.
13. The method of claim 11, wherein said ion implanting is performed at or below room temperature.
14. The method of claim 10, wherein said amorphous region is a continuously present between said germanium layer and said silicon layer of said silicon-on-insulator substrate.
15. The method of claim 10, wherein said annealing crystallizes said amorphous region.
16. The method of claim 1, wherein said annealing is a thermal anneal performed in hydrogen.
17. The method of claim 1, wherein said silicon germanium layer has a germanium content from greater than 50 atomic percent germanium to 90 atomic percent germanium.
US15/237,235 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing Abandoned US20160358774A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/237,235 US20160358774A1 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461939262P 2014-02-12 2014-02-12
US14/619,326 US9418870B2 (en) 2014-02-12 2015-02-11 Silicon germanium-on-insulator formation by thermal mixing
US15/237,235 US20160358774A1 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/619,326 Division US9418870B2 (en) 2014-02-12 2015-02-11 Silicon germanium-on-insulator formation by thermal mixing

Publications (1)

Publication Number Publication Date
US20160358774A1 true US20160358774A1 (en) 2016-12-08

Family

ID=53775547

Family Applications (5)

Application Number Title Priority Date Filing Date
US14/619,326 Active US9418870B2 (en) 2014-02-12 2015-02-11 Silicon germanium-on-insulator formation by thermal mixing
US15/237,260 Expired - Fee Related US10249737B2 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing
US15/237,235 Abandoned US20160358774A1 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing
US15/237,198 Expired - Fee Related US10396182B2 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing
US16/407,892 Abandoned US20190267475A1 (en) 2014-02-12 2019-05-09 Silicon germanium-on-insulator formation by thermal mixing

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/619,326 Active US9418870B2 (en) 2014-02-12 2015-02-11 Silicon germanium-on-insulator formation by thermal mixing
US15/237,260 Expired - Fee Related US10249737B2 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/237,198 Expired - Fee Related US10396182B2 (en) 2014-02-12 2016-08-15 Silicon germanium-on-insulator formation by thermal mixing
US16/407,892 Abandoned US20190267475A1 (en) 2014-02-12 2019-05-09 Silicon germanium-on-insulator formation by thermal mixing

Country Status (1)

Country Link
US (5) US9418870B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9876110B2 (en) 2014-01-31 2018-01-23 Stmicroelectronics, Inc. High dose implantation for ultrathin semiconductor-on-insulator substrates
US9768254B2 (en) * 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
US9812571B2 (en) * 2015-09-30 2017-11-07 International Business Machines Corporation Tensile strained high percentage silicon germanium alloy FinFETs
KR101889352B1 (en) * 2016-09-13 2018-08-20 한국과학기술연구원 Semicondutor device including strained germanium and method for manufacturing the same
FR3064398B1 (en) * 2017-03-21 2019-06-07 Soitec SEMICONDUCTOR TYPE STRUCTURE ON INSULATION, ESPECIALLY FOR A FRONT-SIDE TYPE IMAGE SENSOR, AND METHOD FOR MANUFACTURING SUCH STRUCTURE
US11791159B2 (en) * 2019-01-17 2023-10-17 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
US11373870B2 (en) * 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6169005B1 (en) * 1999-05-26 2001-01-02 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped amorphous silicon film during silicidation
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US20050054175A1 (en) * 2003-07-23 2005-03-10 Matthias Bauer Deposition of silicon germanium on silicon-on-insulator structures and bulk substrates
US20050093100A1 (en) * 2003-11-03 2005-05-05 International Business Machines Corporation Method for fabricating SiGe-on-insulator (SGOI) and Ge-on-insulator (GOI) substrates
US20050205934A1 (en) * 2002-06-07 2005-09-22 Amberwave Systems Corporation Strained germanium-on-insulator device structures
US20060042542A1 (en) * 2004-09-02 2006-03-02 International Business Machines Corporation Method of producing silicon-germanium-on-insulator material using unstrained Ge-containing source layers
US20060073663A1 (en) * 2004-09-29 2006-04-06 Toshihiko Iinuma Method of manufacturing semiconductor device
US20090011610A1 (en) * 2004-06-04 2009-01-08 International Business Machines Corporation Selective implementation of barrier layers to achieve treshold voltage control in cmos device fabrication with high k dielectrics
US20090061604A1 (en) * 2004-07-22 2009-03-05 Canon Kabushiki Kaisha Germanium substrate-type materials and approach therefor
US20090170295A1 (en) * 2007-12-27 2009-07-02 Commissariat A L'energie Atomique MANUFACTURING METHOD FOR A SEMI-CONDUCTOR ON INSULATOR SUBSTRATE COMPRISING A LOCALISED Ge ENRICHED STEP
US20100084613A1 (en) * 2006-12-13 2010-04-08 Wriota Pty Ltd. Semiconductor doping process
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
US20120313216A1 (en) * 2011-06-12 2012-12-13 International Business Machines Corporation Complementary bipolar inverter
US20140284769A1 (en) * 2013-03-20 2014-09-25 Commissariat à I'énergie atomique et aux énergies alternatives Method of forming a strained silicon layer

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461945B1 (en) * 2000-06-22 2002-10-08 Advanced Micro Devices, Inc. Solid phase epitaxy process for manufacturing transistors having silicon/germanium channel regions
US6661044B2 (en) * 2001-10-22 2003-12-09 Winbond Electronics Corp. Method of manufacturing MOSEFT and structure thereof
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7026249B2 (en) 2003-05-30 2006-04-11 International Business Machines Corporation SiGe lattice engineering using a combination of oxidation, thinning and epitaxial regrowth
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US6989058B2 (en) * 2003-09-03 2006-01-24 International Business Machines Corporation Use of thin SOI to inhibit relaxation of SiGe layers
US20060151787A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION
US8101474B2 (en) * 2010-01-06 2012-01-24 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
KR20120073727A (en) * 2010-12-27 2012-07-05 삼성전자주식회사 Semiconductor devices including strained semiconductor regions and methods of fabricating the same, and an electronic system including the same
US8691650B2 (en) * 2011-04-14 2014-04-08 International Business Machines Corporation MOSFET with recessed channel film and abrupt junctions
US9142566B2 (en) * 2013-09-09 2015-09-22 Freescale Semiconductor, Inc. Method of forming different voltage devices with high-K metal gate

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6169005B1 (en) * 1999-05-26 2001-01-02 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped amorphous silicon film during silicidation
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US20050205934A1 (en) * 2002-06-07 2005-09-22 Amberwave Systems Corporation Strained germanium-on-insulator device structures
US20050054175A1 (en) * 2003-07-23 2005-03-10 Matthias Bauer Deposition of silicon germanium on silicon-on-insulator structures and bulk substrates
US20050093100A1 (en) * 2003-11-03 2005-05-05 International Business Machines Corporation Method for fabricating SiGe-on-insulator (SGOI) and Ge-on-insulator (GOI) substrates
US20090011610A1 (en) * 2004-06-04 2009-01-08 International Business Machines Corporation Selective implementation of barrier layers to achieve treshold voltage control in cmos device fabrication with high k dielectrics
US20090061604A1 (en) * 2004-07-22 2009-03-05 Canon Kabushiki Kaisha Germanium substrate-type materials and approach therefor
US20060042542A1 (en) * 2004-09-02 2006-03-02 International Business Machines Corporation Method of producing silicon-germanium-on-insulator material using unstrained Ge-containing source layers
US20060073663A1 (en) * 2004-09-29 2006-04-06 Toshihiko Iinuma Method of manufacturing semiconductor device
US20100084613A1 (en) * 2006-12-13 2010-04-08 Wriota Pty Ltd. Semiconductor doping process
US20090170295A1 (en) * 2007-12-27 2009-07-02 Commissariat A L'energie Atomique MANUFACTURING METHOD FOR A SEMI-CONDUCTOR ON INSULATOR SUBSTRATE COMPRISING A LOCALISED Ge ENRICHED STEP
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
US20120313216A1 (en) * 2011-06-12 2012-12-13 International Business Machines Corporation Complementary bipolar inverter
US20140284769A1 (en) * 2013-03-20 2014-09-25 Commissariat à I'énergie atomique et aux énergies alternatives Method of forming a strained silicon layer

Also Published As

Publication number Publication date
US20160359023A1 (en) 2016-12-08
US9418870B2 (en) 2016-08-16
US10249737B2 (en) 2019-04-02
US20190267475A1 (en) 2019-08-29
US20150228501A1 (en) 2015-08-13
US20160351397A1 (en) 2016-12-01
US10396182B2 (en) 2019-08-27

Similar Documents

Publication Publication Date Title
US10396182B2 (en) Silicon germanium-on-insulator formation by thermal mixing
US9786666B2 (en) Method to form dual channel semiconductor material fins
US10522421B2 (en) Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10566349B2 (en) FinFET with stacked faceted S/D epitaxy for improved contact resistance
US10211341B2 (en) Tensile strained high percentage silicon germanium alloy FinFETS
US9761587B2 (en) Tall strained high percentage silicon germanium fins for CMOS
US20190198640A1 (en) Non-uniform gate dielectric for u-shape mosfet
US9502420B1 (en) Structure and method for highly strained germanium channel fins for high mobility pFINFETs
US10395996B2 (en) Method for forming a semiconductor structure containing high mobility semiconductor channel materials
US10304831B2 (en) Single source/drain epitaxy for co-integrating nFET semiconductor fins and pFET semiconductor fins
US9570403B2 (en) Secure chip with physically unclonable function
US9754941B2 (en) Method and structure to form tensile strained SiGe fins and compressive strained SiGe fins on a same substrate
US10559662B2 (en) Hybrid aspect ratio trapping
US9768262B2 (en) Embedded carbon-doped germanium as stressor for germanium nFET devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BEDELL, STEPHEN W.;DE SOUZA, JOEL P.;KIM, JEEHWAN;AND OTHERS;SIGNING DATES FROM 20150209 TO 20150210;REEL/FRAME:039437/0385

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052557/0327

Effective date: 20200306