US20160315008A1 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
US20160315008A1
US20160315008A1 US15/176,142 US201615176142A US2016315008A1 US 20160315008 A1 US20160315008 A1 US 20160315008A1 US 201615176142 A US201615176142 A US 201615176142A US 2016315008 A1 US2016315008 A1 US 2016315008A1
Authority
US
United States
Prior art keywords
gate structures
disposed
source
semiconductor device
conductive structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/176,142
Other versions
US9496176B1 (en
Inventor
Yu-Cheng Tung
En-Chiuan Liou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Marlin Semiconductor Ltd
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US15/176,142 priority Critical patent/US9496176B1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIOU, EN-CHIUAN, TUNG, YU-CHENG
Publication of US20160315008A1 publication Critical patent/US20160315008A1/en
Application granted granted Critical
Publication of US9496176B1 publication Critical patent/US9496176B1/en
Assigned to MARLIN SEMICONDUCTOR LIMITED reassignment MARLIN SEMICONDUCTOR LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UNITED MICROELECTRONICS CORPORATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Abstract

A semiconductor device includes a semiconductor structure, a plurality of gate structures, at least one source/drain structure, at least one trench, a dielectric pattern, and a conductive structure. The gate structures are disposed on the semiconductor structure. The source/drain structure is disposed between two adjacent gate structures. The trench is disposed between the two adjacent gate structures and corresponding to the source/drain structure. The dielectric pattern is disposed on sidewalls of the trench. The conductive structure is disposed in the trench and electrically connected to the source/drain structure. The conductive structure includes a first portion surrounded by the dielectric pattern and a second portion connected to the source/drain structure, and the first portion is disposed on the second portion. A width of the first portion is smaller than a width of the second portion.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a division of application Ser. No. 14/691,586 filed on Apr. 21, 2015, now allowed, which is incorporated by reference herein in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor device, and more particularly, to a semiconductor device including a self-aligned conductive structure.
  • 2. Description of the Prior Art
  • The development of semiconductor integrated circuit technology progresses continuously and circuit designs in products of the new generation become smaller and more complicated than those of the former generation. The amount and the density of the functional devices in each chip region are increased constantly according to the requirements of innovated products, and the size of each device has to become smaller accordingly. For example, in field effect transistors, the spacing between gate lines becomes smaller for enhancing the integrity of the integrated circuit. However, it is difficult to form conductive plugs in the extremely small space between the gate lines because of the exposure limitation of the photolithography process, and mis-alignments occurred in the photolithography process for forming the conductive plugs between the gate lines may result in yield loss because the process window is too limited.
  • SUMMARY OF THE INVENTION
  • According to the claimed invention, a semiconductor device is provided. The semiconductor device includes a semiconductor structure, a plurality of gate structures, at least one source/drain structure, at least one trench, a dielectric pattern, and a conductive structure. The gate structures are disposed on the semiconductor structure. The source/drain structure is disposed between two adjacent gate structures. The trench is disposed between the two adjacent gate structures and corresponding to the source/drain structure. The dielectric pattern is disposed on sidewalls of the trench. The conductive structure is disposed in the trench and electrically connected to the source/drain structure. The conductive structure includes a first portion surrounded by the dielectric pattern and a second portion connected to the source/drain structure, and the first portion is disposed on the second portion. A width of the first portion is smaller than a width of the second portion.
  • According to the manufacturing method of the conductive structure in the semiconductor device, the conductive structure between the gate structures may be formed and self-aligned because the air void is formed in the space between the gate structures before the opening penetrating the second dielectric layer is formed. The manufacturing yield and the process window may be enhanced accordingly.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-9 are schematic drawings illustrating a manufacturing method of a conductive structure in a semiconductor device according to a first embodiment of the present invention, wherein
  • FIG. 2 is a schematic top view drawing of FIG. 1,
  • FIG. 3 is a schematic drawing in a step subsequent to FIG. 1,
  • FIG. 4 is a schematic drawing in a step subsequent to FIG. 3,
  • FIG. 5 is a schematic drawing in a step subsequent to FIG. 4,
  • FIG. 6 is a schematic drawing illustrating a position relation between a first open pattern and second open patterns,
  • FIG. 7 is a schematic drawing in a step subsequent to FIG. 5,
  • FIG. 8 is a schematic drawing in a step subsequent to FIG. 7, and
  • FIG. 9 is a schematic cross-sectional drawing taken along a line A-A′ in FIG. 8.
  • FIG. 10 is a schematic drawing illustrating a manufacturing method of a conductive structure in a semiconductor device according to a second embodiment of the present invention.
  • FIGS. 11-13 are schematic drawings illustrating a manufacturing method of a conductive structure in a semiconductor device according to a third embodiment of the present invention, wherein
  • FIG. 12 is a schematic drawing in a step subsequent to FIG. 11, and
  • FIG. 13 is a schematic drawing in a step subsequent to FIG. 12.
  • FIG. 14 is a schematic drawing illustrating a manufacturing method of a conductive structure in a semiconductor device according to a fourth embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Please refer to FIGS. 1-9. FIGS. 1-9 are schematic drawings illustrating a manufacturing method of a conductive structure in a semiconductor device according to a first embodiment of the present invention. The manufacturing method of the conductive structure in this embodiment includes the following steps. As shown in FIG. 1 and FIG. 2, a semiconductor structure 11 is formed on a substrate 10. The substrate 10 may include a silicon substrate, an epitaxial silicon substrate, a silicon germanium substrate, a silicon carbide substrate, or a silicon-on-insulator (SOI) substrate, but not limited thereto. The semiconductor structure 11 may be a semiconductor layer, a semiconductor fin structure, or other appropriate semiconductor structures. A plurality of gate structures 12 are formed on the semiconductor structure 11. In this embodiment, the gate structures 12 may include gate lines extending in a first direction D1, but not limited thereto. The gate structures 12 are disposed parallel to one another and repeatedly in a second direction D2. The first direction D1 is substantially perpendicular to the second direction D2, but not limited thereto. A first dielectric layer 15 is formed in space SP between the gate structures 12. In this embodiment, the gate structures 12 may include metal gate structures formed by a replacement metal gate process, but not limited thereto. A gate insulating layer (not shown) may be disposed between the metal gate structures and the semiconductor structure 11. In addition, sidewall spacers 12S may be formed on two sidewalls of each gate structure 12 in the second direction D2, at least one source/drain structure 13 may be formed between two adjacent gate structures 12, and a contact etching stop layer 14 may be selectively formed in the space SP between the gate structures 12 and cover the source/drain structure 13. The material of the sidewall spacers 12S may include silicon nitride (SiN), silicon carbide nitride (SiCN), silicon-carbon-oxy-nitride (SiCON), or other appropriate insulating materials. The material of the contact etching stop layer 14 may include silicon nitride (SiN) or other appropriate insulating materials. In this embodiment, the source/drain structure 13 may include an epitaxial layer, a silicide layer, a doped region in the semiconductor structure 11, or other appropriate types of source/drain structures. The contact etching stop layer 14 covers the source/drain structure 13 and partially disposed between the source/drain structure 13 and the first dielectric layer 15 in a vertical direction D3. Additionally, a protection layer 12C may be selectively formed on the gate structure 12, and a part of the sidewall spacers 12S may be formed on two sidewalls of the protection layer 12C in the second direction D2, but not limited thereto.
  • As shown in FIGS. 1-3, a first process is then performed to remove at least a part of the first dielectric layer 15 in the space SP between the gate structures 12. Specifically, the first process may include forming a first patterned mask 16 on the gate structures 12, and the first patterned mask 16 includes at least one first open pattern 16H corresponding to a plurality of the spaces SP between the gate structures 12. For example, as shown in FIG. 1 and FIG. 2, the first open pattern 16H is formed corresponding to three spaces SP between the gate structures 12, and the first dielectric layers 15 in these three spaces SP are exposed by the first open pattern 16H. The first patterned mask 16 may be a patterned photoresist layer formed by a photolithography process, but not limited thereto. Subsequently, an etching process may be performed to remove the first dielectric layers 15 in the spaces SP exposed by the first patterned mask 16. The etching process in the first process may include an anisotropic etching process or an isotropic etching process. Preferably, the etching process in the first process may be a wet etching process with appropriate etching selectivity, so as to avoid damaging other parts which are not intended to be etched in the first process, such as the protection layer 12C and the contact etching stop layer 14, but not limited thereto. Additionally, the first dielectric layer 15 may be a spin-on glass (SOG) material preferably, and the SOG material may then be quickly removed by the wet etching process mentioned above. The etching selectivity of the wet etching process for the SOG material to the metal material of the gate structure 12 may range between 1:100 and 1:500, and the etching selectivity of the wet etching process for the SOG material to silicon oxide may range between 1:15 and 1:40, but not limited thereto. Therefore, the contact etching stop layer 14 still covers the source/drain structure 13 after the first process preferably.
  • As shown in FIGS. 1-3, the first open pattern 16H in the first patterned mask 16 is formed corresponding to a plurality of the spaces SP between the gate structures 12. Therefore, when the dimension of the space SP between the gate structures 12 becomes extremely small and narrow, the first open pattern 16H in the first patterned mask 16 may still be relatively large and that is a benefit for the process forming the first patterned mask 16. For example, a photolithography process with relatively lower exposure resolution may be applied to form the first patterned mask 16. Additionally, the first open pattern 16H is not necessary to be exactly aligned with the spaces SP, and the first open pattern 16H may expose a part of the protection layer 12C on the gate structure 12. The alignment tolerance of the process forming the first patterned mask 16 may become larger, and the process window may be improved accordingly.
  • The first patterned mask 16 is then removed. As shown in FIG. 4, a second dielectric layer 17 is then formed and covers the gate structures 12 so as to form at least one air void V in the space SP between the gate structures 12. The air void V is formed above and corresponding to the source/drain structure 13. The second dielectric layer 17 may be a single layer or a multiple-layered structure, and the materials or/and the forming process of the second dielectric layer 17 may be modified for presenting worse gap fill ability. For example, the second dielectric layer 17 may be formed by chemical vapor deposition for keeping the air void V in the space SP, but not limited thereto.
  • As shown in FIGS. 5-7, a second process is then performed to form at least one opening 17H penetrating the second dielectric layer 17 and exposing the air void V. The material of the second dielectric layer 17 may include silicon nitride (SiN), silicon oxynitride (SiON) or other appropriate insulating materials. Specifically, the second process may include forming a second patterned mask 18 on the second dielectric layer 17, and the second patterned mask 18 includes a plurality of second open patterns 18H. Each of the second open patterns 18H is formed corresponding to only one of the air voids V in the space SP between the gate structures 12. In other words, each of second open patterns 18H overlaps only one air void V and only one space SP between the gate structures 12. The first open pattern in the first patterned mask mentioned before may completely overlap at least two of the second open patterns 18H. For example, as shown in FIG. 6, in a position comparison between the first open pattern 16H and second open patterns 18H, the first open pattern 16H completely overlaps three of the second open patterns 18H, but the present invention is not limited to this. In other embodiments of the present invention, the first open pattern 16H may partially overlap a plurality of the second open patterns 18H. The second patterned mask 18 may be a patterned photoresist layer formed by a photolithography process, but not limited thereto. Photolithography processes with different exposure resolutions may be applied to form the second patterned mask 18 and the first patterned mask mentioned above respectively. For example, the first process may include a first exposure process for forming the first patterned mask 16 in FIG. 1, and the second process may include a second exposure process for forming the second patterned mask 18. A wavelength of light used in the second exposure process may be shorter than a wavelength of light used in the first exposure process, but not limited thereto. For instance, a deep ultraviolet (DUV) light source (a wavelength of 193 nm) may be applied in the first exposure process, and an extreme ultraviolet (EUV) light source (a wavelength of 13.5 nm) may be applied in the second exposure process, but not limited thereto. In other embodiments of the present invention, the second patterned mask 18 may also be formed by other methods such as a multiple patterning by DUV, or an e-beam maskless lithography. The dimension of the air void V in the second direction D2 may be smaller than the minimum resolution of the exposure process of forming the gate structures 12 because the sidewall spacers 12S and the contact etching stop layer 14 are also formed in the space SP between the gate structures 12, the dimension of the second open pattern 18H will be larger than or equal to the minimum resolution of the second exposure process, and that is benefit for filling conductive materials self-aligned in the air void V. Additionally, the opening 17H may have tapered sidewalls for filling conductive materials in the air void V more easily.
  • As shown in FIG. 7, after the step of forming the opening 17H, the second process may include an etching process configured to remove at least a part of the contact etching stop layer 14 and exposing a part of the source/drain structure 13. In the second process of this embodiment, one or multiple etching processes may be used to form the opening 17H and remove a part of the contact etching stop layer 14 respectively.
  • As shown in FIG. 7 and FIG. 8, the air void V exposed by the opening 17H is then filled with at least one conductive material 19 for forming a conductive structure 19P between the gate structures 12 in the second direction D2. The conductive structure 19P contacts and is electrically connected to the source/drain structure 13 after the conductive structure 19P is formed. Because the air void V is formed above the source/drain structure 13, the conductive structure 19P formed in the air void V will be self-aligned to the source/drain structure 13. The second patterned mask 18 may be removed before or after the step of forming the conductive structure 19P. The second dielectric layer 17 may also be partially or completely removed by a process such as a chemical mechanical polishing (CMP) process after the step of forming the conductive structure 19P. In addition, the conductive material 19 in the opening 17H may have a width wider than that of the conductive material 19 in the space SP, and other interconnect structures may be formed on the conductive structure 19P more easily, but not limited thereto.
  • As shown in FIG. 8 and FIG. 9, a semiconductor device 100 is formed by the above-mentioned manufacturing method. In the semiconductor device 100, the conductive structure 19P extends in the first direction D1. In other words, the conductive structure 19P extends in a direction parallel to the gate structures 12. A length of the conductive structure 19P in the first direction D1 is smaller than a length of each of the gate structures 12 in the first direction D1. When the semiconductor structure 11 is a semiconductor fin structure and the gate structures 12 are gate lines, the semiconductor device 100 may be regarded as a fin field effect transistor, but the present invention is not limited to this. By the manufacturing method of this embodiment, the self-aligned conductive structure 19P may be formed in the extremely small space SP between the gate structures 12. The manufacturing yield and the process window may be enhanced accordingly.
  • Please refer to FIG. 10 and FIG. 7. FIG. 10 is a schematic drawing illustrating a manufacturing method of a conductive structure in a semiconductor device according to a second embodiment of the present invention. FIG. 7 may also be regarded as a schematic drawing in a step subsequent to FIG. 10. As shown in FIG. 10, the difference between the manufacturing method of this embodiment and the manufacturing method of the above-mentioned first embodiment (as shown in FIG. 5) is that only a part of the first dielectric layer 15 in the space SP between the gate structures 12 is removed by the first process, and the second process includes an etching process configured to remove the first dielectric layer 15 remained in the space SP between the gate structures 12 after the first process and expose a part of the source/drain structure 13. As shown in FIG. 10 and FIG. 7, in the second process of this embodiment, one or multiple etching processes may be used to form the opening 17H, remove a part of the contact etching stop layer 14, and remove the first dielectric layer 15 remained in the space SP between the gate structures 12 after the first process respectively. In other words, the first dielectric layer 15 in the space SP between the gate structures 12 is not necessary to be completely removed by the first process, and the process window of the first process may be enhanced accordingly.
  • Please refer to FIGS. 11-13 and FIG. 9. FIGS. 11-13 are schematic drawings illustrating a manufacturing method of a conductive structure in a semiconductor device according to a third embodiment of the present invention. FIG. 9 may be regarded as a schematic cross-sectional drawing taken along a line B-B′ in FIG. 13. As shown in FIG. 11, the difference between the manufacturing method of this embodiment and the manufacturing method of the above-mentioned second embodiment is that a part of the second dielectric layer 17 is further formed in the space SP between the gate structures 12. The air void V in the space SP may be surrounded by the second dielectric layer 17, but not limited thereto.
  • Subsequently, as shown in FIGS. 11-12, the second dielectric layer 17 is remained in the space SP between the gate structures 12 after the step of forming the openings 17H. It is worth noting that the remained second dielectric layer 17 may be used as a mask in the etching process configured to remove the first dielectric layer 15 remained in the space SP between the gate structures 12 after the first process and expose a part of the source/drain structure 13, but not limited thereto. The second dielectric layer 17 is remained in the space SP may be regarded as a dielectric pattern 17P formed on sidewalls SW of a trench TR formed by the contact etching stop layer 14 and the source/drain structure 13.
  • As shown in FIGS. 12-13, the air void V exposed by the opening 17H is then filled with the conductive material 19 for forming the conductive structure 19P between the gate structures 12 in the second direction D2. Because the air void V is formed above the source/drain structure 13, the conductive structure 19P formed in the air void V will be self-aligned to the source/drain structure 13. The second dielectric layer 17 is remained in the space SP between the gate structures 12 after the second process and the conductive structure 19P is formed, and the second dielectric layer 17 remained in the space SP surrounds at least a part of the conductive structure 19P.
  • As shown in FIG. 13, a semiconductor device 200 is formed by the manufacturing method of this embodiment. The semiconductor device 200 includes the semiconductor structure 11, a plurality of the gate structures 12, a plurality of the source/drain structures 13, a plurality of the trenches TR, a plurality of the dielectric patterns 17P, and a plurality of the conductive structure 19P. The gate structures 12 are disposed on the semiconductor structure 11. Each of the source/drain structures 13 is disposed between two adjacent gate structures 12. Each of the trenches TR is disposed between two adjacent gate structures 12 and corresponding to one of the source/drain structures 13. Each of the dielectric patterns is disposed on the sidewalls SW of the corresponding trench TR. Each of the conductive structure is disposed in the corresponding trench TR and electrically connected to the corresponding source/drain structure 13. Each of the conductive structures 19P includes a first portion P1 surrounded by the dielectric pattern 17P and a second portion P2 connected to the source/drain structure 13, and the first portion P1 is disposed on the second portion P2. In this embodiment, a first width W1 of the first portion P1 in the second direction D2 is smaller than a second width W2 of the second portion P2. In other words, the dielectric pattern 17P in the trench TR only surrounds an upper part of the conductive structures 19P in the trench TR, but the present invention is not limited to this. In other embodiments of the present invention, the dielectric pattern 17P formed by the second dielectric layer 17 in the trench TR may completely surround the conductive structures 19P in the trench TR by removing all of the first dielectric layer in the first process mentioned above. The semiconductor device 200 may further includes a plurality of the sidewall spacers 12S and the contact etching stop layer 14. The sidewall spacers 12S are disposed on sidewalls of each of the gate structures 12, and the contact etching stop layer 14 is disposed on a side surface of the sidewall spacer 12S. The contact etching stop layer 14 is disposed above the source/drain structure 13 in the space SP between the gate structures 12. In this embodiment, first bottom surfaces B1 of the sidewall spacers 12S directly contact the semiconductor structure 11, and a second bottom surface B2 of the contact etching stop layer 14 directly contacts the source/drain structure 13. The dielectric pattern 17P in the space SP is disposed on a side surface of the contact etching stop layer 14, and a third bottom surface B3 of the dielectric pattern 17P directly contacts the conductive structure 19P and is separated from the source/drain structure 13, but not limited thereto.
  • As shown in FIG. 13 and FIG. 9, in the semiconductor device 200, the gate structures 12 are disposed parallel to one another and repeatedly in a second direction D2. The conductive structure 19P extends in the first direction D1. In other words, the conductive structure 19P extends in a direction parallel to the gate structures 12. A length of the conductive structure 19P in the first direction D1 is smaller than a length of each of the gate structures 12 in the first direction D1. When the semiconductor structure 11 is a semiconductor fin structure and the gate structures 12 are gate lines, the semiconductor device 200 may be regarded as a fin field effect transistor, but the present invention is not limited to this.
  • Please refer to FIG. 14. FIG. 14 is a schematic drawing illustrating a manufacturing method of a conductive structure in a semiconductor device 300 according to a fourth embodiment of the present invention. As shown in FIG. 14, the difference between the manufacturing method of this embodiment and the manufacturing method of the above-mentioned third embodiment is that an upper part of the sidewall spacers 12S, an upper part of the contact etching stop layer 14, and an upper part of the second dielectric layer 17 in the space SP between the gate structures 12 are removed in the second process. Therefore, the conductive structure 19P subsequently formed may further include a third portion P3 disposed above the first portion P1 in the space SP between the gate structures 12. The third portion P3 may have a half-moon or crescent shape, and a width of the third portion in the second direction D2 may be larger than a width of the second portion P2, but not limited thereto.
  • To summarize the above descriptions, in the manufacturing method of the conductive structure in the semiconductor device, the conductive structure between the gate structures is formed and self-aligned to the source/drain structure because the air void is formed in the space between the gate structures before the opening penetrating the second dielectric layer is formed. The manufacturing yield and the process window may be enhanced accordingly.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (5)

What is claimed is:
1. A semiconductor device, comprising:
a semiconductor structure;
a plurality of gate structures disposed on the semiconductor structure,
at least one source/drain structure disposed between two adjacent gate structures;
at least one trench disposed between the two adjacent gate structures and corresponding to the source/drain structure;
a dielectric pattern disposed on sidewalls of the trench; and
a conductive structure disposed in the trench and electrically connected to the source/drain structure, wherein the conductive structure comprises an first portion surrounded by the dielectric pattern and a second portion connected to the source/drain structure, and the first portion is disposed on the second portion, wherein a width of the first portion is smaller than a width of the second portion.
2. The semiconductor device of claim 1, further comprising a plurality of sidewall spacers disposed on sidewalls of each of the gate structures, wherein bottom surfaces of the sidewall spacers contact the semiconductor structure.
3. The semiconductor device of claim 2, further comprising at least one contact etching stop layer disposed on a side surface of the sidewall spacer, wherein a bottom surface of the contact etching stop layer contacts the source/drain structure.
4. The semiconductor device of claim 3, wherein the dielectric pattern is disposed on a side surface of the contact etching stop layer.
5. The semiconductor device of claim 1, wherein a length of the conductive structure is smaller than a length of each of the gate structures.
US15/176,142 2015-04-21 2016-06-07 Semiconductor device Active US9496176B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/176,142 US9496176B1 (en) 2015-04-21 2016-06-07 Semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/691,586 US9397008B1 (en) 2015-04-21 2015-04-21 Semiconductor device and manufacturing method of conductive structure in semiconductor device
US15/176,142 US9496176B1 (en) 2015-04-21 2016-06-07 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/691,586 Division US9397008B1 (en) 2015-04-21 2015-04-21 Semiconductor device and manufacturing method of conductive structure in semiconductor device

Publications (2)

Publication Number Publication Date
US20160315008A1 true US20160315008A1 (en) 2016-10-27
US9496176B1 US9496176B1 (en) 2016-11-15

Family

ID=56381724

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/691,586 Active US9397008B1 (en) 2015-04-21 2015-04-21 Semiconductor device and manufacturing method of conductive structure in semiconductor device
US15/176,142 Active US9496176B1 (en) 2015-04-21 2016-06-07 Semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/691,586 Active US9397008B1 (en) 2015-04-21 2015-04-21 Semiconductor device and manufacturing method of conductive structure in semiconductor device

Country Status (2)

Country Link
US (2) US9397008B1 (en)
TW (1) TWI641134B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190131436A1 (en) * 2017-10-30 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method of forming the same
US20190165124A1 (en) * 2017-11-29 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for Forming Source/Drain Contacts
US20190221650A1 (en) * 2018-01-17 2019-07-18 Globalfoundries Inc. Middle of line structures

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366988B2 (en) 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9831346B1 (en) * 2016-07-27 2017-11-28 GlobalFoundries, Inc. FinFETs with air-gap spacers and methods for forming the same
US10164111B2 (en) * 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10755972B2 (en) * 2016-11-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN111092047B (en) 2018-10-23 2022-09-27 联华电子股份有限公司 Semiconductor device and method for manufacturing the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5949143A (en) 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
KR100620155B1 (en) * 1998-07-15 2006-09-04 인피니언 테크놀로지스 아게 Storage cell system in which an electric resistance of a storage element represents an information unit and can be influenced by a magnetic field, and method for producing same
US6476439B2 (en) * 2001-03-01 2002-11-05 United Microelectronics Corp. Double-bit non-volatile memory structure and corresponding method of manufacture
KR100625126B1 (en) * 2005-08-16 2006-09-15 삼성전자주식회사 Semiconductor device and method of manufacturing the same
JP5605975B2 (en) * 2007-06-04 2014-10-15 ピーエスフォー ルクスコ エスエイアールエル Semiconductor device, manufacturing method thereof, and data processing system
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
DE102008059499A1 (en) 2008-11-28 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Microstructure device having a metallization structure with air gaps, which are made together with contact bushings
KR101074232B1 (en) * 2009-06-24 2011-10-14 주식회사 하이닉스반도체 Semiconductor device and manufacturing method thereof
US8558305B2 (en) * 2009-12-28 2013-10-15 Stmicroelectronics S.R.L. Method for manufacturing a power device being integrated on a semiconductor substrate, in particular having a field plate vertical structure and corresponding device
KR101185988B1 (en) * 2009-12-30 2012-09-25 에스케이하이닉스 주식회사 Method of fabricating a landing plug contact in semiconductor memory device
JP2011192841A (en) * 2010-03-15 2011-09-29 Toshiba Corp Semiconductor device
US8546239B2 (en) * 2010-06-11 2013-10-01 Sandisk Technologies Inc. Methods of fabricating non-volatile memory with air gaps
KR20120010642A (en) * 2010-07-22 2012-02-06 삼성전자주식회사 Nonvolatile memory device, and methods for manufacturing and driving the same
CN102468226B (en) * 2010-11-18 2014-08-20 中国科学院微电子研究所 Semiconductor structure and making method thereof
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US9076715B2 (en) * 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US9006804B2 (en) * 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9548238B2 (en) 2013-08-12 2017-01-17 Globalfoundries Inc. Method of manufacturing a semiconductor device using a self-aligned OPL replacement contact and patterned HSQ and a semiconductor device formed by same
US8999799B2 (en) 2013-08-29 2015-04-07 International Business Machines Corporation Maskless dual silicide contact formation
KR102185661B1 (en) * 2014-02-07 2020-12-02 삼성전자주식회사 Semiconductor device having a bit line structure and a storage contact plug
US9312182B2 (en) * 2014-06-11 2016-04-12 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
US9401309B2 (en) * 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190131436A1 (en) * 2017-10-30 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method of forming the same
US10847634B2 (en) * 2017-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of forming the same
US20190165124A1 (en) * 2017-11-29 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for Forming Source/Drain Contacts
US10651287B2 (en) * 2017-11-29 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US11769817B2 (en) 2017-11-29 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US20190221650A1 (en) * 2018-01-17 2019-07-18 Globalfoundries Inc. Middle of line structures
CN110047829A (en) * 2018-01-17 2019-07-23 格芯公司 Middle section process structure
US10580875B2 (en) * 2018-01-17 2020-03-03 Globalfoundries Inc. Middle of line structures
TWI699865B (en) * 2018-01-17 2020-07-21 美商格芯(美國)集成電路科技有限公司 Middle of line structures
US10978566B2 (en) 2018-01-17 2021-04-13 Globalfoundries U.S. Inc. Middle of line structures

Also Published As

Publication number Publication date
TW201639156A (en) 2016-11-01
TWI641134B (en) 2018-11-11
US9397008B1 (en) 2016-07-19
US9496176B1 (en) 2016-11-15

Similar Documents

Publication Publication Date Title
US9496176B1 (en) Semiconductor device
US11094825B2 (en) FinFET device with fins of non-uniform width
TWI682467B (en) Fabricating method of fin field effect transistor, semiconductor device and fabricating method thereof
KR101730709B1 (en) Self-aligned nanowire formation using double patterning
US9214384B2 (en) Method of forming trench in semiconductor substrate
US9780199B2 (en) Method for forming semiconductor device
US9583594B2 (en) Method of fabricating semiconductor device
KR100877111B1 (en) Method for fabricating small pattern
US9123659B1 (en) Method for manufacturing finFET device
TW201814796A (en) Method for manufacturing FinFET structure
US10818660B2 (en) Semiconductor device
US10050129B2 (en) Method of forming fine patterns
US10923402B2 (en) Semiconductor device and method of manufacturing the same
US9564371B2 (en) Method for forming semiconductor device
US8850369B2 (en) Metal cut process flow
US20140342553A1 (en) Method for Forming Semiconductor Structure Having Opening
KR100726148B1 (en) Manufacturing method for semiconductor device
US9196524B2 (en) Manufacturing method of semiconductor device
US10403734B2 (en) Semiconductor device with reduced gate height budget
US9653345B1 (en) Method of fabricating semiconductor structure with improved critical dimension control
US10522415B1 (en) Semiconductor device
US9070688B2 (en) Method of patterning a semiconductor device having improved spacing and shape control and a semiconductor device
US20180082852A1 (en) Fin patterning for a fin-type field-effect transistor
US10049877B1 (en) Patterning method
KR20070016276A (en) Method of forming line-typed patters of high density in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TUNG, YU-CHENG;LIOU, EN-CHIUAN;REEL/FRAME:038837/0817

Effective date: 20150417

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: MARLIN SEMICONDUCTOR LIMITED, IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UNITED MICROELECTRONICS CORPORATION;REEL/FRAME:056991/0292

Effective date: 20210618