US20160225666A1 - Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines - Google Patents

Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines Download PDF

Info

Publication number
US20160225666A1
US20160225666A1 US14/608,377 US201514608377A US2016225666A1 US 20160225666 A1 US20160225666 A1 US 20160225666A1 US 201514608377 A US201514608377 A US 201514608377A US 2016225666 A1 US2016225666 A1 US 2016225666A1
Authority
US
United States
Prior art keywords
line
recess
lines
hard mask
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/608,377
Other versions
US9412655B1 (en
Inventor
Guillaume Bouche
Jason E. Stephens
Vikrant Chauhan
Andy C. Wei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Alsephina Innovations Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US14/608,377 priority Critical patent/US9412655B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WEI, ANDY C., BOUCHE, GUILLAUME, CHAUHAN, VIKRANT, STEPHENS, JASON E.
Publication of US20160225666A1 publication Critical patent/US20160225666A1/en
Application granted granted Critical
Publication of US9412655B1 publication Critical patent/US9412655B1/en
Assigned to ALSEPHINA INNOVATIONS INC. reassignment ALSEPHINA INNOVATIONS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Definitions

  • the present disclosure generally relates to the fabrication of semiconductor devices, and, more particularly, to forming merged lines in a metallization layer.
  • metallization layers i.e., the wiring layers including metal lines and vias for providing the electrical connection of the circuit elements according to a specified circuit layout
  • metallization layers are formed by embedding copper lines and vias in a dielectric layer stack.
  • the well-established and well-known dielectric materials silicon dioxide (k ⁇ 4.2) and silicon nitride (k>7) may increasingly be replaced by so-called low-k dielectric materials having a relative permittivity of approximately 3.0 and less.
  • Copper lines and vias are typically formed by performing well-known damascene (single or dual) processes whereby trenches or openings are formed in a layer of insulating material.
  • barrier layers are deposited in the trenches or openings followed by over-filling the trenches or openings with copper material.
  • a planarization process is performed to remove the excess materials above the insulating material, thereby leaving the resulting line or via positioned in the previously formed trench or opening.
  • the width of the lines is typically limited by the photolithography processes used to pattern the trenches in the layer of insulating material.
  • the lines are formed of a material that may be directly patterned, e.g., tungsten, the width of the patterned lines is still limited by photolithography processes.
  • a large number of evenly spaced lines are typically formed in a regular pattern.
  • the width of each line and the pitch between lines is determined by the patterning process.
  • SADP self-aligned double patterning
  • a hard mask layer is formed above a dielectric layer and a plurality of mandrel line elements is formed above the hard mask layer.
  • Spacers are formed on sidewalls of the mandrel and the mandrel is removed, leaving the spacers as an etch mask for patterning the hard mask layer.
  • the pitch of the spacers is effectively double that of the mandrel elements.
  • Another technique referred to as self-aligned quadruple patterning (SAQP) forms another set of spacers and removes the first set, effectively quadrupling the pitch of the mandrel elements.
  • the patterned hard mask layer is used to etch trenches in the underlying dielectric layer, and the trenches are filled with metal to form the interconnect lines.
  • the present disclosure is directed to various methods of forming merged lines in a metallization layer that may avoid, or at least reduce, the effects of one or more of the problems identified above.
  • a method includes, among other things, forming a plurality of sacrificial lines embedded in a first dielectric layer formed above a substrate.
  • a hard mask layer is formed above the first dielectric layer and the plurality of sacrificial lines.
  • a line merge opening and a line cut opening are formed in the hard mask layer. Portions of the first dielectric layer exposed by the line merge opening are removed to define a line merge recess.
  • a portion of a selected sacrificial line exposed by the line cut opening is removed to define a line cut recess between first and second segments of the selected sacrificial line.
  • a second dielectric layer is formed in the line cut recess.
  • the hard mask is removed.
  • the plurality of sacrificial lines is replaced with a conductive material to define at least one line having third and fourth segments in locations previously occupied by the first and second segments, respectively, of the selected sacrificial line and to define a line-merging conductive structure in the line merge recess.
  • Another illustrative method includes, among other things, forming a plurality of sacrificial lines embedded in a first dielectric layer formed above a substrate.
  • a hard mask layer is formed above the first dielectric layer and the plurality of sacrificial lines.
  • First and second openings are formed in the hard mask layer.
  • a spacer layer is formed above the hard mask layer and at least partially in the first and second openings. The spacer layer is removed in the first opening while leaving a remainder portion of the spacer layer disposed in the second opening. Portions of the first dielectric layer exposed by the first opening are removed to define a line merge recess. The remainder portion of the spacer layer disposed in the second opening is removed.
  • a portion of a selected sacrificial line exposed by the second opening is removed to define a line cut recess between first and second segments of the selected sacrificial line.
  • a second dielectric layer is formed in the line cut recess.
  • the plurality of sacrificial lines is replaced with a conductive material to define at least one line having third and fourth segments in locations previously occupied by the first and second segments, respectively, of the selected sacrificial line and to define a line-merging conductive structure in the line merge recess.
  • FIGS. 1A-1Q are top views of a device depicting various illustrative methods disclosed herein for forming merged lines and cut lines;
  • FIGS. 2A-2Q are cross-sectional views of the device corresponding to FIGS. 1A-1Q .
  • the present disclosure generally relates to various methods of forming merged lines in a metallization layer. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the present method is applicable to a variety of devices, including, but not limited to, logic devices, memory devices, etc. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 1A-1Q and 2A-2Q illustrate a method for forming merged lines and cut lines in a device 100 using a combined etch mask.
  • FIG. 1A shows a top view of the device 100 and FIG. 2A shows a corresponding cross-sectional view of the device 100 taken along line 2 A in FIG. 1A .
  • the device 100 includes a substrate 105 .
  • a device layer 110 is disposed above the substrate 105 .
  • Semiconductor-based circuit elements such as transistors, resistors, capacitors, etc., may be formed in and above the substrate 105 .
  • the device layer 110 also typically includes conductive contacts that interface with these circuit elements. For convenience, any such circuit elements and contacts are not shown in FIG. 2A .
  • the substrate 105 may also include any appropriate microstructure features, such as micromechanical components, optoelectronic components and the like, wherein at least some of these components may require an interconnect structure formed in a metallization system.
  • a dielectric layer 115 is formed above the device layer 110 (e.g., in a Metal 1 (M1) layer).
  • the dielectric layer 115 may be a low-k dielectric material having a dielectric constant of approximately 3.0 or lower or an ultra-low-k (ULK) material having a dielectric constant of approximately 2.5 or lower.
  • the dielectric layer 115 may be SiOC.
  • Conductive lines 120 e.g., copper
  • a cap layer 125 e.g., silicon nitride
  • the conductive lines 120 may include multiple layers, such as one or more barrier layers (e.g., Ta, TaN, TiN, etc.) to prevent migration of any metal in the conductive lines 120 into the dielectric layer 115 , a metal seed layer (e.g., copper), and a metal fill material (e.g., copper).
  • barrier layers e.g., Ta, TaN, TiN, etc.
  • FIGS. 1B and 2B illustrate a top view and a cross-section view (along line 2 B), respectively, of the device 100 after a plurality of processes were performed so as to form a set of sacrificial lines 165 , each having a cap layer 170 (e.g., silicon nitride) formed thereabove.
  • the sacrificial lines 165 are oriented perpendicularly with respect to the conductive lines 120 illustrated in FIG. 1A .
  • the sacrificial lines 165 may be formed by patterning a layer of sacrificial material (e.g., amorphous silicon) using the cap layer 170 as a hard mask for a patterning process (e.g., self-aligned double patterning (SADP), self-aligned quad patterning (SAQD), or directed self-assembly material patterning), the specifics of which are known to those of ordinary skill in the art.
  • a patterning process e.g., self-aligned double patterning (SADP), self-aligned quad patterning (SAQD), or directed self-assembly material patterning
  • FIGS. 1C and 2C illustrate a top view and a cross-section view (along line 2 C), respectively, of the device 100 after a plurality of processes were performed so as to deposit a second dielectric layer 175 (e.g., in a Metal 2 (M2) layer) above the sacrificial lines 165 , and to planarize the second dielectric layer 175 using the cap layer 170 as a stop layer.
  • a second dielectric layer 175 e.g., in a Metal 2 (M2) layer
  • M2 Metal 2
  • the material of the second dielectric layer 175 may be the same as that of the first dielectric layer 115 (e.g., SiOC).
  • FIGS. 1D and 2D illustrate a top view and a cross-section view (along line 2 D), respectively, of the device 100 after an etch process (e.g., selective dry or wet etch) was performed to remove the cap layer 170 .
  • an etch process e.g., selective dry or wet etch
  • FIGS. 1E and 2E illustrate a top view and a cross-section view (along line 2 E), respectively, of the device 100 after a plurality of processes were performed so as to deposit a first hard mask layer 180 (e.g., silicon dioxide) and a second hard mask layer 185 (e.g., silicon nitride) above the second dielectric layer 175 and a patterning process was performed (e.g., using a patterned photoresist layer) to define a line merge opening 190 and a line cut opening 195 in the hard mask layers 180 , 185 .
  • the hard mask layers 180 , 185 are illustrated as having planar top surfaces with the cap layer 180 extending into the recesses created by removal of the cap layer 170 . However, in an actual implementation, the cap layers 180 , 185 would be conformal.
  • FIGS. 1F and 2F illustrate a top view and a cross-section view (along line 2 F), respectively, of the device 100 after a deposition process was performed to deposit a spacer layer 200 (e.g., silicon nitride) above the cap layer 185 and in the openings 190 , 195 . Due to the greater aspect ratio of the opening 195 , it may be almost entirely filled by the spacer layer 200 .
  • a spacer layer 200 e.g., silicon nitride
  • FIGS. 1G and 2G illustrate a top view and a cross-section view (along line 2 G), respectively, of the device 100 after an etch process was performed to etch the spacer layer 200 and a portion of the cap layer 185 to clear the opening 190 and expose the sacrificial lines 165 , while leaving the opening 195 at least partially filled by a remainder portion of the spacer layer 200 .
  • the opening 195 remains at least partially plugged due to its higher aspect ratio.
  • the openings 190 , 195 are sized such that some of the material of the spacer layer 200 remains in position in the opening 195 after the spacer material is removed from the opening 190 .
  • the thickness of the spacer layer 200 may be about half the width, W, of the opening 195 , as illustrated in FIG. 1F .
  • FIGS. 1H and 2H illustrate a top view and a cross-section view (along line 2 H), respectively, of the device 100 after an anisotropic etch process was performed to remove the portions of the dielectric layer 175 exposed by the opening 190 and thereby define an opening 175 A in the dielectric layer 175 .
  • This process operation exposes the underlying cap layer 125 .
  • the spacer material 200 in the opening 195 protects the underlying materials and structures during this etching process.
  • FIGS. 1I and 2I illustrate a top view and a cross-section view (along line 2 I), respectively, of the device 100 after an anisotropic etch process was performed to remove the portions of the sacrificial lines 165 exposed by the opening 190 .
  • the etch process to remove the exposed portions of the sacrificial lines 165 is referred to as a “line merge etch” as it creates a line merge recess 192 in the dielectric layer 175 having a width, W LM , that is greater than the combined widths of the sacrificial lines 165 (e.g., 3 in the depicted example) that are removed when line merge recess 192 is formed and the exposed portions of the sacrificial lines 165 are cut or removed.
  • the line merge recess 192 will be subsequently filled with conductive material to define a line-merging conductive structure an integer number of line widths.
  • the opening 175 A in the dielectric layer 175 may be formed as in FIGS. 1H and 2H , but the exposed sacrificial lines 165 may not be removed immediately after that process operation. Instead, the sacrificial lines 165 may be removed at a later stage, as discussed more fully below.
  • FIGS. 1J and 2J illustrate a top view and a cross-section view (along line 2 J), respectively, of the device 100 after a deposition process was performed to deposit a dielectric layer 205 (e.g., silicon dioxide) above the second hard mask layer 185 and in the line merge recess 192 and a planarization process was performed to remove portions of the dielectric layer 205 extending above the second hard mask layer 185 and to remove the second hard mask layer 185 , thereby exposing the first hard mask layer 180 .
  • the opening 190 and the line merge recess 192 are filled by the dielectric layer 205 and the opening 195 is filled by the remainder portion of the spacer layer 200 .
  • FIGS. 1K and 2K illustrate a top view and a cross-section view (along line 2 K), respectively, of the device 100 after a first etch process was performed to remove the remainder portion of the spacer layer 200 to reestablish the opening 195 and a second etch process was performed to remove the portion of the sacrificial line 165 exposed by the opening 195 to define a line cut recess 197 in the dielectric layer 175 that exposes the underlying layer 125 .
  • the etch process for removing the exposed portion of the sacrificial line 165 is referred to as a “line cut etch” process as it separates the etched sacrificial lines 165 (shown in phantom) into segments 165 A, 165 B.
  • the etch process for removing the remainder portion of the spacer layer 200 may be integrated with the line cut etch process.
  • the line cut recess 197 only spans a single line in the illustrated example, it may be sized to cover more than one line.
  • FIGS. 1L and 2L illustrate a top view and a cross-section view (along line 2 L), respectively, of the device 100 after a deposition process was performed to form a dielectric layer 210 (e.g., SiOC) in the opening 195 and to fill in the line cut recess 197 .
  • a dielectric layer 210 e.g., SiOC
  • FIGS. 1M and 2M illustrate a top view and a cross-section view (along line 2 M), respectively, of the device 100 after a timed etch process was performed to recess the dielectric layer 210 to expose the top surface of the hard mask layer 180 and the dielectric layer 205 .
  • FIGS. 1N and 2N illustrate a top view and a cross-section view (along line 2 N), respectively, of the device 100 after one or more wet etching processes were performed to remove the dielectric layer 205 and the hard mask layer 180 .
  • the dielectric layers 175 , 210 are SiOC
  • a diluted HF etch solution may be used to remove silicon dioxide and silicon nitride selectively to the SiOC.
  • the line merge recess 192 is sized such that it exposes the cap layers 125 that correspond to three illustrative conductive lines 120 in the M1 metallization layer.
  • the line merge recess 192 may be sized such that the cap layers 125 of any desired number of conductive lines 120 may be exposed by the line merge recess 192 .
  • FIGS. 1O and 2O illustrate a top view and a cross-section view (along line 2 O), respectively, of the device 100 after an etch process was performed to remove the sacrificial lines 165 thereby exposing the cap layers 125 of the underlying conductive lines 120 in the M1 layer.
  • the sacrificial lines 165 in the line merge recess are not removed as described in reference to FIGS. 1I and 2I above, they may be removed here.
  • FIGS. 1P and 2P illustrate a top view and a cross-section view (along line 2 P), respectively, of the device 100 after a plurality of processes were performed to establish a M1 to M2 interconnections.
  • a photoresist layer (not shown) was formed and patterned to expose a selected portion of the cap layer 125 , the exposed portion of the cap layer 125 was etched, and the photoresist layer was stripped, thereby leaving a via opening 220 in the cap layer 125 exposing a portion of the underlying conductive line 120 .
  • via opening 220 is illustrated, other via openings (not shown) may be provided to contact other M1 conductive lines 120 .
  • FIGS. 1Q and 2Q illustrate a top view and a cross-section view (along line 2 Q), respectively, of the device 100 after a plurality of processes were performed to form a conductive via 225 (in the opening 220 —see FIG. 1P ) that connects the M1 layer to the M2 layer, a line-merging conductive structure 230 in the line merge recess 192 , and a conductive line 235 in the M2 layer.
  • One or more deposition processes were performed so as to over-fill the recesses formed by removing the sacrificial lines 165 , the line merge recess 192 , and the via opening 220 with a conductive material.
  • a planarization process was performed to remove excess conductive material.
  • the conductive via 225 , line-merging conductive structure 230 , and conductive lines 235 may include multiple layers, such as one or more barrier layers (e.g., Ta, TaN, TiN, etc.) to prevent migration of any metal into the dielectric layers 115 , 175 , a metal seed layer (e.g., copper), and a metal fill material (e.g., copper).
  • Conductive lines having segments 235 A, 235 B are formed where the line cut recess 197 was filled with the dielectric layer 210 .
  • the conductive lines 235 , 235 A, 235 B have the characteristic pitch and width of the patterning process and the line-merging conductive structure 230 represents a merged line having an integer number (greater than 1) of characteristic widths.
  • the line-merging conductive structure 230 may be used in a high current application, such as for a power rail.
  • Subsequent processes may be performed to complete the fabrication of the device 100 , such as forming additional metallization layers, die singulation and packaging.

Abstract

A method includes forming a plurality of sacrificial lines embedded in a first dielectric layer. A line merge opening and a line cut opening are formed in a hard mask layer formed above the first dielectric layer. Portions of the first dielectric layer exposed by the line merge opening are removed to define a line merge recess. A portion of a selected sacrificial line exposed by the line cut opening is removed to define a line cut recess between first and second segments of the selected sacrificial line. A second dielectric layer is formed in the line cut recess. The hard mask is removed. The plurality of sacrificial lines is replaced with a conductive material to define at least one line having third and fourth segments in locations previously occupied by the first and second segments and to define a line-merging conductive structure in the line merge recess.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present disclosure generally relates to the fabrication of semiconductor devices, and, more particularly, to forming merged lines in a metallization layer.
  • 2. Description of the Related Art
  • In modern integrated circuits, minimum feature sizes, such as the channel length of field effect transistors, have reached the deep sub-micron range, thereby steadily increasing performance of these circuits in terms of speed and/or power consumption and/or diversity of circuit functions. As the size of the individual circuit elements is significantly reduced, thereby improving, for example, the switching speed of the transistor elements, the available floor space for interconnect lines electrically connecting the individual circuit elements is also decreased. Consequently, the dimensions of these interconnect lines and the spaces between the metal lines have to be reduced to compensate for a reduced amount of available floor space and for an increased number of circuit elements provided per unit area.
  • Traditionally, metallization layers, i.e., the wiring layers including metal lines and vias for providing the electrical connection of the circuit elements according to a specified circuit layout, are formed by embedding copper lines and vias in a dielectric layer stack. For highly sophisticated applications, in addition to using copper and/or copper alloys, the well-established and well-known dielectric materials silicon dioxide (k≈4.2) and silicon nitride (k>7) may increasingly be replaced by so-called low-k dielectric materials having a relative permittivity of approximately 3.0 and less. Copper lines and vias are typically formed by performing well-known damascene (single or dual) processes whereby trenches or openings are formed in a layer of insulating material. Thereafter, barrier layers are deposited in the trenches or openings followed by over-filling the trenches or openings with copper material. Next, a planarization process is performed to remove the excess materials above the insulating material, thereby leaving the resulting line or via positioned in the previously formed trench or opening.
  • In the case of copper lines, the width of the lines is typically limited by the photolithography processes used to pattern the trenches in the layer of insulating material. In the case where the lines are formed of a material that may be directly patterned, e.g., tungsten, the width of the patterned lines is still limited by photolithography processes. To improve the reliability of the patterning process, a large number of evenly spaced lines are typically formed in a regular pattern. The width of each line and the pitch between lines is determined by the patterning process. In an exemplary self-aligned technique, referred to as self-aligned double patterning (SADP), a hard mask layer is formed above a dielectric layer and a plurality of mandrel line elements is formed above the hard mask layer. Spacers are formed on sidewalls of the mandrel and the mandrel is removed, leaving the spacers as an etch mask for patterning the hard mask layer. The pitch of the spacers is effectively double that of the mandrel elements. Another technique, referred to as self-aligned quadruple patterning (SAQP) forms another set of spacers and removes the first set, effectively quadrupling the pitch of the mandrel elements. The patterned hard mask layer is used to etch trenches in the underlying dielectric layer, and the trenches are filled with metal to form the interconnect lines.
  • Due to the regular nature of the spacers and the self-aligned process, it is inherently difficult to pattern trenches with widths greater than the characteristic width of the patterning process, referred to as the 1× width. The patterning of wider lines, such as those needed for high current capacity power rails, typically requires additional masking and patterning steps, giving rise to increased fabrication complexity and cost.
  • The present disclosure is directed to various methods of forming merged lines in a metallization layer that may avoid, or at least reduce, the effects of one or more of the problems identified above.
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
  • Generally, the present disclosure is directed to various methods of forming merged lines in a metallization layer. A method includes, among other things, forming a plurality of sacrificial lines embedded in a first dielectric layer formed above a substrate. A hard mask layer is formed above the first dielectric layer and the plurality of sacrificial lines. A line merge opening and a line cut opening are formed in the hard mask layer. Portions of the first dielectric layer exposed by the line merge opening are removed to define a line merge recess. A portion of a selected sacrificial line exposed by the line cut opening is removed to define a line cut recess between first and second segments of the selected sacrificial line. A second dielectric layer is formed in the line cut recess. The hard mask is removed. The plurality of sacrificial lines is replaced with a conductive material to define at least one line having third and fourth segments in locations previously occupied by the first and second segments, respectively, of the selected sacrificial line and to define a line-merging conductive structure in the line merge recess.
  • Another illustrative method includes, among other things, forming a plurality of sacrificial lines embedded in a first dielectric layer formed above a substrate. A hard mask layer is formed above the first dielectric layer and the plurality of sacrificial lines. First and second openings are formed in the hard mask layer. A spacer layer is formed above the hard mask layer and at least partially in the first and second openings. The spacer layer is removed in the first opening while leaving a remainder portion of the spacer layer disposed in the second opening. Portions of the first dielectric layer exposed by the first opening are removed to define a line merge recess. The remainder portion of the spacer layer disposed in the second opening is removed. A portion of a selected sacrificial line exposed by the second opening is removed to define a line cut recess between first and second segments of the selected sacrificial line. A second dielectric layer is formed in the line cut recess. The plurality of sacrificial lines is replaced with a conductive material to define at least one line having third and fourth segments in locations previously occupied by the first and second segments, respectively, of the selected sacrificial line and to define a line-merging conductive structure in the line merge recess.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
  • FIGS. 1A-1Q are top views of a device depicting various illustrative methods disclosed herein for forming merged lines and cut lines; and
  • FIGS. 2A-2Q are cross-sectional views of the device corresponding to FIGS. 1A-1Q.
  • While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
  • DETAILED DESCRIPTION
  • Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
  • The present subject matter will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
  • The present disclosure generally relates to various methods of forming merged lines in a metallization layer. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the present method is applicable to a variety of devices, including, but not limited to, logic devices, memory devices, etc. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 1A-1Q and 2A-2Q illustrate a method for forming merged lines and cut lines in a device 100 using a combined etch mask. FIG. 1A shows a top view of the device 100 and FIG. 2A shows a corresponding cross-sectional view of the device 100 taken along line 2A in FIG. 1A. The device 100 includes a substrate 105. A device layer 110 is disposed above the substrate 105. Semiconductor-based circuit elements, such as transistors, resistors, capacitors, etc., may be formed in and above the substrate 105. The device layer 110 also typically includes conductive contacts that interface with these circuit elements. For convenience, any such circuit elements and contacts are not shown in FIG. 2A. The substrate 105 may also include any appropriate microstructure features, such as micromechanical components, optoelectronic components and the like, wherein at least some of these components may require an interconnect structure formed in a metallization system.
  • A dielectric layer 115 is formed above the device layer 110 (e.g., in a Metal 1 (M1) layer). The dielectric layer 115 may be a low-k dielectric material having a dielectric constant of approximately 3.0 or lower or an ultra-low-k (ULK) material having a dielectric constant of approximately 2.5 or lower. In some embodiments, the dielectric layer 115 may be SiOC. Conductive lines 120 (e.g., copper) with a cap layer 125 (e.g., silicon nitride) are formed in the dielectric layer 115. The conductive lines 120 may include multiple layers, such as one or more barrier layers (e.g., Ta, TaN, TiN, etc.) to prevent migration of any metal in the conductive lines 120 into the dielectric layer 115, a metal seed layer (e.g., copper), and a metal fill material (e.g., copper).
  • FIGS. 1B and 2B illustrate a top view and a cross-section view (along line 2B), respectively, of the device 100 after a plurality of processes were performed so as to form a set of sacrificial lines 165, each having a cap layer 170 (e.g., silicon nitride) formed thereabove. The sacrificial lines 165 are oriented perpendicularly with respect to the conductive lines 120 illustrated in FIG. 1A. The sacrificial lines 165 may be formed by patterning a layer of sacrificial material (e.g., amorphous silicon) using the cap layer 170 as a hard mask for a patterning process (e.g., self-aligned double patterning (SADP), self-aligned quad patterning (SAQD), or directed self-assembly material patterning), the specifics of which are known to those of ordinary skill in the art.
  • FIGS. 1C and 2C illustrate a top view and a cross-section view (along line 2C), respectively, of the device 100 after a plurality of processes were performed so as to deposit a second dielectric layer 175 (e.g., in a Metal 2 (M2) layer) above the sacrificial lines 165, and to planarize the second dielectric layer 175 using the cap layer 170 as a stop layer. In some embodiments, the material of the second dielectric layer 175 may be the same as that of the first dielectric layer 115 (e.g., SiOC).
  • FIGS. 1D and 2D illustrate a top view and a cross-section view (along line 2D), respectively, of the device 100 after an etch process (e.g., selective dry or wet etch) was performed to remove the cap layer 170.
  • FIGS. 1E and 2E illustrate a top view and a cross-section view (along line 2E), respectively, of the device 100 after a plurality of processes were performed so as to deposit a first hard mask layer 180 (e.g., silicon dioxide) and a second hard mask layer 185 (e.g., silicon nitride) above the second dielectric layer 175 and a patterning process was performed (e.g., using a patterned photoresist layer) to define a line merge opening 190 and a line cut opening 195 in the hard mask layers 180, 185. For ease of illustration, the hard mask layers 180, 185 are illustrated as having planar top surfaces with the cap layer 180 extending into the recesses created by removal of the cap layer 170. However, in an actual implementation, the cap layers 180, 185 would be conformal.
  • FIGS. 1F and 2F illustrate a top view and a cross-section view (along line 2F), respectively, of the device 100 after a deposition process was performed to deposit a spacer layer 200 (e.g., silicon nitride) above the cap layer 185 and in the openings 190, 195. Due to the greater aspect ratio of the opening 195, it may be almost entirely filled by the spacer layer 200.
  • FIGS. 1G and 2G illustrate a top view and a cross-section view (along line 2G), respectively, of the device 100 after an etch process was performed to etch the spacer layer 200 and a portion of the cap layer 185 to clear the opening 190 and expose the sacrificial lines 165, while leaving the opening 195 at least partially filled by a remainder portion of the spacer layer 200. The opening 195 remains at least partially plugged due to its higher aspect ratio. The openings 190, 195 are sized such that some of the material of the spacer layer 200 remains in position in the opening 195 after the spacer material is removed from the opening 190. For example, the thickness of the spacer layer 200 may be about half the width, W, of the opening 195, as illustrated in FIG. 1F.
  • FIGS. 1H and 2H illustrate a top view and a cross-section view (along line 2H), respectively, of the device 100 after an anisotropic etch process was performed to remove the portions of the dielectric layer 175 exposed by the opening 190 and thereby define an opening 175A in the dielectric layer 175. This process operation exposes the underlying cap layer 125. The spacer material 200 in the opening 195 protects the underlying materials and structures during this etching process.
  • FIGS. 1I and 2I illustrate a top view and a cross-section view (along line 2I), respectively, of the device 100 after an anisotropic etch process was performed to remove the portions of the sacrificial lines 165 exposed by the opening 190. The etch process to remove the exposed portions of the sacrificial lines 165 is referred to as a “line merge etch” as it creates a line merge recess 192 in the dielectric layer 175 having a width, WLM, that is greater than the combined widths of the sacrificial lines 165 (e.g., 3 in the depicted example) that are removed when line merge recess 192 is formed and the exposed portions of the sacrificial lines 165 are cut or removed. The line merge recess 192 will be subsequently filled with conductive material to define a line-merging conductive structure an integer number of line widths. In some embodiments, the opening 175A in the dielectric layer 175 may be formed as in FIGS. 1H and 2H, but the exposed sacrificial lines 165 may not be removed immediately after that process operation. Instead, the sacrificial lines 165 may be removed at a later stage, as discussed more fully below.
  • FIGS. 1J and 2J illustrate a top view and a cross-section view (along line 2J), respectively, of the device 100 after a deposition process was performed to deposit a dielectric layer 205 (e.g., silicon dioxide) above the second hard mask layer 185 and in the line merge recess 192 and a planarization process was performed to remove portions of the dielectric layer 205 extending above the second hard mask layer 185 and to remove the second hard mask layer 185, thereby exposing the first hard mask layer 180. After the planarization, the opening 190 and the line merge recess 192 are filled by the dielectric layer 205 and the opening 195 is filled by the remainder portion of the spacer layer 200.
  • FIGS. 1K and 2K illustrate a top view and a cross-section view (along line 2K), respectively, of the device 100 after a first etch process was performed to remove the remainder portion of the spacer layer 200 to reestablish the opening 195 and a second etch process was performed to remove the portion of the sacrificial line 165 exposed by the opening 195 to define a line cut recess 197 in the dielectric layer 175 that exposes the underlying layer 125. The etch process for removing the exposed portion of the sacrificial line 165 is referred to as a “line cut etch” process as it separates the etched sacrificial lines 165 (shown in phantom) into segments 165A, 165B. The etch process for removing the remainder portion of the spacer layer 200 may be integrated with the line cut etch process. Although the line cut recess 197 only spans a single line in the illustrated example, it may be sized to cover more than one line.
  • FIGS. 1L and 2L illustrate a top view and a cross-section view (along line 2L), respectively, of the device 100 after a deposition process was performed to form a dielectric layer 210 (e.g., SiOC) in the opening 195 and to fill in the line cut recess 197.
  • FIGS. 1M and 2M illustrate a top view and a cross-section view (along line 2M), respectively, of the device 100 after a timed etch process was performed to recess the dielectric layer 210 to expose the top surface of the hard mask layer 180 and the dielectric layer 205.
  • FIGS. 1N and 2N illustrate a top view and a cross-section view (along line 2N), respectively, of the device 100 after one or more wet etching processes were performed to remove the dielectric layer 205 and the hard mask layer 180. In an embodiment where the dielectric layers 175, 210 are SiOC, a diluted HF etch solution may be used to remove silicon dioxide and silicon nitride selectively to the SiOC. In the depicted example, the line merge recess 192 is sized such that it exposes the cap layers 125 that correspond to three illustrative conductive lines 120 in the M1 metallization layer. Of course, the line merge recess 192 may be sized such that the cap layers 125 of any desired number of conductive lines 120 may be exposed by the line merge recess 192.
  • FIGS. 1O and 2O illustrate a top view and a cross-section view (along line 2O), respectively, of the device 100 after an etch process was performed to remove the sacrificial lines 165 thereby exposing the cap layers 125 of the underlying conductive lines 120 in the M1 layer. In some embodiments, if the sacrificial lines 165 in the line merge recess are not removed as described in reference to FIGS. 1I and 2I above, they may be removed here.
  • FIGS. 1P and 2P illustrate a top view and a cross-section view (along line 2P), respectively, of the device 100 after a plurality of processes were performed to establish a M1 to M2 interconnections. A photoresist layer (not shown) was formed and patterned to expose a selected portion of the cap layer 125, the exposed portion of the cap layer 125 was etched, and the photoresist layer was stripped, thereby leaving a via opening 220 in the cap layer 125 exposing a portion of the underlying conductive line 120. Although only one example via opening 220 is illustrated, other via openings (not shown) may be provided to contact other M1 conductive lines 120.
  • FIGS. 1Q and 2Q illustrate a top view and a cross-section view (along line 2Q), respectively, of the device 100 after a plurality of processes were performed to form a conductive via 225 (in the opening 220—see FIG. 1P) that connects the M1 layer to the M2 layer, a line-merging conductive structure 230 in the line merge recess 192, and a conductive line 235 in the M2 layer. One or more deposition processes were performed so as to over-fill the recesses formed by removing the sacrificial lines 165, the line merge recess 192, and the via opening 220 with a conductive material. Then, a planarization process was performed to remove excess conductive material. The conductive via 225, line-merging conductive structure 230, and conductive lines 235 may include multiple layers, such as one or more barrier layers (e.g., Ta, TaN, TiN, etc.) to prevent migration of any metal into the dielectric layers 115, 175, a metal seed layer (e.g., copper), and a metal fill material (e.g., copper). Conductive lines having segments 235A, 235B are formed where the line cut recess 197 was filled with the dielectric layer 210. The conductive lines 235, 235A, 235B have the characteristic pitch and width of the patterning process and the line-merging conductive structure 230 represents a merged line having an integer number (greater than 1) of characteristic widths. The line-merging conductive structure 230 may be used in a high current application, such as for a power rail.
  • Subsequent processes may be performed to complete the fabrication of the device 100, such as forming additional metallization layers, die singulation and packaging. The use of the illustrated process to employ a common hard mask for line merges and line cuts simplifies the patterning process by reducing the number of masks and photolithography masks and steps.
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Note that the use of terms, such as “first,” “second,” “third” or “fourth” to describe various processes or structures in this specification and in the attached claims is only used as a shorthand reference to such steps/structures and does not necessarily imply that such steps/structures are performed/formed in that ordered sequence. Of course, depending upon the exact claim language, an ordered sequence of such processes may or may not be required. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (20)

What is claimed:
1. A method comprising:
forming a plurality of sacrificial lines embedded in a first dielectric layer formed above a substrate;
forming a hard mask layer above said first dielectric layer and said plurality of sacrificial lines;
forming a line merge opening and a line cut opening in said hard mask layer;
removing portions of said first dielectric layer exposed by said line merge opening to define a line merge recess;
removing a portion of a selected sacrificial line exposed by said line cut opening to define a line cut recess between first and second segments of said selected sacrificial line;
forming a second dielectric layer in said line cut recess;
removing said hard mask; and
replacing said plurality of sacrificial lines with a conductive material to define at least one line having third and fourth segments in locations previously occupied by said first and second segments, respectively, of said selected sacrificial line and to define a line-merging conductive structure in said line merge recess.
2. The method of claim 1, further comprising:
forming a spacer layer above said hard mask layer and at least partially in said line merge opening and said line cut opening;
prior to defining said line merge recess, removing said spacer layer in said line merge opening while leaving a remainder portion of said spacer layer disposed in said line cut opening so as to act as an etch mask when performing an etching process to define said line merge recess; and
removing said remainder portion of said spacer layer after defining said line merge recess.
3. The method of claim 2, further comprising filling said line merge recess with a dielectric material prior to removing said remainder portion.
4. The method of claim 3, further comprising removing said dielectric material from said line merge recess after forming said second dielectric layer in said line cut recess.
5. The method of claim 3, further comprising removing portions of said sacrificial lines exposed by said first opening prior to filling said line merge recess.
6. The method of claim 3, wherein said hard mask layer comprises a first hard mask layer and a second hard mask layer disposed above said first hard mask layer, and the method further comprises performing a planarizing process to remove said second hard mask layer, to remove portions of said dielectric material extending above said first hard mask layer, and to expose said remainder portion.
7. The method of claim 6, further comprising removing said dielectric material and said first hard mask layer after forming said second dielectric layer in said line cut recess.
8. The method of claim 6, wherein said first hard mask and said dielectric material comprise silicon dioxide and said second hard mask comprises silicon nitride.
9. The method of claim 1, further comprising removing portions of said sacrificial lines exposed by said first opening to define said line merge recess.
10. The method of claim 1, wherein replacing said plurality of sacrificial lines further comprises:
removing said plurality of sacrificial lines; and
forming said conductive material in recesses defined by removing said plurality of sacrificial lines and in said line merge recess.
11. The method of claim 1, wherein said first dielectric layer is disposed above a third dielectric layer, a plurality of conductive lines are embedded in said third dielectric layer, cap layers are formed above said plurality of conductive lines, and the method further comprises:
removing said plurality of sacrificial lines to expose portions of said cap layers of said plurality of conductive lines;
removing a portion of at least one of said cap layers to define a via recess exposing a selected one of said conductive lines; and
forming said conductive material in recesses defined by removing said plurality of sacrificial lines, in said line merge recess, and in said via recess.
12. A method comprising:
forming a plurality of sacrificial lines embedded in a first dielectric layer formed above a substrate;
forming a hard mask layer above said first dielectric layer and said plurality of sacrificial lines;
forming first and second openings in said hard mask layer;
forming a spacer layer above said hard mask layer and at least partially in said first and second openings;
removing said spacer layer in said first opening while leaving a remainder portion of said spacer layer disposed in said second opening;
removing portions of said first dielectric layer exposed by said first opening to define a line merge recess;
removing said remainder portion of said spacer layer disposed in said second opening;
removing a portion of a selected sacrificial line exposed by said second opening to define a line cut recess between first and second segments of said selected sacrificial line;
forming a second dielectric layer in said line cut recess; and
replacing said plurality of sacrificial lines with a conductive material to define at least one line having third and fourth segments in locations previously occupied by said first and second segments and to define a line-merging conductive structure having a width greater than said at least one line in said line merge recess.
13. The method of claim 12, further comprising filling said line merge recess with a dielectric material prior to removing said remainder portion.
14. The method of claim 12, further comprising removing said dielectric material from said line merge recess after forming said second dielectric layer in said line cut recess.
15. The method of claim 12, further comprising removing portions of said sacrificial lines exposed by said first opening prior to filling said line merge recess.
16. The method of claim 12, wherein said hard mask layer comprises a first hard mask layer and a second hard mask layer disposed above said first hard mask layer, and the method further comprises performing a planarizing process to remove said second hard mask layer, to remove portions of said dielectric material extending above said first hard mask layer, and to expose said remainder portion.
17. The method of claim 16, further comprising removing said dielectric material and said first hard mask layer after forming said second dielectric layer in said line cut recess.
18. The method of claim 12, further comprising removing portions of said sacrificial lines exposed by said first opening to define said line merge recess.
19. The method of claim 12, wherein replacing said plurality of sacrificial lines further comprises:
removing said plurality of sacrificial lines; and
forming said conductive material in recesses defined by removing said plurality of sacrificial lines and in said line merge recess.
20. The method of claim 12, wherein said first dielectric layer is disposed above a third dielectric layer, a plurality of conductive lines are embedded in said third dielectric layer, cap layers are formed above said plurality of conductive lines, and the method further comprises:
removing said plurality of sacrificial lines to expose portions of said cap layers of said plurality of conductive lines;
removing a portion of at least one of said cap layers to define a via recess exposing a selected one of said conductive lines; and
forming said conductive material in recesses defined by removing said plurality of sacrificial lines, in said line merge recess, and in said via recess.
US14/608,377 2015-01-29 2015-01-29 Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines Expired - Fee Related US9412655B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/608,377 US9412655B1 (en) 2015-01-29 2015-01-29 Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/608,377 US9412655B1 (en) 2015-01-29 2015-01-29 Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines

Publications (2)

Publication Number Publication Date
US20160225666A1 true US20160225666A1 (en) 2016-08-04
US9412655B1 US9412655B1 (en) 2016-08-09

Family

ID=56554720

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/608,377 Expired - Fee Related US9412655B1 (en) 2015-01-29 2015-01-29 Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines

Country Status (1)

Country Link
US (1) US9412655B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157776B2 (en) * 2017-03-15 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190035638A1 (en) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method
WO2019132889A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with line breaks and line bridges within a single interconnect level
US11502031B2 (en) 2017-12-27 2022-11-15 Intel Corporation Multiple layer metal-insulator-metal (MIM) structure
US11557536B2 (en) 2017-12-27 2023-01-17 Intel Corporation Integrated circuits (IC's) with electro-migration (EM)—resistant segments in an interconnect level

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340180B1 (en) 2018-01-16 2019-07-02 Globalfoundries Inc. Merge mandrel features

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7902066B2 (en) * 2006-09-26 2011-03-08 Chartered Semiconductor Manufacturing, Ltd. Damascene contact structure for integrated circuits
US9269747B2 (en) * 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
US9287131B2 (en) * 2014-02-21 2016-03-15 Globalfoundries Inc. Methods of patterning line-type features using a multiple patterning process that enables the use of tighter contact enclosure spacing rules
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157776B2 (en) * 2017-03-15 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11355388B2 (en) 2017-03-15 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10804142B2 (en) 2017-03-15 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10535532B2 (en) 2017-07-31 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
US10347506B2 (en) * 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
CN109326521A (en) * 2017-07-31 2019-02-12 台湾积体电路制造股份有限公司 Multiple patterning method
KR102102735B1 (en) 2017-07-31 2020-04-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multiple patterning method
KR20190013438A (en) * 2017-07-31 2019-02-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multiple patterning method
US20190035638A1 (en) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method
WO2019132889A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with line breaks and line bridges within a single interconnect level
EP3732704A4 (en) * 2017-12-27 2021-07-28 INTEL Corporation Integrated circuits with line breaks and line bridges within a single interconnect level
US11205586B2 (en) 2017-12-27 2021-12-21 Intel Corporation Integrated circuits with line breaks and line bridges within a single interconnect level
US11502031B2 (en) 2017-12-27 2022-11-15 Intel Corporation Multiple layer metal-insulator-metal (MIM) structure
US11557536B2 (en) 2017-12-27 2023-01-17 Intel Corporation Integrated circuits (IC's) with electro-migration (EM)—resistant segments in an interconnect level
US11830768B2 (en) 2017-12-27 2023-11-28 Intel Corporation Integrated circuits with line breaks and line bridges within a single interconnect level

Also Published As

Publication number Publication date
US9412655B1 (en) 2016-08-09

Similar Documents

Publication Publication Date Title
US9412655B1 (en) Forming merged lines in a metallization layer by replacing sacrificial lines with conductive lines
US10861742B2 (en) Interconnect structure having an etch stop layer over conductive lines
CN107665855B (en) Method for manufacturing semiconductor device
US9263325B1 (en) Precut metal lines
CN109659274B (en) Method of forming conductive contact structures to semiconductor devices and resulting structures
US10636698B2 (en) Skip via structures
US20170004999A1 (en) Self-aligned via process flow
JP2007035955A (en) Semiconductor device and its manufacturing method
CN108417530B (en) Method for forming conductive paths and vias
US9141749B2 (en) Interconnect structures and methods for back end of the line integration
US8383510B2 (en) Semiconductor device comprising metallization layers of reduced interlayer capacitance by reducing the amount of etch stop materials
CN108511338B (en) Method for defining pattern for conductive path in dielectric layer
CN108511387B (en) Method of forming conductive path pattern in dielectric layer
TW201732930A (en) Method of forming semiconductor
US20130270704A1 (en) Semiconductor Device with Self-Aligned Interconnects
US20090294921A1 (en) Semiconductor device comprising metal lines with a selectively formed dielectric cap layer
KR100739975B1 (en) Method of manufacturing a semiconductor device
US11114338B2 (en) Fully aligned via in ground rule region
US20140353837A1 (en) Semiconductor device and manufacturing method thereof
KR102316258B1 (en) Back end of line via to metal line margin improvement
US10395978B2 (en) Method of patterning target layer
WO2023093676A1 (en) Beol top via wirings with dual damascene via and super via redundancy
KR100628222B1 (en) Method for Fabricating Cu Damascene
KR20210092307A (en) How to reverse via pattern the rear end of a line dual damascene structure
CN116190308A (en) Interconnect structure for semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BOUCHE, GUILLAUME;STEPHENS, JASON E.;CHAUHAN, VIKRANT;AND OTHERS;SIGNING DATES FROM 20141205 TO 20141222;REEL/FRAME:034841/0004

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: ALSEPHINA INNOVATIONS INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049669/0749

Effective date: 20181126

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20200809

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117