US20160211261A1 - Method and structure for finfet devices - Google Patents
Method and structure for finfet devices Download PDFInfo
- Publication number
- US20160211261A1 US20160211261A1 US14/599,323 US201514599323A US2016211261A1 US 20160211261 A1 US20160211261 A1 US 20160211261A1 US 201514599323 A US201514599323 A US 201514599323A US 2016211261 A1 US2016211261 A1 US 2016211261A1
- Authority
- US
- United States
- Prior art keywords
- fin feature
- semiconductor material
- forming
- fin
- semiconductor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 129
- 239000004065 semiconductor Substances 0.000 claims abstract description 76
- 239000000463 material Substances 0.000 claims abstract description 48
- 238000002955 isolation Methods 0.000 claims abstract description 40
- 239000000758 substrate Substances 0.000 claims abstract description 31
- 230000008569 process Effects 0.000 claims description 70
- 238000005530 etching Methods 0.000 claims description 39
- 238000000137 annealing Methods 0.000 claims description 30
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 11
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 9
- 239000000872 buffer Substances 0.000 claims description 6
- 229910052681 coesite Inorganic materials 0.000 claims description 4
- 229910052906 cristobalite Inorganic materials 0.000 claims description 4
- 238000005224 laser annealing Methods 0.000 claims description 4
- 239000000377 silicon dioxide Substances 0.000 claims description 4
- 229910052682 stishovite Inorganic materials 0.000 claims description 4
- 229910052905 tridymite Inorganic materials 0.000 claims description 4
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 claims description 3
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 claims description 3
- 229910000673 Indium arsenide Inorganic materials 0.000 claims description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 3
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 claims description 3
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 claims description 3
- 229910005542 GaSb Inorganic materials 0.000 claims description 2
- 229910005898 GeSn Inorganic materials 0.000 claims description 2
- 229910006990 Si1-xGex Inorganic materials 0.000 claims description 2
- 229910007020 Si1−xGex Inorganic materials 0.000 claims description 2
- 230000002441 reversible effect Effects 0.000 claims description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims 1
- 239000010410 layer Substances 0.000 description 74
- 108091006146 Channels Proteins 0.000 description 51
- 239000003989 dielectric material Substances 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 238000000151 deposition Methods 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 7
- 230000008021 deposition Effects 0.000 description 7
- 125000006850 spacer group Chemical group 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 238000000407 epitaxy Methods 0.000 description 4
- 230000001965 increasing effect Effects 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910004129 HfSiO Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 2
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 2
- 229910002370 SrTiO3 Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 229910002113 barium titanate Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910002938 (Ba,Sr)TiO3 Inorganic materials 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- -1 LaSiO Inorganic materials 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 230000008685 targeting Effects 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/26—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
- H01L29/267—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7845—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Definitions
- finFETs fin-type field effect transistors
- FIGS. 1A-1B are cross-sectional views of a semiconductor device, according to various aspects of the present disclosure.
- FIG. 2 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.
- FIGS. 3A-3J are cross sectional views of forming a semiconductor device according to the method of FIG. 2 , in accordance with some embodiments.
- FIG. 4 is a cross-sectional view of a Distributed Bragg Reflector, which may be used as a thermal mask according to various aspects of the present disclosure.
- FIG. 5 is a graph of the reflectance of the Distributed Bragg Reflector of FIG. 4 , according to various aspects of the present disclosure.
- first and second features are formed in direct contact
- additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
- present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
- the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
- the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- the present disclosure is generally related to semiconductor devices, and more particularly to semiconductor devices having multi-gate FETs, such as double gate FETs, tri-gate FETs, and FinFETs. It is an objective of the present disclosure to provide methods for and structures of semiconductor devices in which both n-type and p-type devices are constructed of the same material, and n-type and p-type properties are derived from inducing tensile (for n-type) and compressive (for p-type) stress in the channel regions of the devices.
- FIG. 1A shows a cross-sectional view of a semiconductor device 100 constructed according to various aspects of the present disclosure.
- FIG. 1B shows a cross section view of the structure of FIG. 1A taken along line A-A.
- the device 100 illustrates a P-type FinFET and an N-type FinFET in one region of a substrate. This is provided for simplification and ease of understanding and does not necessarily limit the embodiment to any number of devices, any number of regions, or any configurations of regions.
- the FinFET device 100 may be an intermediate device fabricated during processing of an integrated circuit (IC), or a portion thereof, that may comprise static random access memory (SRAM) and/or other logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as p-type FETs, n-type FETs, double gate FETs, tri-gate FETs, FinFETs, metal-oxide semiconductor field effect transistors (MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
- SRAM static random access memory
- CMOS complementary metal-oxide semiconductor
- the device 100 includes a substrate 102 and an isolation structure 104 over the substrate 102 .
- the device 100 includes a p-type FinFET 108 and an n-type FinFET 106 formed over the substrate 102 .
- the FinFETs 106 and 108 have similar structures and will be described collectively below. The following discussion will refer to FinFET 106 and the reference numerals associated therewith. The reference numerals associated with FinFET 108 will be presented in parenthesis.
- the FinFET 106 ( 108 ) includes a channel region 110 b ( 112 b ) projecting from the substrate 102 upwardly (along the “z” direction) through the isolation structure 104 as a first (second) fin.
- the FinFET 106 ( 108 ) further includes a gate structure 114 ( 116 ) over the isolation structure 104 and engaging the channel regions 110 b ( 112 b ) on three sides thereof (top surface and sidewalls).
- the gate structure 114 ( 116 ) may engage the respective fins on two sides, e.g., the sidewalls of the fins.
- the FinFET 106 ( 108 ) further includes source/drain structures 118 ( 120 ) and 122 ( 124 ) formed over the isolation structure 104 in source/drain regions 126 , each engaging the channel regions 110 b ( 112 b ) on one side thereof and displaced from each other such that the gate structure 114 ( 116 ) and the channel region 110 b ( 112 b ) itself separate the source/drain structure 118 ( 120 ) from the source/drain structure 122 ( 124 ).
- the various elements of the device 100 will be further described in the following sections.
- FIG. 2 a flow chart of a method 200 is illustrated according to various aspects of the present disclosure in forming a semiconductor device, such as the semiconductor device 100 of FIGS. 1A and 1B .
- the method 200 is merely an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after the method 200 , and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method.
- the method 200 is described below in conjunction with FIGS. 3A-3H that illustrate cross-sectional views of a semiconductor device at various stages of the manufacturing.
- a bulk fin wafer 300 is received that includes a substrate 102 with one or more raised regions (e.g., regions 302 and 304 ) separated by isolation structures 104 .
- the substrate 102 is a silicon substrate in an exemplary embodiment.
- the substrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
- the isolation structure 104 is formed of a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material.
- the isolation structure 104 includes shallow trench isolation (STI) features.
- the STI features are formed by a procedure such as the following: the substrate 102 is etched within the gaps between the substrate regions 302 and 304 using a hard mask layer such as an etch mask. In the present embodiment, the etching process etches through the substrate 102 .
- the etching process may include any suitable etching technique such as dry etching, wet etching, and/or other etching methods (e.g., RIE). In some embodiments, the etching process includes multiple etching steps with different etching chemistries, each targeting a particular material.
- RIE etching chemistries
- isolation feature trenches are formed in the substrate 102 .
- a dielectric material is subsequently deposited within the isolation feature trenches to form the isolation features 104 .
- Suitable fill materials include semiconductor oxides, semiconductor nitrides, semiconductor oxynitrides, FSG, low-K dielectric materials, and/or combinations thereof.
- the dielectric material is deposited using a HDP-CVD process, a sub-atmospheric CVD (SACVD) process, a high-aspect ratio process (HARP), and/or a spin-on process.
- a CVD process is used to deposit a flowable dielectric material that includes both a dielectric material and a solvent in a liquid or semiliquid state.
- a curing process is used to drive off the solvent, leaving behind the dielectric material in its solid state.
- substrate regions 302 and 304 are etched away to form fin trenches 306 and 308 .
- this etching may be achieved by photolithography.
- a photoresist layer (or resist) may be formed over the isolation structure 104 and the substrate regions 302 and 304 .
- An exemplary resist layer includes a photosensitive material that causes the layer to undergo a property change when exposed to light. This property change can be used to selectively remove exposed or unexposed portions of the resist layer by a developing process. Accordingly, this type of procedure to form a patterned resist layer may be referred to as lithographic patterning.
- the resist layer is patterned to leave the portions of the photoresist material disposed over the isolation structure 104 by the lithography process.
- an etching process is performed to remove a portion of region 302 and region 304 and thereby define fin trenches 306 and 308 .
- the remaining resist layer may be removed after etching the fin trenches 306 and 308 .
- An exemplary lithography process includes spin-on coating a resist layer, soft baking of the resist layer, mask aligning, exposure, post-exposure baking, developing the resist layer, rinsing, and drying (e.g., hard baking).
- a lithographic process may be implemented, supplemented, or replaced by other methods such as maskless photolithography, electron-beam writing, and ion-beam writing.
- strain relaxed buffer (SRB) layers 110 a and 112 a are epitaxially grown in a bottom portion of fin trenches 306 and 308 , respectively.
- Suitable growth processes include atomic layer deposition (ALD), chemical vapor deposition (CVD), high-density plasma CVD (HDP-CVD), physical vapor deposition (PVD) and/or other suitable deposition processes.
- the SRB layers 110 a and 112 a may be different in composition from the substrate 102 in order to create lattice strain at the interface with the substrate 102 .
- the SRB layers 110 a and 112 a include Si 1-x Ge x ,—where x ⁇ 1.
- channel regions 110 b and 112 b are epitaxially grown on top of SRB layers 110 a and 112 a, respectively, within fin trenches 306 and 308 , respectively.
- each of the channel regions 110 b and 112 b are composed of the same material while being different in composition from the SRB layers 110 a and 112 a.
- that material is Si i-y Ge y where y>x.
- the channel regions 110 b and 112 b may be formed of a III-V group material such as Ge, GeSn, InGaP, InAs, InP, InGaAs, GaSa, InSb, GaSb or AlGaAs.
- the material for the channel regions 110 b and 112 b may be selected based on their mobility (e.g., greater than Si), their ability to be epitaxially grown without defects, and/or other suitable factors. As grown, the channel regions 110 b and 112 b are compressively strained due to the difference in lattice sizes between the SRB layers 110 a and 112 a and the channel regions 110 b and 112 b.
- a region of isolation layer 104 is recessed down to about the level of SRB 110 a in order to expose the sides of channel region 110 b, thereby exposing channel region 110 b as a first fin.
- this recessing is achieved by etching, which may be photolithographic etching. Alternatively, other suitable etching techniques may be used.
- etching which may be photolithographic etching. Alternatively, other suitable etching techniques may be used.
- channel region 112 b and SRB 112 a and the region of isolation layer 104 surrounding them are covered by the appropriate mask so as not to be etched.
- a patterned thermal mask 310 is applied to the surface of the wafer 300 to protect channel region 112 b while leaving channel region 110 b exposed.
- the patterned thermal mask 310 is a distributed Bragg reflector (DBR).
- a DBR 400 composed of 10 layers of Si 3 N 4 /SiO 2 pairs, which is used as a thermal mask 310 .
- the DBR 400 is designed to reflect energy from an annealing process 312 using a wavelength of 532 nm, and as a result the layers 402 of Si 3 N 4 and 404 of SiO 2 are each 75 nm thick.
- the DBR 400 can be designed with different thicknesses of the Si 3 N 4 and SiO 2 layers in order to reflect energy from an annealing process 312 using different wavelengths.
- the patterned thermal mask 310 is a metal mask or a contact etch stop layer (CESL).
- FIG. 5 there is shown a graph of the reflectance of an exemplary DBR 400 as shown in FIG. 4 .
- the DBR 400 is calibrated for a source of wavelength 532 nm. Therefore the DBR 400 reflects substantially all light in the band around 532 nm.
- an annealing process 312 is performed on the wafer 300 . Due to the patterned thermal mask 310 , the channel region 110 b is selectively annealed. Some of the energy from the annealing process 312 reaches SRB layer 110 a as well.
- the annealing process 312 serves to reverse the strain on the channel region to which it is applied.
- the annealing process 312 reverses the compressive strain on channel region 110 b to tensile strain.
- the existing compressive strain is relaxed, resulting in an unstrained channel region 110 b.
- the amount of defects in the channel region 110 b is reduced as the annealing process 312 heats up the channel region 110 b.
- channel region 110 b has a higher thermo-electric coefficient (TEC) than SRB layer 110 a. Therefore, as the channel region 110 b and SRB layer 110 a cool down after annealing process 312 is complete, the SRB layer 110 a contracts less than the channel region 110 b, causing the channel region 110 b to become tensile strained.
- TEC thermo-electric coefficient
- the annealing process 312 is a laser annealing process using a laser with a wavelength between 100 and 700 nm. In another exemplary embodiment the annealing process 312 is a flash annealing process using a flash lamp with a wavelength between 400 and 700 nm. In an exemplary embodiment the annealing process 312 reaches a maximum temperature in the range from 500 to 1000° C. In some embodiments, higher annealing temperature causes more pronounced strain within channel region 110 b and an accompanying reduction in defects. Any suitable annealing technique may be used including laser annealing, flash annealing, and/or other suitable techniques.
- the patterned thermal mask 310 is removed from the surface of the wafer 300 .
- a region of isolation layer 104 is etched away down to the level of SRB 112 a in order to expose channel region 112 b, thereby exposing channel region 112 b as a second fin.
- this etching may be achieved by photolithography. Alternatively, other suitable etching techniques may be used.
- channel region 110 b and SRB 110 a and the region of isolation layer 104 surrounding them are covered by the appropriate mask so as not to be etched.
- a region of isolation layer 104 is recessed down to about the level of SRB 112 a in order to expose the sides of channel region 112 b, creating a second fin.
- this recessing is achieved by etching, which may be photolithographic etching. Alternatively, other suitable etching techniques may be used.
- etching which may be photolithographic etching. Alternatively, other suitable etching techniques may be used.
- channel region 110 b and SRB 110 a and the region of isolation layer 104 surrounding them are covered by the appropriate mask so as not to be etched.
- a protective structure such as a dummy gate 314 or 316 is formed over the channel regions 110 b and 112 b in preparation for formation of the source/drain structures 118 , 120 , 122 and 124 .
- Forming the dummy gate 314 or 316 may include depositing a dummy gate layer containing polysilicon or other suitable material and patterning the layer in a lithographic process.
- a gate hard mask layer may be formed on the dummy gate layer.
- the gate hard mask layer may include any suitable material, such as a silicon oxide, a silicon nitride, a silicon carbide, a silicon oxynitride, other suitable materials, and/or combinations thereof.
- the formation of the dummy gate 314 or 316 includes deposition of gate material layers and patterning the gate material layers.
- the patterning process includes forming a patterned resist layer; etching the hard mask layer using the patterned resist layer as an etch mask; and etching the gate material layers using the patterned hard mask layer as an etch mask.
- gate spacers or sidewall spacers 318 are formed on the sidewalls of the dummy gate 314 or 316 .
- the gate spacers 318 may be used to offset the subsequently formed source/drain structures 118 , 120 , 122 and 124 and may be used for designing or modifying the source/drain structure (junction) profile.
- the gate spacers 318 may include any suitable dielectric material, such as a semiconductor oxide, a semiconductor nitride, a semiconductor carbide, a semiconductor oxynitride, other suitable materials, and/or combinations thereof.
- the formation of the gate spacer includes deposition and anisotropic etching, such as dry etching.
- a hard mask is formed over the channel regions 110 b and 112 b in source/drain regions 126 for use as a guiding feature to align the epitaxial growth of the source/drain structures 118 , 120 , 122 and 124 in subsequent operations.
- the hard mask forms on the surfaces of the channel regions 110 b and 112 b, including the upper surface and sidewall surfaces of the channel regions 110 b and 112 b.
- the hard mask may include any suitable dielectric material including semiconductor oxides, semiconductor nitrides, semiconductor oxynitrides, semiconductor carbides, combinations thereof, and/or other suitable materials.
- An exemplary hard mask includes silicon nitride.
- the hard mask layer is formed using one or more suitable processes including thermal growth, ALD, CVD, HDP-CVD, PVD, and/or other suitable deposition processes.
- the hard mask within the source/drain regions 126 is etched.
- the etching removes substantially all of the semiconductor material in the channel regions 110 b and 112 b within the source/drain regions 126 .
- the technique may leave a portion of the hard mask extending above the top surface of the semiconductor layer 120 in order to control and align the epitaxial growth of the source/drain structures 118 , 120 , 122 and 124 .
- the etching may be performed as a single etching process or as multiple etching processes using a variety of etchants and techniques.
- an anisotropic (directional) etching technique such as an anisotropic dry etching technique, is used that etches the horizontal surfaces of the hard mask.
- the etching includes multiple etching steps that further includes an anisotropic etching step to remove the top portion of the hard mask over the channel regions 110 b and 112 b, and a selective etching step (such as a wet etching) to selectively remove the semiconductor material of the channel regions 110 b and 112 b.
- source/drain structures 118 , 120 , 122 and 124 are formed on source/drain regions 126 .
- the dummy gate 314 or 316 and/or gate spacers 318 limit the source/drain structures 118 , 120 , 122 and 124 to the source/drain regions 126
- the hard mask limits the source/drain structures horizontally within the source/drain regions 126 .
- the source/drain structures 118 , 120 , 122 and 124 are formed by one or more epitaxy or epitaxial (epi) processes, whereby Si features, SiGe features, SiC features, and/or other suitable features are grown in a crystalline state on the channel regions 110 b and 112 b.
- Suitable epitaxy processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes.
- the epitaxy process may use gaseous and/or liquid precursors, which interact with the composition of the channel regions 110 b and 112 b.
- the source/drain structures 118 , 120 , 122 and 124 may be in-situ doped during the epitaxy process by introducing doping species including: p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof. If the source/drain structures 118 , 120 , 122 and 124 are not in-situ doped, an implantation process (i.e., a junction implant process) is performed to dope the source/drain structures 118 , 120 , 122 and 124 .
- p-type dopants such as boron or BF2
- n-type dopants such as phosphorus or arsenic
- other suitable dopants including combinations thereof. If the source/drain structures 118 , 120 , 122 and 124 are not in-situ doped, an implantation process (i.e., a junction implant process) is
- the source/drain structures 118 , 120 , 122 and 124 in an NMOS include SiCP or SiP, while those in a PMOS include GeSnB (tin may be used to tune the lattice constant) and/or SiGeSnB.
- One or more annealing processes may be performed to activate the source/drain structures 118 , 120 , 122 and 124 . Suitable annealing processes include rapid thermal annealing (RTA) and/or laser annealing processes.
- RTA rapid thermal annealing
- the source/drain structures 118 , 120 , 122 and 124 impart additional strain to their adjoining channel regions 110 b and 112 b.
- the source/drain structures 118 and 122 impart additional tensile strain to the channel region 110 b and the source/drain structures 120 and 124 impart additional compressive strain to channel region 112 b.
- gate structures 114 and 116 are formed on top of channel regions 110 b and 112 b, respectively.
- an inter-level dielectric is formed on the source/drain structures 118 , 120 , 122 and 124 in the source/drain regions 126 .
- the ILD may surround the dummy gate 314 or 316 and/or gate spacers 318 allowing these features to be removed and replacement gates 114 and 116 to be formed in the resulting cavity. Accordingly, in such embodiments, the dummy gate 314 or 316 is removed after depositing the ILD.
- the ILD may also be part of an electrical interconnect structure that electrically interconnects other devices on the same substrate as semiconductor device 100 .
- the ILD acts as an insulator that supports and isolates the conductive traces.
- the ILD may include any suitable dielectric material, such as a semiconductor oxide, a semiconductor nitride, a semiconductor oxynitride, a semiconductor carbide, other suitable materials, and/or combinations thereof.
- the formation of the ILD includes deposition and CMP.
- the dummy gate 314 or 316 is removed, resulting in a gate trench.
- the dummy gate 314 or 316 is removed by a process, such as a wet etch, to selectively etch the dummy gate 314 or 316 .
- the etching may include multiple etching steps to respective dummy gate layers.
- Gate structures 114 and 116 are formed wrapping around the channel regions 110 b and 112 b of the channel regions 110 b and 112 b.
- the gate structures 114 and 116 are formed in the gate trench by a procedure, such as a procedure that includes deposition and CMP.
- gate structures 114 and 116 may be any suitable gate structure, in some embodiments, gate structures 114 and 116 are a high-k metal gate that includes a gate dielectric layer, and a gate electrode layer that each may include a number of sub-layers.
- the gate dielectric layer includes an interfacial layer deposited by a suitable method, such as ALD, CVD, ozone oxidation, etc.
- the interfacial layer may include silicon oxide, HfSiO, silicon nitride, silicon oxynitride, and/or other suitable material.
- the gate dielectric layer includes a high-k dielectric layer deposited on the interfacial layer by a suitable technique, such as ALD, CVD, metal-organic CVD (MOCVD), PVD, thermal oxidation, combinations thereof, and/or other suitable techniques.
- the high-k dielectric layer may include LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), or other suitable materials.
- the gate electrode layer is then formed by ALD, PVD, CVD, or other suitable process, and may include a single layer or multiple layers, such as a metal layer, a liner layer, a wetting layer, and/or an adhesion layer.
- the gate electrode layer may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, or any suitable materials. In some embodiments, different metal gate materials are used for nMOS and pMOS devices.
- a CMP process may be performed to produce a substantially planar top surface of the gate structures 114 and 116 . After the gate structures 114 and 116 are formed, the semiconductor device 100 may be provided for further fabrication, such as contact formation and further fabrication of the interconnect structure.
- the structure resulting from method 200 is a pair of n-type and p-type finFETs 106 and 108 , respectively.
- Channel region 112 b is a p-type channel because it is compressively strained while channel region 110 b is an n-type channel because it is tensile strained.
- method 200 produces both n-type and p-type finFETs at the same time using only one round of epitaxial growth or deposition for both the n-type and the p-type finFETs, and obtaining n-type properties in channel region 110 b from the annealing process 312 .
- the annealing process 312 is very quick compared to epitaxial growth or deposition, thus method 200 provides a time savings over methods which require epitaxial growth or deposition of the n-type and p-type materials separately.
- embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof.
- embodiments of the present disclosure provide structures of and methods for bulk finFETs having n-type and p-type channel fins composed of the same material stack. This allows manufacturing of devices in a shorter time frame, as only one epitaxial growth process phase need be used to obtain both n-type and p-type finFETs.
- Various embodiments of the present disclosure can be implemented with low complexity and low manufacturing cost.
- the present disclosure is directed to a method of forming a semiconductor device.
- the method includes receiving a substrate having first and second fins projecting through an isolation structure over the substrate.
- the method further includes etching a portion of the first and second fins, resulting in first and second trenches; and simultaneously growing a first and a second epitaxial layer in the first and second trenches.
- the method further includes recessing the isolation structure, resulting in a first portion of the first epitaxial layer of the first fin projecting over the isolation structure, a second portion of the first epitaxial layer of the first fin surrounded by the isolation structure.
- the method further includes applying a patterned thermal mask which leaves exposed the first epitaxial layer of the first fin.
- the method further includes performing an annealing process, thereby reversing strain on the first epitaxial layer of the first fin.
- the present disclosure is directed to a semiconductor device.
- the semiconductor device includes a substrate; an isolation structure over the substrate; and a first and second fin structure projecting from the substrate above the isolation structure.
- the first and second fin structure consist of two epitaxial layers over the substrate, wherein a first portion of the first epitaxial layer is projecting above the isolation structure, a second portion of the first epitaxial layer is surrounded by the isolation structure, and the second epitaxial layer is surrounded by the isolation structure.
- the portion of the first epitaxial layer that projects above the isolation structure has a tensile stress in the first fin structure and a compressive stress in the second fin structure.
- the semiconductor device further includes gate structures over the isolation structure and engaging the portion of the first epitaxial layer of each fin that projects above the isolation structure on the top and two sides.
- the semiconductor device further includes source/drain structures over the isolation structure and engaging the portion of the first epitaxial layer of each fin that projects above the isolation structure on the sides which the gate structures do not engage.
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
- The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
- For multiple reasons including facilitating the scaling down process, three-dimensional devices such as fin-type field effect transistors (finFETs) have been developed for their high drive currents with small footprints compared to traditional planar device. Devices such as these have unique considerations associated therewith, and improvements are desired in this area.
- The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
-
FIGS. 1A-1B are cross-sectional views of a semiconductor device, according to various aspects of the present disclosure. -
FIG. 2 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure. -
FIGS. 3A-3J are cross sectional views of forming a semiconductor device according to the method ofFIG. 2 , in accordance with some embodiments. -
FIG. 4 is a cross-sectional view of a Distributed Bragg Reflector, which may be used as a thermal mask according to various aspects of the present disclosure. -
FIG. 5 is a graph of the reflectance of the Distributed Bragg Reflector ofFIG. 4 , according to various aspects of the present disclosure. - The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- The present disclosure is generally related to semiconductor devices, and more particularly to semiconductor devices having multi-gate FETs, such as double gate FETs, tri-gate FETs, and FinFETs. It is an objective of the present disclosure to provide methods for and structures of semiconductor devices in which both n-type and p-type devices are constructed of the same material, and n-type and p-type properties are derived from inducing tensile (for n-type) and compressive (for p-type) stress in the channel regions of the devices.
-
FIG. 1A shows a cross-sectional view of asemiconductor device 100 constructed according to various aspects of the present disclosure.FIG. 1B shows a cross section view of the structure ofFIG. 1A taken along line A-A. As will be shown, thedevice 100 illustrates a P-type FinFET and an N-type FinFET in one region of a substrate. This is provided for simplification and ease of understanding and does not necessarily limit the embodiment to any number of devices, any number of regions, or any configurations of regions. Furthermore, theFinFET device 100 may be an intermediate device fabricated during processing of an integrated circuit (IC), or a portion thereof, that may comprise static random access memory (SRAM) and/or other logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as p-type FETs, n-type FETs, double gate FETs, tri-gate FETs, FinFETs, metal-oxide semiconductor field effect transistors (MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof. - Referring to
FIGS. 1A and 1B , thedevice 100 includes asubstrate 102 and anisolation structure 104 over thesubstrate 102. Thedevice 100 includes a p-type FinFET 108 and an n-type FinFET 106 formed over thesubstrate 102. The FinFETs 106 and 108 have similar structures and will be described collectively below. The following discussion will refer to FinFET 106 and the reference numerals associated therewith. The reference numerals associated with FinFET 108 will be presented in parenthesis. - The FinFET 106 (108) includes a
channel region 110 b (112 b) projecting from thesubstrate 102 upwardly (along the “z” direction) through theisolation structure 104 as a first (second) fin. The FinFET 106 (108) further includes a gate structure 114 (116) over theisolation structure 104 and engaging thechannel regions 110 b (112 b) on three sides thereof (top surface and sidewalls). In some embodiments, the gate structure 114 (116) may engage the respective fins on two sides, e.g., the sidewalls of the fins. The FinFET 106 (108) further includes source/drain structures 118 (120) and 122 (124) formed over theisolation structure 104 in source/drain regions 126, each engaging thechannel regions 110 b (112 b) on one side thereof and displaced from each other such that the gate structure 114 (116) and thechannel region 110 b (112 b) itself separate the source/drain structure 118 (120) from the source/drain structure 122 (124). The various elements of thedevice 100 will be further described in the following sections. - Referring now to
FIG. 2 , a flow chart of amethod 200 is illustrated according to various aspects of the present disclosure in forming a semiconductor device, such as thesemiconductor device 100 ofFIGS. 1A and 1B . Themethod 200 is merely an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after themethod 200, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method. Themethod 200 is described below in conjunction withFIGS. 3A-3H that illustrate cross-sectional views of a semiconductor device at various stages of the manufacturing. - Beginning the process at
operation 202 and referring toFIG. 3A , abulk fin wafer 300 is received that includes asubstrate 102 with one or more raised regions (e.g.,regions 302 and 304) separated byisolation structures 104. - The
substrate 102 is a silicon substrate in an exemplary embodiment. Alternatively, thesubstrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. - In an exemplary embodiment the
isolation structure 104 is formed of a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. In some embodiments theisolation structure 104 includes shallow trench isolation (STI) features. In furtherance of the embodiments, the STI features are formed by a procedure such as the following: thesubstrate 102 is etched within the gaps between thesubstrate regions substrate 102. The etching process may include any suitable etching technique such as dry etching, wet etching, and/or other etching methods (e.g., RIE). In some embodiments, the etching process includes multiple etching steps with different etching chemistries, each targeting a particular material. By the etching process, isolation feature trenches are formed in thesubstrate 102. A dielectric material is subsequently deposited within the isolation feature trenches to form the isolation features 104. Suitable fill materials include semiconductor oxides, semiconductor nitrides, semiconductor oxynitrides, FSG, low-K dielectric materials, and/or combinations thereof. In various exemplary embodiments, the dielectric material is deposited using a HDP-CVD process, a sub-atmospheric CVD (SACVD) process, a high-aspect ratio process (HARP), and/or a spin-on process. In one such embodiment, a CVD process is used to deposit a flowable dielectric material that includes both a dielectric material and a solvent in a liquid or semiliquid state. A curing process is used to drive off the solvent, leaving behind the dielectric material in its solid state. - Referring to
FIG. 3B and moving tooperation 204,substrate regions fin trenches isolation structure 104 and thesubstrate regions - In one embodiment, the resist layer is patterned to leave the portions of the photoresist material disposed over the
isolation structure 104 by the lithography process. After patterning the resist, an etching process is performed to remove a portion ofregion 302 andregion 304 and thereby definefin trenches fin trenches - Referring now to
FIG. 3C and moving tooperation 206, strain relaxed buffer (SRB) layers 110 a and 112 a are epitaxially grown in a bottom portion offin trenches substrate 102 in order to create lattice strain at the interface with thesubstrate 102. In an exemplary embodiment, the SRB layers 110 a and 112 a include Si1-xGex,—where x<1. - Moving to
operation 208,channel regions fin trenches channel regions channel regions channel regions channel regions channel regions - Referring now to
FIG. 3D and moving tooperation 210, a region ofisolation layer 104 is recessed down to about the level ofSRB 110 a in order to expose the sides ofchannel region 110 b, thereby exposingchannel region 110 b as a first fin. In an exemplary embodiment this recessing is achieved by etching, which may be photolithographic etching. Alternatively, other suitable etching techniques may be used. During the etching process,channel region 112 b andSRB 112 a and the region ofisolation layer 104 surrounding them are covered by the appropriate mask so as not to be etched. - Referring now to
FIG. 3E and moving tooperation 212, a patternedthermal mask 310 is applied to the surface of thewafer 300 to protectchannel region 112 b while leavingchannel region 110 b exposed. In an exemplary embodiment, the patternedthermal mask 310 is a distributed Bragg reflector (DBR). - Referring now to
FIG. 4 , there is shown aDBR 400 composed of 10 layers of Si3N4/SiO2 pairs, which is used as athermal mask 310. TheDBR 400 is designed to reflect energy from anannealing process 312 using a wavelength of 532 nm, and as a result thelayers 402 of Si3N4 and 404 of SiO2 are each 75 nm thick. TheDBR 400 can be designed with different thicknesses of the Si3N4 and SiO2 layers in order to reflect energy from anannealing process 312 using different wavelengths. In another exemplary embodiment, the patternedthermal mask 310 is a metal mask or a contact etch stop layer (CESL). - Referring to
FIG. 5 there is shown a graph of the reflectance of anexemplary DBR 400 as shown inFIG. 4 . In this embodiment, theDBR 400 is calibrated for a source of wavelength 532 nm. Therefore theDBR 400 reflects substantially all light in the band around 532 nm. - Referring again to
FIG. 3E and moving tooperation 214, anannealing process 312 is performed on thewafer 300. Due to the patternedthermal mask 310, thechannel region 110 b is selectively annealed. Some of the energy from theannealing process 312reaches SRB layer 110 a as well. - The
annealing process 312 serves to reverse the strain on the channel region to which it is applied. In an exemplary embodiment, theannealing process 312 reverses the compressive strain onchannel region 110 b to tensile strain. In this embodiment, aschannel region 110 b is heated by theannealing process 312 the existing compressive strain is relaxed, resulting in anunstrained channel region 110 b. Additionally, the amount of defects in thechannel region 110 b is reduced as theannealing process 312 heats up thechannel region 110 b. In thisembodiment channel region 110 b has a higher thermo-electric coefficient (TEC) thanSRB layer 110 a. Therefore, as thechannel region 110 b andSRB layer 110 a cool down after annealingprocess 312 is complete, theSRB layer 110 a contracts less than thechannel region 110 b, causing thechannel region 110 b to become tensile strained. - In an exemplary embodiment the
annealing process 312 is a laser annealing process using a laser with a wavelength between 100 and 700 nm. In another exemplary embodiment theannealing process 312 is a flash annealing process using a flash lamp with a wavelength between 400 and 700 nm. In an exemplary embodiment theannealing process 312 reaches a maximum temperature in the range from 500 to 1000° C. In some embodiments, higher annealing temperature causes more pronounced strain withinchannel region 110 b and an accompanying reduction in defects. Any suitable annealing technique may be used including laser annealing, flash annealing, and/or other suitable techniques. - Referring now to
FIG. 3F and moving tooperation 216, the patternedthermal mask 310 is removed from the surface of thewafer 300. Moving to operation 224, a region ofisolation layer 104 is etched away down to the level ofSRB 112 a in order to exposechannel region 112 b, thereby exposingchannel region 112 b as a second fin. In an exemplary embodiment this etching may be achieved by photolithography. Alternatively, other suitable etching techniques may be used. During the etching process,channel region 110 b andSRB 110 a and the region ofisolation layer 104 surrounding them are covered by the appropriate mask so as not to be etched. - Moving to
operation 218, a region ofisolation layer 104 is recessed down to about the level ofSRB 112 a in order to expose the sides ofchannel region 112 b, creating a second fin. In an exemplary embodiment this recessing is achieved by etching, which may be photolithographic etching. Alternatively, other suitable etching techniques may be used. During the etching process,channel region 110 b andSRB 110 a and the region ofisolation layer 104 surrounding them are covered by the appropriate mask so as not to be etched. - Referring now to
FIGS. 3G and 3H (which is a cross-section ofFIG. 3G along line A-A), in some embodiments, a protective structure such as adummy gate channel regions drain structures dummy gate dummy gate - In some embodiments, gate spacers or
sidewall spacers 318 are formed on the sidewalls of thedummy gate drain structures - In some embodiments, a hard mask is formed over the
channel regions drain regions 126 for use as a guiding feature to align the epitaxial growth of the source/drain structures channel regions channel regions - In further preparation for the epitaxial growth of the source/
drain structures drain regions 126 is etched. The etching removes substantially all of the semiconductor material in thechannel regions drain regions 126. The technique may leave a portion of the hard mask extending above the top surface of thesemiconductor layer 120 in order to control and align the epitaxial growth of the source/drain structures channel regions channel regions - Moving to
operation 220 and referring toFIGS. 3G and 3H , source/drain structures drain regions 126. In some embodiments, thedummy gate gate spacers 318 limit the source/drain structures drain regions 126, and the hard mask limits the source/drain structures horizontally within the source/drain regions 126. In many embodiments, the source/drain structures channel regions channel regions - The source/
drain structures drain structures drain structures drain structures drain structures drain structures channel regions drain structures 118 and 122 impart additional tensile strain to thechannel region 110 b and the source/drain structures region 112 b. - Moving to
operation 222 and referring toFIGS. 3I and 3J (which is a cross-section ofFIG. 3I along line A-A),gate structures channel regions drain structures drain regions 126. The ILD may surround thedummy gate gate spacers 318 allowing these features to be removed andreplacement gates dummy gate semiconductor device 100. In such embodiments, the ILD acts as an insulator that supports and isolates the conductive traces. The ILD may include any suitable dielectric material, such as a semiconductor oxide, a semiconductor nitride, a semiconductor oxynitride, a semiconductor carbide, other suitable materials, and/or combinations thereof. In some embodiments, the formation of the ILD includes deposition and CMP. - The
dummy gate dummy gate dummy gate Gate structures channel regions channel regions gate structures gate structures gate structures - In one such embodiment, the gate dielectric layer includes an interfacial layer deposited by a suitable method, such as ALD, CVD, ozone oxidation, etc. The interfacial layer may include silicon oxide, HfSiO, silicon nitride, silicon oxynitride, and/or other suitable material. In some embodiments, the gate dielectric layer includes a high-k dielectric layer deposited on the interfacial layer by a suitable technique, such as ALD, CVD, metal-organic CVD (MOCVD), PVD, thermal oxidation, combinations thereof, and/or other suitable techniques. The high-k dielectric layer may include LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), or other suitable materials.
- The gate electrode layer is then formed by ALD, PVD, CVD, or other suitable process, and may include a single layer or multiple layers, such as a metal layer, a liner layer, a wetting layer, and/or an adhesion layer. The gate electrode layer may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, or any suitable materials. In some embodiments, different metal gate materials are used for nMOS and pMOS devices. A CMP process may be performed to produce a substantially planar top surface of the
gate structures gate structures semiconductor device 100 may be provided for further fabrication, such as contact formation and further fabrication of the interconnect structure. - The structure resulting from
method 200 is a pair of n-type and p-type finFETs Channel region 112 b is a p-type channel because it is compressively strained whilechannel region 110 b is an n-type channel because it is tensile strained. Thusmethod 200 produces both n-type and p-type finFETs at the same time using only one round of epitaxial growth or deposition for both the n-type and the p-type finFETs, and obtaining n-type properties inchannel region 110 b from theannealing process 312. Theannealing process 312 is very quick compared to epitaxial growth or deposition, thusmethod 200 provides a time savings over methods which require epitaxial growth or deposition of the n-type and p-type materials separately. - Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof. For example, embodiments of the present disclosure provide structures of and methods for bulk finFETs having n-type and p-type channel fins composed of the same material stack. This allows manufacturing of devices in a shorter time frame, as only one epitaxial growth process phase need be used to obtain both n-type and p-type finFETs. Various embodiments of the present disclosure can be implemented with low complexity and low manufacturing cost.
- In an exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device. The method includes receiving a substrate having first and second fins projecting through an isolation structure over the substrate. The method further includes etching a portion of the first and second fins, resulting in first and second trenches; and simultaneously growing a first and a second epitaxial layer in the first and second trenches. The method further includes recessing the isolation structure, resulting in a first portion of the first epitaxial layer of the first fin projecting over the isolation structure, a second portion of the first epitaxial layer of the first fin surrounded by the isolation structure. The method further includes applying a patterned thermal mask which leaves exposed the first epitaxial layer of the first fin. The method further includes performing an annealing process, thereby reversing strain on the first epitaxial layer of the first fin.
- In another exemplary aspect, the present disclosure is directed to a semiconductor device. The semiconductor device includes a substrate; an isolation structure over the substrate; and a first and second fin structure projecting from the substrate above the isolation structure. The first and second fin structure consist of two epitaxial layers over the substrate, wherein a first portion of the first epitaxial layer is projecting above the isolation structure, a second portion of the first epitaxial layer is surrounded by the isolation structure, and the second epitaxial layer is surrounded by the isolation structure. The portion of the first epitaxial layer that projects above the isolation structure has a tensile stress in the first fin structure and a compressive stress in the second fin structure. The semiconductor device further includes gate structures over the isolation structure and engaging the portion of the first epitaxial layer of each fin that projects above the isolation structure on the top and two sides. The semiconductor device further includes source/drain structures over the isolation structure and engaging the portion of the first epitaxial layer of each fin that projects above the isolation structure on the sides which the gate structures do not engage.
- The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims (21)
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/599,323 US9391078B1 (en) | 2015-01-16 | 2015-01-16 | Method and structure for finFET devices |
CN201510216889.1A CN106206577B (en) | 2015-01-16 | 2015-04-30 | Method and structure for FinFET |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/599,323 US9391078B1 (en) | 2015-01-16 | 2015-01-16 | Method and structure for finFET devices |
Publications (2)
Publication Number | Publication Date |
---|---|
US9391078B1 US9391078B1 (en) | 2016-07-12 |
US20160211261A1 true US20160211261A1 (en) | 2016-07-21 |
Family
ID=56321128
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/599,323 Active US9391078B1 (en) | 2015-01-16 | 2015-01-16 | Method and structure for finFET devices |
Country Status (2)
Country | Link |
---|---|
US (1) | US9391078B1 (en) |
CN (1) | CN106206577B (en) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20180053853A1 (en) * | 2016-06-09 | 2018-02-22 | International Business Machines Corporation | Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate |
WO2018057043A1 (en) * | 2016-09-26 | 2018-03-29 | Intel Corporation | Source/drain recess etch stop layers and bottom wide-gap cap for iii-v mosfets |
US20180261498A1 (en) * | 2015-12-26 | 2018-09-13 | Intel Corporation | A method to achieve a uniform group iv material layer in an aspect ratio trapping trench |
US20180315757A1 (en) * | 2015-12-22 | 2018-11-01 | Intel Corporation | Fin-based iii-v/si or ge cmos sage integration |
US10319643B1 (en) * | 2018-02-07 | 2019-06-11 | International Business Machines Corporation | Vertical FET with strained channel |
US10332985B2 (en) | 2017-08-31 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
TWI831625B (en) * | 2022-06-24 | 2024-02-01 | 台灣積體電路製造股份有限公司 | Semiconductor device and method of manufacturing thereof |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN106611787A (en) * | 2015-10-26 | 2017-05-03 | 联华电子股份有限公司 | A semiconductor structure and a manufacturing method thereof |
US10276718B2 (en) * | 2017-08-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having a relaxation prevention anchor |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4384988B2 (en) * | 2002-11-25 | 2009-12-16 | インターナショナル・ビジネス・マシーンズ・コーポレーション | Strained FinFET CMOS device structure |
US20080237719A1 (en) * | 2007-03-28 | 2008-10-02 | Doyle Brian S | Multi-gate structure and method of doping same |
US7667271B2 (en) | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
US7915112B2 (en) * | 2008-09-23 | 2011-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate stress film for mobility enhancement in FinFET device |
US8440517B2 (en) | 2010-10-13 | 2013-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET and method of fabricating the same |
US8497528B2 (en) | 2010-05-06 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a strained structure |
US9245805B2 (en) | 2009-09-24 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with metal gates and stressors |
US8362575B2 (en) | 2009-09-29 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling the shape of source/drain regions in FinFETs |
US8610240B2 (en) | 2009-10-16 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit with multi recessed shallow trench isolation |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8796759B2 (en) | 2010-07-15 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8367498B2 (en) | 2010-10-18 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US20120276695A1 (en) * | 2011-04-29 | 2012-11-01 | International Business Machines Corporation | Strained thin body CMOS with Si:C and SiGe stressor |
US8962400B2 (en) | 2011-07-07 | 2015-02-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-situ doping of arsenic for source and drain epitaxy |
US8841701B2 (en) | 2011-08-30 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device having a channel defined in a diamond-like shape semiconductor structure |
US8723272B2 (en) | 2011-10-04 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8723236B2 (en) | 2011-10-13 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8847293B2 (en) | 2012-03-02 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US8836016B2 (en) | 2012-03-08 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods with high mobility and high energy bandgap materials |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8680576B2 (en) | 2012-05-16 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS device and method of forming the same |
US8729634B2 (en) | 2012-06-15 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
US8809139B2 (en) | 2012-11-29 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-last FinFET and methods of forming same |
EP2741320B1 (en) * | 2012-12-05 | 2020-06-17 | IMEC vzw | Manufacturing method of a finfet device with dual-strained channels |
US8853025B2 (en) | 2013-02-08 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET/tri-gate channel doping for multiple threshold voltage tuning |
US9093514B2 (en) | 2013-03-06 | 2015-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strained and uniform doping technique for FINFETs |
US9224605B2 (en) * | 2014-05-01 | 2015-12-29 | Globalfoundries Inc. | Forming alternative material fins with reduced defect density by performing an implantation/anneal defect generation process |
KR102263045B1 (en) * | 2014-07-25 | 2021-06-10 | 삼성전자주식회사 | CMOS device with common strain-relaxed buffer and method for manufacturing thereof |
-
2015
- 2015-01-16 US US14/599,323 patent/US9391078B1/en active Active
- 2015-04-30 CN CN201510216889.1A patent/CN106206577B/en active Active
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20180315757A1 (en) * | 2015-12-22 | 2018-11-01 | Intel Corporation | Fin-based iii-v/si or ge cmos sage integration |
US10748900B2 (en) * | 2015-12-22 | 2020-08-18 | Intel Corporation | Fin-based III-V/SI or GE CMOS SAGE integration |
US20180261498A1 (en) * | 2015-12-26 | 2018-09-13 | Intel Corporation | A method to achieve a uniform group iv material layer in an aspect ratio trapping trench |
US10784352B2 (en) * | 2015-12-26 | 2020-09-22 | Intel Corporation | Method to achieve a uniform Group IV material layer in an aspect ratio trapping trench |
US20180053853A1 (en) * | 2016-06-09 | 2018-02-22 | International Business Machines Corporation | Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate |
US10998441B2 (en) * | 2016-06-09 | 2021-05-04 | International Business Machines Corporation | Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate |
US20190296145A1 (en) * | 2016-09-26 | 2019-09-26 | Intel Corporation | Source/drain recess etch stop layers and bottom wide-gap cap for iii-v mosfets |
WO2018057043A1 (en) * | 2016-09-26 | 2018-03-29 | Intel Corporation | Source/drain recess etch stop layers and bottom wide-gap cap for iii-v mosfets |
US11367789B2 (en) * | 2016-09-26 | 2022-06-21 | Intel Corporation | Source/drain recess etch stop layers and bottom wide-gap cap for III-V MOSFETs |
US10332985B2 (en) | 2017-08-31 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10957784B2 (en) | 2017-08-31 | 2021-03-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10319643B1 (en) * | 2018-02-07 | 2019-06-11 | International Business Machines Corporation | Vertical FET with strained channel |
TWI831625B (en) * | 2022-06-24 | 2024-02-01 | 台灣積體電路製造股份有限公司 | Semiconductor device and method of manufacturing thereof |
Also Published As
Publication number | Publication date |
---|---|
CN106206577B (en) | 2019-04-23 |
US9391078B1 (en) | 2016-07-12 |
CN106206577A (en) | 2016-12-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11145553B2 (en) | Nonplanar device and strain-generating channel dielectric | |
US11563118B2 (en) | Structure and method for SRAM FinFET device | |
US10103264B2 (en) | Channel strain control for nonplanar compound semiconductor devices | |
US9391078B1 (en) | Method and structure for finFET devices | |
KR101709392B1 (en) | Finfet device with high-k metal gate stack | |
US10872893B2 (en) | Dual nitride stressor for semiconductor device and method of manufacturing | |
US20150144999A1 (en) | Structure and Method For FinFET Device With Buried Sige Oxide | |
KR101808915B1 (en) | Semiconductor device including fin structures and manufacturing method thereof | |
US20160163843A1 (en) | Quantum Well Fin-Like Field Effect Transistor (QWFINFET) Having a Two-Section Combo QW Structure | |
US9412850B1 (en) | Method of trimming fin structure | |
US10868149B2 (en) | Source and drain surface treatment for multi-gate field effect transistors | |
TWI675486B (en) | Semiconductor structure and method thereof | |
TW201729399A (en) | Semiconductor structure | |
US11923358B2 (en) | Semiconductor device and manufacturing method thereof | |
US11282942B2 (en) | Semiconductor device structure with uniform threshold voltage distribution and method of forming the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, CHEEWEE;TU, WEN-HSIEN;HUANG, SHIH-HSIEN;AND OTHERS;SIGNING DATES FROM 20150106 TO 20150107;REEL/FRAME:034762/0517 |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 4 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 8 |