US20160049399A1 - Gate structures for semiconductor devices with a conductive etch stop layer - Google Patents

Gate structures for semiconductor devices with a conductive etch stop layer Download PDF

Info

Publication number
US20160049399A1
US20160049399A1 US14/865,784 US201514865784A US2016049399A1 US 20160049399 A1 US20160049399 A1 US 20160049399A1 US 201514865784 A US201514865784 A US 201514865784A US 2016049399 A1 US2016049399 A1 US 2016049399A1
Authority
US
United States
Prior art keywords
metal layer
bulk metal
layer
gate
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/865,784
Inventor
Chanro Park
Hoon Kim
Min Gyu Sung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US14/865,784 priority Critical patent/US20160049399A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, HOON, PARK, CHANRO, SUNG, MIN GYU
Publication of US20160049399A1 publication Critical patent/US20160049399A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present disclosure generally relates to the formation of semiconductor devices, and, more specifically, to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers.
  • MOSFETs metal oxide semiconductor field effect transistors
  • FETs field effect transistors
  • the transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices.
  • CMOS Complementary Metal Oxide Semiconductor
  • Field effect transistors typically include a source region, a drain region, a channel region that is positioned between the source region and the drain region, and a gate electrode positioned above the channel region. Current flow through the FET is controlled by controlling the voltage applied to the gate electrode. For an NMOS device, if there is no voltage (or a logically low voltage) applied to the gate electrode, then there is no current flow through the device (ignoring undesirable leakage currents, which are relatively small). However, when an appropriate positive voltage (or logically high voltage) is applied to the gate electrode, the channel region of the NMOS device becomes conductive, and electrical current is permitted to flow between the source region and the drain region through the conductive channel region. For a PMOS device, the control voltages are reversed. Field effect transistors may come in a variety of different physical shapes, e.g., so-called planar FET devices or so-called 3D or FinFET devices.
  • the gate structures of most transistor elements have included a plurality of silicon-based materials, such as a silicon dioxide and/or silicon oxynitride gate insulation layer, in combination with a polysilicon gate electrode.
  • silicon-based materials such as a silicon dioxide and/or silicon oxynitride gate insulation layer
  • many newer generation devices employ gate structures that contain alternative materials in an effort to avoid the short channel effects which may be associated with the use of traditional silicon-based materials in reduced channel length transistors.
  • gate structures that include a so-called high-k dielectric gate insulation layer and one or metal layers that function as the gate electrode (HK/MG) have been implemented.
  • Such alternative gate structures have been shown to provide significantly enhanced operational characteristics over the heretofore more traditional silicon dioxide/polysilicon gate structure configurations.
  • a high-k gate insulation layer may include tantalum oxide (Ta 2 O 5 ), hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), titanium oxide (TiO 2 ), aluminum oxide (Al 2 O 3 ), hafnium silicates (HfSiO x ) and the like.
  • one or more non-polysilicon metal gate electrode materials may be used in HK/MG configurations to control the work function of the transistor.
  • These metal gate electrode materials may include, for example, one or more layers of titanium (Ti), titanium nitride (TiN), titanium-aluminum (TiAl), titanium-aluminum-carbon (TiALC), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), tantalum silicide (TaSi) and the like.
  • the metal-containing gate structures are formed by performing well-known replacement gate processing techniques.
  • the replacement gate technique involves forming a sacrificial gate structure (e.g., a silicon dioxide gate insulating layer and a polysilicon gate electrode) and a gate cap layer, followed by forming a protective sidewall spacer adjacent the gate structure.
  • the sacrificial gate structure is eventually removed to define a replacement gate cavity between the spacer.
  • the high-k gate insulating layer and the various layers of metal that will comprise the gate electrode are sequentially deposited in the gate cavity. Excess materials positioned outside of the gate cavity are removed by performing one or more CMP process operations.
  • one or more recess etching processing operations are performed to remove some of the materials within the gate cavity to create a space for the formation of a protective gate cap layer.
  • the gate cap layer is formed by overfilling the recessed cavity with a material, such as silicon nitride, and thereafter performing a CMP process to remove the excess gate cap materials.
  • transistors having relative short channel lengths and transistors having relatively long channel lengths are formed on the same substrate.
  • some of the metal materials employed in such metal gate structures such as tungsten, have different etch characteristics depending upon the channel length of the transistor device, due to differences in grain sizes. Accordingly, during the recess etching process that is performed to make room for the gate cap layer above the replacement metal-containing gate structure, some of the gate structure materials may be inadvertently removed or etched, leading to poor device performance or lower yield.
  • etching the gate structures of devices having different channel lengths may result in uneven and inadvertent etching of at least the metal gate materials, such as tungsten or the like, due to the larger grain size and surface area of the metal material in the longer channel devices.
  • the present disclosure is directed to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers that may solve or reduce one or more of the problems identified above.
  • One illustrative gate structure of a transistor device disclosed herein includes a high-k gate insulation layer and a work function metal layer positioned on the high-k gate insulation layer.
  • the device further includes a first bulk metal layer positioned on the work function metal layer.
  • the device further includes a second bulk metal layer.
  • the first and second bulk metal layers have upper surfaces that are at substantially the same height level, and the first and second bulk metal layers are made of substantially the same material.
  • the device further includes a conductive etch stop layer between the first and second bulk metal layers.
  • Another illustrative device disclosed herein includes an integrated circuit device including a gate structure of a short channel device including a high-k gate insulation layer, a work function metal layer positioned on the high-k gate insulation layer, and a first bulk metal layer positioned on the work function metal layer.
  • the device further includes a gate structure of a long channel device including a second high-k gate insulation layer, a second work function metal layer positioned on the second high-k gate insulation layer, another first bulk metal layer positioned on the second work function metal layer, a second bulk metal layer, and a conductive etch stop layer positioned between the another first bulk metal layer and the second bulk metal layer.
  • FIGS. 1A-1K depict various illustrative methods disclosed herein of forming gate structures of semiconductor devices and the resulting devices.
  • the present disclosure relates to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers.
  • the methods and devices disclosed herein may be employed in manufacturing products using a variety of technologies, e.g., NMOS, PMOS, CMOS, etc., and they may be employed in manufacturing a variety of different devices, e.g., memory devices, logic devices, ASICs, etc.
  • technologies e.g., NMOS, PMOS, CMOS, etc.
  • CMOS complementary metal oxide
  • the disclosure should not be considered limited to the illustrative examples depicted and described herein.
  • the disclosure may be employed in forming integrated circuit products using planar transistor devices, as well as so-called 3D devices, such as FinFETs, or a combination of such devices.
  • planar transistor devices such as FinFETs
  • 3D devices such as FinFETs
  • the disclosure should not be considered limited to such an illustrative example.
  • various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIG. 1A is a simplified view of an illustrative integrated circuit product 100 at an early stage of manufacturing, wherein a pair of illustrative semiconductor devices 10 , 11 have been formed in and above the semiconductor substrate 12 .
  • the substrate 12 may have a variety of configurations, such as the depicted bulk silicon configuration.
  • the substrate 12 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein the semiconductor devices 10 , 11 are formed in and above the active layer.
  • SOI silicon-on-insulator
  • the substrate 12 may be made of silicon or it may be made of materials other than silicon.
  • the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • a replacement gate process may be used when forming the gate structures of planar devices or 3D devices.
  • the process includes the formation of structures above an active area of the substrate 12 that is defined by shallow trench isolation structures 13 .
  • the various components and structures of the product 100 may be formed using a variety of different materials and by performing a variety of known techniques.
  • the layers of material may be formed by any of a variety of different known techniques, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal growth process, spin-coating techniques, etc.
  • the integrated circuit product 100 includes two devices 10 , 11 having different channel lengths 10 L and 11 L.
  • the device 10 is a “short channel” device, which, for purposes of this disclosure and the attached claims, shall be understood to be a transistor device wherein the gate length 10 L is 40 nm or less.
  • the device 11 is a “long channel” device, which, for purposes of this disclosure and the attached claims, shall be understood to be a transistor device wherein the gate length 11 L is greater than 40 nm.
  • Each device 10 , 11 includes a sacrificial gate insulation layer 14 , a dummy or sacrificial gate electrode 15 , outermost sidewall spacers 16 , a layer of insulating material 17 and source/drain regions 18 formed in the substrate 12 .
  • the two devices 10 , 11 are depicted as being formed side-by-side on the substrate 12 . However, in practice, the devices 10 , 11 may be positioned remotely from one another on the substrate 12 .
  • the various components and structures of the devices 10 , 11 may be formed using a variety of different materials and by performing a variety of known techniques.
  • the sacrificial gate insulation layers 14 may be made of silicon dioxide
  • the sacrificial gate electrodes 15 may be made of polysilicon
  • the sidewall spacers 16 may be made of silicon nitride
  • the layer of insulating material 17 may be made of silicon dioxide.
  • the source/drain regions 18 typically include implanted dopant materials (N-type dopants for NMOS devices and P-type dopants for PMOS devices) that are implanted into the substrate 12 using known masking and ion implantation techniques.
  • CMP chemical mechanical polishing
  • one or more etching processes were performed to remove the sacrificial gate electrodes 15 and the sacrificial gate insulation layers 14 to thereby define trenches or gate cavities 19 , 20 where replacement gate structures will subsequently be formed.
  • the gate cavity 19 is narrower than the gate cavity 20 .
  • the sacrificial gate insulation layers 14 are removed as part of the replacement gate technique, as depicted herein. However, the sacrificial gate insulation layers 14 may not be removed in all applications.
  • FIGS. 1C-1F various layers of material that will constitute replacement gate structures 30 , 32 (shown in FIG. 1J ) are formed in the gate cavities 19 , 20 .
  • FIG. 1C illustrates the product 100 after various deposition processes have been performed.
  • a high-k gate insulation layer 30 A was conformably deposited onto the product 100 and within the gate cavities 19 , 20 .
  • high-k materials have a dielectric constant, or k-value, of 10 or greater.
  • the high-k gate insulation layer may 30 A be made of various materials and deposited in various thicknesses in various embodiments.
  • a work function metal layer 30 B was conformably deposited onto the product 100 and on the high-k gate insulation layer 30 A within the gate cavities 19 , 20 .
  • the work function metal layer 30 B may be made of various materials and deposited in various thicknesses in various embodiments.
  • the work function metal layer 30 B may be made of titanium nitride.
  • a first bulk metal layer 30 C was deposited onto the product 100 and on the work function metal layer 30 B. When deposited, the first bulk metal layer 30 C overfills the narrower gate cavity 19 , but does not overfill the larger gate cavity 20 .
  • the first bulk metal layer 30 C may be made of tungsten, and it may be deposited to a thickness of between 10-20 nm. However, in various other embodiments, the first bulk metal layer 30 C may be made of other metals and it may be formed to different thicknesses as desired.
  • a conductive etch stop layer 30 D was conformably deposited onto the product 100 on the first bulk metal layer 30 C and within the wider gate cavity 20 .
  • the conductive etch stop layer 30 D should be made of a conductive material that provides good etch selectivity relative to another bulk metal layer that will be formed above the conductive etch stop layer 30 D, as described more fully below.
  • the conductive etch stop layer 30 D may be made of titanium nitride, and it may be deposited such that it has a thickness between 2 nm and 10 nm.
  • the conductive etch stop layer 30 D may be made of other conductive materials, such as titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, aluminum, ruthenium, titanium silicon nitride and tantalum silicon nitride, and its thickness may be varied as desired. Since the conductive etch stop layer 30 D is made of a conductive material—and not an insulating material—any increase in gate resistance is minimized. In at least one embodiment, the conductive etch stop layer 30 D may be made of the same material as the work function metal layer 30 B, e.g. titanium nitride, but such a situation is not required for all applications. Because the narrower gate cavity 19 was overfilled prior to the formation of the etch stop layer 30 D, the conductive etch stop layer 30 D is deposited into the wider gate cavity 20 but not the narrower gate cavity 19 .
  • FIG. 1F depicts the product 100 after a second bulk metal layer 30 E was deposited on the conductive etch stop layer 30 D so as to overfill the wider gate cavity 20 .
  • the second bulk metal layer 30 E may be made of tungsten, and it may be deposited to any desired thickness. However, in various other embodiments, the second bulk metal layer 30 E may be made of other conductive materials. As shown in the depicted example, the second bulk metal layer 30 E is deposited thicker than the first bulk metal layer 30 C, and both include tungsten. However, in other embodiments, the second bulk metal layer 30 E may be made of a different material than the first bulk metal layer 30 C.
  • FIG. 1G depicts the product 100 after one or more CMP processes have been performed to planarize the product 100 using the insulating layer 17 as a polish-stop layer. These operations result in the removal of portions of the gate insulation layer 30 A, the work function metal layer 30 B, the first bulk metal layer 30 C, the conductive etch stop layer 30 D and the second bulk metal layer 30 E outside of the gate cavities 19 , 20 .
  • the CMP process may be performed to planarize the product 100 using the conductive etch stop layer 30 D as a polish-stop layer.
  • the conductive etch stop layer 30 D may be selectively polished.
  • another CMP process is performed using the work function metal layer 30 B as a polish-stop layer.
  • FIG. 1H depicts the product 100 after one or more recess etching processes were performed such that the first and second bulk metal layers 30 C, 30 E were etched and recessed selectively relative to the surrounding layers 30 B, 30 D.
  • the etching process was a timed etching process that left a portion of the second bulk metal layer 30 E intact above the conductive etch stop layer 30 D.
  • the etch rate of the first and second bulk metal layers 30 C, 30 E in the wider gate cavity 20 will typically be faster than the etch rate of the first bulk metal layer 30 C in the narrower gate cavity 19 due to the larger grain size and surface area of tungsten in the wider gate cavity 20 .
  • any such difference in etching rates is not depicted in the resulting structures in the attached drawings.
  • the recessing of the first and second bulk metal layers 30 C, 30 E may result in uneven etching within the second gate cavity 20 causing one or more notches or gouges 40 to be formed where portions of the second bulk metal layer 30 E were etched faster than the surrounding portions.
  • the presence of the conductive etch stop layer 30 D prevented the first bulk metal layer 30 C below the conductive etch stop layer 30 D from being etched.
  • the presence of the conductive etch stop layer 30 D allows for a greater window of time for performing the recess etching process to recess the first and second bulk metal layers 30 C, 30 E within both cavities 19 , 20 .
  • first and second bulk metal layers 30 C, 30 E may be recessed to approximately the same height.
  • the presence of the conductive etch stop layer 30 D also allows for the gate structures within the narrower gate cavity 19 and wider gate cavity 20 to be processed simultaneously, i.e., to be subjected to the recess etching process at the same time.
  • the recipe for the recess etching recipes may be the same for both short and long channel devices and it need not be adjusted based on the channel length of the different devices. Accordingly, the short channel devices and long channel devices do not have to be separately masked and etched when performing the recess etching process.
  • one or more etching processes were performed to selectively remove portions of the conductive etch stop layer 30 D, the work function metal layer 30 B and the high-k gate insulation layer 30 A relative to the surrounding first and second bulk metal layers 30 C, 30 E and the sidewall spacer 16 .
  • the same etching process may be performed to recess the conductive etch stop layer 30 D and work function metal layer 30 B simultaneously.
  • the replacement gate structures 30 the narrower completed gate structure
  • 32 the wider completed gate structure
  • the etching process was performed such that the surfaces of the completed replacement gate structures 30 , 32 are relatively planar and approximately at the same height level.
  • the wider completed gate structure 32 includes the recessed conductive etch stop layer 30 D between the first bulk metal layer 30 C and the recessed second bulk metal layer 30 E in at least one embodiment.
  • the materials used for the replacement gate structures may vary depending upon the application, and the materials for NMOS and PMOS devices are typically different.
  • gate cap layers 31 were formed in the gate cavities 19 , 20 .
  • a layer of gate cap material such as silicon nitride, was deposited onto the product 100 so as to overfill the cavities 19 , 20 above the gate structures 30 , 32 .
  • a CMP process was performed to remove any excess material positioned above the surface of the layer of insulating material 17 and to planarize the surface of the product 100 .
  • the gate cap layers 31 were formed to protect the underlying gate materials during subsequent processing operations.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Composite Materials (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

One illustrative gate structure of a transistor device disclosed herein includes a high-k gate insulation layer and a work function metal layer positioned on the high-k gate insulation layer. The device further includes a first bulk metal layer positioned on the work function metal layer. The device further includes a second bulk metal layer. The first and second bulk metal layers have upper surfaces that are at substantially the same height level, and the first and second bulk metal layers are made of substantially the same material. The device further includes a conductive etch stop layer between the first and second bulk metal layers.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present disclosure generally relates to the formation of semiconductor devices, and, more specifically, to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers.
  • 2. Description of the Related Art
  • The fabrication of advanced integrated circuits, such as CPUs, storage devices, ASICs (application specific integrated circuits) and the like, requires the formation of a large number of circuit elements in a given chip area according to a specified circuit layout, wherein so-called metal oxide semiconductor field effect transistors (MOSFETs or FETs) represent one important type of circuit element that substantially determines performance of the integrated circuits. The transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices. So-called CMOS (Complementary Metal Oxide Semiconductor) technology or products refers to integrated circuit products that are manufactured using both NMOS and PMOS transistor devices.
  • Field effect transistors, whether an NMOS or a PMOS device, typically include a source region, a drain region, a channel region that is positioned between the source region and the drain region, and a gate electrode positioned above the channel region. Current flow through the FET is controlled by controlling the voltage applied to the gate electrode. For an NMOS device, if there is no voltage (or a logically low voltage) applied to the gate electrode, then there is no current flow through the device (ignoring undesirable leakage currents, which are relatively small). However, when an appropriate positive voltage (or logically high voltage) is applied to the gate electrode, the channel region of the NMOS device becomes conductive, and electrical current is permitted to flow between the source region and the drain region through the conductive channel region. For a PMOS device, the control voltages are reversed. Field effect transistors may come in a variety of different physical shapes, e.g., so-called planar FET devices or so-called 3D or FinFET devices.
  • For many early device technology generations, the gate structures of most transistor elements have included a plurality of silicon-based materials, such as a silicon dioxide and/or silicon oxynitride gate insulation layer, in combination with a polysilicon gate electrode. However, as the channel length of aggressively scaled transistor elements has become increasingly small, many newer generation devices employ gate structures that contain alternative materials in an effort to avoid the short channel effects which may be associated with the use of traditional silicon-based materials in reduced channel length transistors. For example, in some aggressively scaled transistor elements, which may have channel lengths on the order of approximately 10-32 nm or less, gate structures that include a so-called high-k dielectric gate insulation layer and one or metal layers that function as the gate electrode (HK/MG) have been implemented. Such alternative gate structures have been shown to provide significantly enhanced operational characteristics over the heretofore more traditional silicon dioxide/polysilicon gate structure configurations.
  • Depending on the specific overall device requirements, several different high-k materials—i.e., materials having a dielectric constant, or k-value, of approximately 10 or greater—have been used with varying degrees of success for the gate insulation layer in an HK/MG gate structure. For example, in some transistor element designs, a high-k gate insulation layer may include tantalum oxide (Ta2O5), hafnium oxide (HfO2), zirconium oxide (ZrO2), titanium oxide (TiO2), aluminum oxide (Al2O3), hafnium silicates (HfSiOx) and the like. Furthermore, one or more non-polysilicon metal gate electrode materials—i.e., a metal gate stack—may be used in HK/MG configurations to control the work function of the transistor. These metal gate electrode materials may include, for example, one or more layers of titanium (Ti), titanium nitride (TiN), titanium-aluminum (TiAl), titanium-aluminum-carbon (TiALC), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), tantalum silicide (TaSi) and the like.
  • In many cases, the metal-containing gate structures are formed by performing well-known replacement gate processing techniques. In general, the replacement gate technique involves forming a sacrificial gate structure (e.g., a silicon dioxide gate insulating layer and a polysilicon gate electrode) and a gate cap layer, followed by forming a protective sidewall spacer adjacent the gate structure. The sacrificial gate structure is eventually removed to define a replacement gate cavity between the spacer. Thereafter, the high-k gate insulating layer and the various layers of metal that will comprise the gate electrode are sequentially deposited in the gate cavity. Excess materials positioned outside of the gate cavity are removed by performing one or more CMP process operations. Next, one or more recess etching processing operations are performed to remove some of the materials within the gate cavity to create a space for the formation of a protective gate cap layer. The gate cap layer is formed by overfilling the recessed cavity with a material, such as silicon nitride, and thereafter performing a CMP process to remove the excess gate cap materials.
  • In modern device fabrication, transistors having relative short channel lengths and transistors having relatively long channel lengths are formed on the same substrate. Unfortunately, some of the metal materials employed in such metal gate structures, such as tungsten, have different etch characteristics depending upon the channel length of the transistor device, due to differences in grain sizes. Accordingly, during the recess etching process that is performed to make room for the gate cap layer above the replacement metal-containing gate structure, some of the gate structure materials may be inadvertently removed or etched, leading to poor device performance or lower yield. More specifically, etching the gate structures of devices having different channel lengths may result in uneven and inadvertent etching of at least the metal gate materials, such as tungsten or the like, due to the larger grain size and surface area of the metal material in the longer channel devices.
  • The present disclosure is directed to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers that may solve or reduce one or more of the problems identified above.
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
  • Generally, the present disclosure is directed to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers. One illustrative gate structure of a transistor device disclosed herein includes a high-k gate insulation layer and a work function metal layer positioned on the high-k gate insulation layer. The device further includes a first bulk metal layer positioned on the work function metal layer. The device further includes a second bulk metal layer. The first and second bulk metal layers have upper surfaces that are at substantially the same height level, and the first and second bulk metal layers are made of substantially the same material. The device further includes a conductive etch stop layer between the first and second bulk metal layers.
  • Another illustrative device disclosed herein includes an integrated circuit device including a gate structure of a short channel device including a high-k gate insulation layer, a work function metal layer positioned on the high-k gate insulation layer, and a first bulk metal layer positioned on the work function metal layer. The device further includes a gate structure of a long channel device including a second high-k gate insulation layer, a second work function metal layer positioned on the second high-k gate insulation layer, another first bulk metal layer positioned on the second work function metal layer, a second bulk metal layer, and a conductive etch stop layer positioned between the another first bulk metal layer and the second bulk metal layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
  • FIGS. 1A-1K depict various illustrative methods disclosed herein of forming gate structures of semiconductor devices and the resulting devices.
  • While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the disclosure as defined by the appended claims.
  • DETAILED DESCRIPTION
  • Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
  • The present subject matter will now be described with reference to the attached figures. Various structures, systems, and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
  • The present disclosure relates to various novel gate structures that comprise a conductive etch stop layer positioned between two bulk metal layers. The methods and devices disclosed herein may be employed in manufacturing products using a variety of technologies, e.g., NMOS, PMOS, CMOS, etc., and they may be employed in manufacturing a variety of different devices, e.g., memory devices, logic devices, ASICs, etc. Of course, the disclosure should not be considered limited to the illustrative examples depicted and described herein.
  • As will be appreciated by those skilled in the art after a complete reading of the present application, the disclosure may be employed in forming integrated circuit products using planar transistor devices, as well as so-called 3D devices, such as FinFETs, or a combination of such devices. For purposes of disclosure, reference will be made to an illustrative process flow wherein an integrated circuit product 100 is formed with a plurality of planar transistor devices 10, 11. However, the disclosure should not be considered limited to such an illustrative example. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIG. 1A is a simplified view of an illustrative integrated circuit product 100 at an early stage of manufacturing, wherein a pair of illustrative semiconductor devices 10, 11 have been formed in and above the semiconductor substrate 12. The substrate 12 may have a variety of configurations, such as the depicted bulk silicon configuration. The substrate 12 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein the semiconductor devices 10, 11 are formed in and above the active layer. The substrate 12 may be made of silicon or it may be made of materials other than silicon. Thus, the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • A replacement gate process may be used when forming the gate structures of planar devices or 3D devices. As shown in FIG. 1A, the process includes the formation of structures above an active area of the substrate 12 that is defined by shallow trench isolation structures 13. The various components and structures of the product 100 may be formed using a variety of different materials and by performing a variety of known techniques. The layers of material may be formed by any of a variety of different known techniques, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal growth process, spin-coating techniques, etc. At the point of fabrication depicted in FIG. 1A, the integrated circuit product 100 includes two devices 10, 11 having different channel lengths 10L and 11L. More specifically, the device 10 is a “short channel” device, which, for purposes of this disclosure and the attached claims, shall be understood to be a transistor device wherein the gate length 10L is 40 nm or less. On the other hand, the device 11 is a “long channel” device, which, for purposes of this disclosure and the attached claims, shall be understood to be a transistor device wherein the gate length 11L is greater than 40 nm. Each device 10, 11 includes a sacrificial gate insulation layer 14, a dummy or sacrificial gate electrode 15, outermost sidewall spacers 16, a layer of insulating material 17 and source/drain regions 18 formed in the substrate 12. For simplicity, the two devices 10, 11 are depicted as being formed side-by-side on the substrate 12. However, in practice, the devices 10, 11 may be positioned remotely from one another on the substrate 12.
  • The various components and structures of the devices 10, 11 may be formed using a variety of different materials and by performing a variety of known techniques. For example, the sacrificial gate insulation layers 14 may be made of silicon dioxide, the sacrificial gate electrodes 15 may be made of polysilicon, the sidewall spacers 16 may be made of silicon nitride and the layer of insulating material 17 may be made of silicon dioxide. The source/drain regions 18 typically include implanted dopant materials (N-type dopants for NMOS devices and P-type dopants for PMOS devices) that are implanted into the substrate 12 using known masking and ion implantation techniques. At the point of fabrication depicted in FIG. 1A, various structures of the devices 10, 11 have been formed and a chemical mechanical polishing (CMP) process has been performed to remove any materials above the sacrificial gate electrodes 15 (such as a protective cap layer (not shown) made of silicon nitride) so that at least the sacrificial gate electrodes 15 may be removed.
  • As shown in FIG. 1B, one or more etching processes were performed to remove the sacrificial gate electrodes 15 and the sacrificial gate insulation layers 14 to thereby define trenches or gate cavities 19, 20 where replacement gate structures will subsequently be formed. As shown, due to the difference in channel lengths 10L and 11L, the gate cavity 19 is narrower than the gate cavity 20. Typically, the sacrificial gate insulation layers 14 are removed as part of the replacement gate technique, as depicted herein. However, the sacrificial gate insulation layers 14 may not be removed in all applications.
  • Next, as shown in FIGS. 1C-1F, various layers of material that will constitute replacement gate structures 30, 32 (shown in FIG. 1J) are formed in the gate cavities 19, 20. FIG. 1C illustrates the product 100 after various deposition processes have been performed. First, a high-k gate insulation layer 30A was conformably deposited onto the product 100 and within the gate cavities 19, 20. As used herein and in the attached claims, high-k materials have a dielectric constant, or k-value, of 10 or greater. As described above, the high-k gate insulation layer may 30A be made of various materials and deposited in various thicknesses in various embodiments. Next, a work function metal layer 30B was conformably deposited onto the product 100 and on the high-k gate insulation layer 30A within the gate cavities 19, 20. As described above, the work function metal layer 30B may be made of various materials and deposited in various thicknesses in various embodiments. In at least one embodiment, the work function metal layer 30B may be made of titanium nitride.
  • As shown in FIG. 1D, a first bulk metal layer 30C was deposited onto the product 100 and on the work function metal layer 30B. When deposited, the first bulk metal layer 30C overfills the narrower gate cavity 19, but does not overfill the larger gate cavity 20. As shown, in one embodiment, the first bulk metal layer 30C may be made of tungsten, and it may be deposited to a thickness of between 10-20 nm. However, in various other embodiments, the first bulk metal layer 30C may be made of other metals and it may be formed to different thicknesses as desired.
  • As shown in FIG. 1E, a conductive etch stop layer 30D was conformably deposited onto the product 100 on the first bulk metal layer 30C and within the wider gate cavity 20. In general, the conductive etch stop layer 30D should be made of a conductive material that provides good etch selectivity relative to another bulk metal layer that will be formed above the conductive etch stop layer 30D, as described more fully below. As shown, in one embodiment, the conductive etch stop layer 30D may be made of titanium nitride, and it may be deposited such that it has a thickness between 2 nm and 10 nm. However, in various other embodiments, the conductive etch stop layer 30D may be made of other conductive materials, such as titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, aluminum, ruthenium, titanium silicon nitride and tantalum silicon nitride, and its thickness may be varied as desired. Since the conductive etch stop layer 30D is made of a conductive material—and not an insulating material—any increase in gate resistance is minimized. In at least one embodiment, the conductive etch stop layer 30D may be made of the same material as the work function metal layer 30B, e.g. titanium nitride, but such a situation is not required for all applications. Because the narrower gate cavity 19 was overfilled prior to the formation of the etch stop layer 30D, the conductive etch stop layer 30D is deposited into the wider gate cavity 20 but not the narrower gate cavity 19.
  • FIG. 1F depicts the product 100 after a second bulk metal layer 30E was deposited on the conductive etch stop layer 30D so as to overfill the wider gate cavity 20. In at least one embodiment, the second bulk metal layer 30E may be made of tungsten, and it may be deposited to any desired thickness. However, in various other embodiments, the second bulk metal layer 30E may be made of other conductive materials. As shown in the depicted example, the second bulk metal layer 30E is deposited thicker than the first bulk metal layer 30C, and both include tungsten. However, in other embodiments, the second bulk metal layer 30E may be made of a different material than the first bulk metal layer 30C.
  • FIG. 1G depicts the product 100 after one or more CMP processes have been performed to planarize the product 100 using the insulating layer 17 as a polish-stop layer. These operations result in the removal of portions of the gate insulation layer 30A, the work function metal layer 30B, the first bulk metal layer 30C, the conductive etch stop layer 30D and the second bulk metal layer 30E outside of the gate cavities 19, 20. In various other embodiments, the CMP process may be performed to planarize the product 100 using the conductive etch stop layer 30D as a polish-stop layer. Next, the conductive etch stop layer 30D may be selectively polished. Next, another CMP process is performed using the work function metal layer 30B as a polish-stop layer. These operations result in the removal of portions of the first bulk metal layer 30C, conductive etch stop layer 30D and the second bulk metal layer 30E outside of the gate cavities 19, 20. In this embodiment, the high-k gate insulation layer 30A and work function metal layer 30B are removed from outside the gate cavities 19, 20 after the recessing performed in FIG. 1H and discussed below.
  • FIG. 1H depicts the product 100 after one or more recess etching processes were performed such that the first and second bulk metal layers 30C, 30E were etched and recessed selectively relative to the surrounding layers 30B, 30D. As shown, the etching process was a timed etching process that left a portion of the second bulk metal layer 30E intact above the conductive etch stop layer 30D. As noted previously, the etch rate of the first and second bulk metal layers 30C, 30E in the wider gate cavity 20 will typically be faster than the etch rate of the first bulk metal layer 30C in the narrower gate cavity 19 due to the larger grain size and surface area of tungsten in the wider gate cavity 20. However, for simplicity, any such difference in etching rates is not depicted in the resulting structures in the attached drawings.
  • As shown in FIG. 1I, the recessing of the first and second bulk metal layers 30C, 30E may result in uneven etching within the second gate cavity 20 causing one or more notches or gouges 40 to be formed where portions of the second bulk metal layer 30E were etched faster than the surrounding portions. However, the presence of the conductive etch stop layer 30D prevented the first bulk metal layer 30C below the conductive etch stop layer 30D from being etched. Additionally, the presence of the conductive etch stop layer 30D allows for a greater window of time for performing the recess etching process to recess the first and second bulk metal layers 30C, 30E within both cavities 19, 20. In some applications, this means that the first and second bulk metal layers 30C, 30E may be recessed to approximately the same height. The presence of the conductive etch stop layer 30D also allows for the gate structures within the narrower gate cavity 19 and wider gate cavity 20 to be processed simultaneously, i.e., to be subjected to the recess etching process at the same time. Specifically, the recipe for the recess etching recipes may be the same for both short and long channel devices and it need not be adjusted based on the channel length of the different devices. Accordingly, the short channel devices and long channel devices do not have to be separately masked and etched when performing the recess etching process.
  • As shown in FIG. 1J (the notches or gouges 40 due to uneven etching are not shown), one or more etching processes were performed to selectively remove portions of the conductive etch stop layer 30D, the work function metal layer 30B and the high-k gate insulation layer 30A relative to the surrounding first and second bulk metal layers 30C, 30E and the sidewall spacer 16. In at least one embodiment, the same etching process may be performed to recess the conductive etch stop layer 30D and work function metal layer 30B simultaneously. As such, the replacement gate structures 30 (the narrower completed gate structure), 32 (the wider completed gate structure) have been defined. In at least one embodiment, the etching process was performed such that the surfaces of the completed replacement gate structures 30, 32 are relatively planar and approximately at the same height level. The wider completed gate structure 32 includes the recessed conductive etch stop layer 30D between the first bulk metal layer 30C and the recessed second bulk metal layer 30E in at least one embodiment. Of course, the materials used for the replacement gate structures may vary depending upon the application, and the materials for NMOS and PMOS devices are typically different.
  • As shown in FIG. 1K, one or more deposition and CMP processes were performed to form gate cap layers 31 in the gate cavities 19, 20. Specifically, a layer of gate cap material, such as silicon nitride, was deposited onto the product 100 so as to overfill the cavities 19, 20 above the gate structures 30, 32. Next, a CMP process was performed to remove any excess material positioned above the surface of the layer of insulating material 17 and to planarize the surface of the product 100. The gate cap layers 31 were formed to protect the underlying gate materials during subsequent processing operations.
  • The particular embodiments disclosed above are illustrative only, as the disclosure may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the disclosure. Note that the use of terms, such as “first,” “second,” “third” or “fourth” to describe various processes or structures in this specification and in the attached claims is only used as a shorthand reference to such steps/structures and does not necessarily imply that such steps/structures are performed/formed in that ordered sequence. Of course, depending upon the exact claim language, an ordered sequence of such processes may or may not be required. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (26)

What is claimed:
1. A gate structure of a transistor device, comprising:
a high-k gate insulation layer;
a work function metal layer positioned on said high-k gate insulation layer;
a first bulk metal layer positioned on said work function metal layer;
a second bulk metal layer, said first and second bulk metal layers comprising upper surfaces that are at substantially the same height level; and
a conductive etch stop layer positioned between said first and second bulk metal layers.
2. The device of claim 1, further comprising a gate cap layer above said conductive etch stop layer.
3. The device of claim 1, wherein said conductive etch stop layer comprises a material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, aluminum, ruthenium, titanium silicon nitride and tantalum silicon nitride.
4. The device of claim 1, wherein said first and second bulk metal layers comprise tungsten.
5. The device of claim 1, wherein said first and second bulk metal layers are made of the same material.
6. The device of claim 1, wherein said first bulk metal layer comprises a different material than said second bulk metal layer.
7. The device of claim 1, wherein said work function metal layer comprises titanium nitride.
8. The device of claim 1, wherein said work function metal layer and said conductive etch stop layer are made of the same material.
9. The device of claim 1, further comprising a gate cap layer that is positioned on and in contact with an upper surface of said first bulk metal layer, an upper surface of said conductive etch stop layer and an upper surface of said second bulk metal layer.
10. The device of claim 1, wherein an upper surface of said first bulk metal layer, an upper surface of said conductive etch stop layer and an upper surface of said second bulk metal layer are all positioned in a common horizontal plane
11. The device of claim 1, wherein said conductive etch stop layer comprises a material selected from the group consisting of titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, aluminum, ruthenium, titanium silicon nitride and tantalum silicon nitride.
12. The device of claim 11, wherein said first bulk metal layer and said second bulk metal layer comprise tungsten.
13. The device of claim 1, wherein, in a cross-section taken through said gate structure in a direction that is parallel to a gate length direction of said transistor device:
each of said high-k gate insulation layer, said work function metal layer, said first bulk metal layer, and said conductive etch stop layer have a generally U-shaped cross-sectional configuration; and
said second bulk metal layer has a generally rectangular cross-sectional configuration, and said second bulk metal layer is bounded on three sides by said generally U-shaped conductive etch stop layer.
14. A gate structure of a transistor device, comprising:
a high-k gate insulation layer;
a work function metal layer positioned on said high-k gate insulation layer, said work function metal layer comprising an upper surface;
a first bulk metal layer positioned on said work function metal layer, said first bulk metal layer comprising an upper surface;
a second bulk metal layer, said second bulk metal layer comprising an upper surface;
a conductive etch stop layer positioned between said first and second bulk metal layers, said conductive etch stop layer comprising an upper surface, wherein said upper surfaces of said conductive etch stop layer, said work function metal layer, said first bulk metal layer and said second bulk metal layer are positioned at substantially the same height level above an upper surface of a semiconductor substrate; and
a gate cap layer that is positioned on and in contact with said upper surfaces of said first bulk metal layer, said conductive etch stop layer and said second bulk metal layer.
15. The device of claim 14, wherein said first and second bulk metal layers are made of the same material.
16. The device of claim 14, wherein said first bulk metal layer comprises a different material than said second bulk metal layer.
17. The device of claim 14, wherein said work function metal layer and said conductive etch stop layer are made of the same material.
18. The device of claim 15, wherein, in a cross-section taken through said gate structure in a direction that is parallel to a gate length direction of said transistor device:
each of said high-k gate insulation layer, said work function metal layer, said first bulk metal layer, and said conductive etch stop layer have a generally U-shaped cross-sectional configuration; and
said second bulk metal layer has a generally rectangular cross-sectional configuration, and said second bulk metal layer is bounded on three sides by said generally U-shaped conductive etch stop layer.
19. An integrated circuit device, comprising:
a gate structure of a short channel device comprising:
a first high-k gate insulation layer comprising a high-k material;
a first work function metal layer positioned on said first high-k gate insulation layer, said first work function metal layer comprising a work function metal material; and
a first bulk metal layer positioned on said first work function metal layer, said first bulk metal layer comprising a bulk metal material; and
a gate structure of a long channel device comprising:
a second high-k gate insulation layer comprising said high-k material;
a second work function metal layer positioned on said second high-k gate insulation layer, said second work function metal layer comprising said work function metal material;
another first bulk metal layer positioned on said second work function metal layer, said another bulk metal layer comprising said bulk metal material;
a second bulk metal layer; and
a conductive etch stop layer positioned between said another first bulk metal layer and said second bulk metal layer.
20. The device of claim 19, further comprising:
a first gate cap layer for said short channel device, wherein said first gate cap layer is positioned on and in contact with an upper surface of said first work function metal layer and an upper surface of said first bulk metal layer of said first gate structure; and
a second gate cap layer for said long channel device, wherein the second gate cap layer is positioned on and in contact with an upper surface of said another first bulk metal layer, an upper surface of said conductive etch stop layer and an upper surface of said second bulk metal layer of said second gate structure.
21. The device of claim 19, wherein said another first bulk metal layer and said second bulk metal layer of said second gate structure are made of the same material.
22. The device of claim 19, wherein said another first bulk metal layer and said second bulk metal layer of said second gate structure are made of different materials.
23. The device of claim 21, wherein said work function metal material comprises titanium nitride.
24. The device of claim 19, wherein said second work function metal layer and said conductive etch stop layer of said second gate structure are made of the same material.
25. The device of claim 19, wherein an upper surface of said another first bulk metal layer, an upper surface of said conductive etch stop layer and an upper surface of said second bulk metal layer of said second gate structure are all positioned in a common horizontal plane.
26. The device of claim 19, wherein, in a cross-section taken through said second gate structure in a direction that is parallel to a gate length direction of said long channel device:
each of said second high-k gate insulation layer, said second work function metal layer, said another first bulk metal layer, and said conductive etch stop layer of said second gate structure have a generally U-shaped cross-sectional configuration; and
said second bulk metal layer of said second gate structure has a generally rectangular cross-sectional configuration, and said second bulk metal layer is bounded on three sides by said generally U-shaped conductive etch stop layer.
US14/865,784 2014-08-13 2015-09-25 Gate structures for semiconductor devices with a conductive etch stop layer Abandoned US20160049399A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/865,784 US20160049399A1 (en) 2014-08-13 2015-09-25 Gate structures for semiconductor devices with a conductive etch stop layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/458,633 US9190488B1 (en) 2014-08-13 2014-08-13 Methods of forming gate structure of semiconductor devices and the resulting devices
US14/865,784 US20160049399A1 (en) 2014-08-13 2015-09-25 Gate structures for semiconductor devices with a conductive etch stop layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/458,633 Division US9190488B1 (en) 2014-08-13 2014-08-13 Methods of forming gate structure of semiconductor devices and the resulting devices

Publications (1)

Publication Number Publication Date
US20160049399A1 true US20160049399A1 (en) 2016-02-18

Family

ID=54434682

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/458,633 Expired - Fee Related US9190488B1 (en) 2014-08-13 2014-08-13 Methods of forming gate structure of semiconductor devices and the resulting devices
US14/865,784 Abandoned US20160049399A1 (en) 2014-08-13 2015-09-25 Gate structures for semiconductor devices with a conductive etch stop layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/458,633 Expired - Fee Related US9190488B1 (en) 2014-08-13 2014-08-13 Methods of forming gate structure of semiconductor devices and the resulting devices

Country Status (1)

Country Link
US (2) US9190488B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107424918A (en) * 2016-04-04 2017-12-01 格罗方德半导体公司 It is recessed to form the method and its generation device of self-aligned contact structure with workfunction material
KR20180061026A (en) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacture
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10546956B2 (en) * 2014-10-17 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
TWI742767B (en) * 2019-07-26 2021-10-11 台灣積體電路製造股份有限公司 Semiconductor device, gate structure of transistor and manufacturing method of semiconductor device
US11469145B2 (en) * 2014-12-24 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor device structure with gate and resulting structures

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9698232B2 (en) * 2015-03-18 2017-07-04 Qualcomm Incorporated Conductive cap for metal-gate transistor
US20160322473A1 (en) * 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9779997B2 (en) * 2015-12-31 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9583400B1 (en) * 2016-01-15 2017-02-28 International Business Machines Corporation Gate stack with tunable work function
US9899264B2 (en) 2016-06-30 2018-02-20 International Business Machines Corporation Integrated metal gate CMOS devices
US10242982B2 (en) * 2017-03-10 2019-03-26 Globalfoundries Inc. Method for forming a protection device having an inner contact spacer and the resulting devices
US10056303B1 (en) 2017-04-21 2018-08-21 Globalfoundries Inc. Integration scheme for gate height control and void free RMG fill
US10050149B1 (en) * 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10325824B2 (en) 2017-06-13 2019-06-18 Globalfoundries Inc. Methods, apparatus and system for threshold voltage control in FinFET devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120313178A1 (en) * 2011-06-13 2012-12-13 Po-Jui Liao Semiconductor device having metal gate and manufacturing method thereof
US20130175587A1 (en) * 2010-12-02 2013-07-11 International Business Machines Corporation Self-aligned contact for replacement gate devices
US20150145057A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Integrated multiple gate length semiconductor device including self-aligned contacts

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528386B1 (en) * 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US6777258B1 (en) * 2002-06-28 2004-08-17 Silicon Light Machines, Inc. Conductive etch stop for etching a sacrificial layer
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7229873B2 (en) * 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
US7656049B2 (en) * 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
DE102007041207B4 (en) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS device with gate insulation layers of different type and thickness and method of manufacture
DE102007046849B4 (en) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Method of making large-gate-gate structures after transistor fabrication
DE102007063270B4 (en) * 2007-12-31 2011-06-01 Amd Fab 36 Limited Liability Company & Co. Kg A method of reducing the generation of charge trapping sites in gate dielectrics in MOS transistors by performing a hydrogen treatment
JP4923078B2 (en) * 2009-03-23 2012-04-25 株式会社東芝 Semiconductor memory device and method of manufacturing the semiconductor memory device
DE102009015747B4 (en) * 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A method of fabricating transistors having metal gate electrode structures and high-k gate dielectric and an intermediate etch stop layer
DE102009023376B4 (en) * 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Adjusting the work function in high-k metal gate electrode structures by selectively removing a barrier layer
DE102009046245B4 (en) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Production of Metallgateelektrodenstrukturen with a separate removal of Platzhaltermaterialien in transistors of different conductivity
US8779530B2 (en) * 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
DE102010003555B4 (en) * 2010-03-31 2019-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Aluminum fuses in a semiconductor device that has metal gate electrode structures
US20130043592A1 (en) * 2011-08-19 2013-02-21 Globalfoundries Inc. Methods of Forming a Replacement Gate Comprised of Silicon and a Device Including Same
US8822283B2 (en) * 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
US8420519B1 (en) * 2011-11-01 2013-04-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits with controlled P-channel threshold voltage
US20140061918A1 (en) * 2011-12-27 2014-03-06 Christopher Jezewski METHOD OF FORMING LOW RESISTIVITY TaNx/Ta DIFFUSION BARRIERS FOR BACKEND INTERCONNECTS
US20130241007A1 (en) * 2012-03-15 2013-09-19 International Business Machines Corporation Use of band edge gate metals as source drain contacts
US8536040B1 (en) * 2012-04-03 2013-09-17 Globalfoundries Inc. Techniques for using material substitution processes to form replacement metal gate electrodes of semiconductor devices with self-aligned contacts
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
CN103531475A (en) * 2012-07-03 2014-01-22 中国科学院微电子研究所 Semiconductor device and method for manufacturing the same
US8940626B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8673731B2 (en) * 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
CN103779413B (en) * 2012-10-19 2016-09-07 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and manufacture method thereof
US9000539B2 (en) * 2012-11-08 2015-04-07 Texas Instruments Incorporated Metal-gate MOS transistor and method of forming the transistor with reduced gate-to-source and gate-to-drain overlap capacitance
US20140134836A1 (en) * 2012-11-09 2014-05-15 GlobalFoundries, Inc. Dielectric cap layer for replacement gate with self-aligned contact
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US9231045B2 (en) * 2013-04-30 2016-01-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits with polycrystalline silicon resistor structures using a replacment gate process flow, and the integrated circuits fabricated thereby
US8877625B1 (en) * 2013-05-14 2014-11-04 Globalfoundries Inc. Methods of forming semiconductor devices with different insulation thickness on the same semiconductor substrate and the resulting devices
US9165928B2 (en) * 2013-06-14 2015-10-20 Globalfoundries Inc. Methods of forming gate structures for CMOS based integrated circuit products and the resulting devices
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US20150076624A1 (en) * 2013-09-19 2015-03-19 GlobalFoundries, Inc. Integrated circuits having smooth metal gates and methods for fabricating same
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130175587A1 (en) * 2010-12-02 2013-07-11 International Business Machines Corporation Self-aligned contact for replacement gate devices
US20120313178A1 (en) * 2011-06-13 2012-12-13 Po-Jui Liao Semiconductor device having metal gate and manufacturing method thereof
US20150145057A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Integrated multiple gate length semiconductor device including self-aligned contacts

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Semiconductor Glossary entry for “ESL” available at https://web.archive.org/web/20091209052933/http://www.semi1source.com/glossary/default.asp?searchterm=ESL as of 12/09/2009 *

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10686077B2 (en) 2014-10-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US11721762B2 (en) 2014-10-17 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US11158744B2 (en) 2014-10-17 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10964819B2 (en) * 2014-10-17 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10840378B2 (en) 2014-10-17 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10546956B2 (en) * 2014-10-17 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US20220375795A1 (en) * 2014-12-24 2022-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for Forming Semiconductor Device Structure with Gate and Resulting Structures
US11469145B2 (en) * 2014-12-24 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor device structure with gate and resulting structures
CN107424918A (en) * 2016-04-04 2017-12-01 格罗方德半导体公司 It is recessed to form the method and its generation device of self-aligned contact structure with workfunction material
DE102017124226B4 (en) 2016-11-29 2024-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20180061026A (en) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacture
US11043427B2 (en) 2016-11-29 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
KR102010664B1 (en) * 2016-11-29 2019-08-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacture
US11532717B2 (en) 2017-11-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US11901426B2 (en) 2017-11-22 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10923573B2 (en) 2017-11-22 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
TWI742767B (en) * 2019-07-26 2021-10-11 台灣積體電路製造股份有限公司 Semiconductor device, gate structure of transistor and manufacturing method of semiconductor device
US11961891B2 (en) 2019-07-26 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd Structure for metal gate electrode and method of fabrication

Also Published As

Publication number Publication date
US9190488B1 (en) 2015-11-17

Similar Documents

Publication Publication Date Title
US9190488B1 (en) Methods of forming gate structure of semiconductor devices and the resulting devices
US9953978B2 (en) Replacement gate structures for transistor devices
US10199479B2 (en) Methods of forming a gate cap layer above a replacement gate structure
US9362283B2 (en) Gate structures for transistor devices for CMOS applications and products
US9012319B1 (en) Methods of forming gate structures with multiple work functions and the resulting products
US8772101B2 (en) Methods of forming replacement gate structures on semiconductor devices and the resulting device
US9412822B2 (en) Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9425103B2 (en) Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US9263580B2 (en) Methods of forming isolated channel regions for a FinFET semiconductor device and the resulting device
US9064948B2 (en) Methods of forming a semiconductor device with low-k spacers and the resulting device
US9178036B1 (en) Methods of forming transistor devices with different threshold voltages and the resulting products
US9064890B1 (en) Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
US9142651B1 (en) Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
US9136177B2 (en) Methods of forming transistor devices with high-k insulation layers and the resulting devices
US9184263B2 (en) Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9059042B2 (en) Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US20140367790A1 (en) Methods of forming gate structures for cmos based integrated circuit products and the resulting devices
US20150348970A1 (en) Gate structures for cmos based integrated circuit products
US20130270656A1 (en) Replacement gate structures for semiconductor devices
US8803254B2 (en) Methods of forming replacement gate structures for NFET semiconductor devices and devices having such gate structures
US9412740B2 (en) Integrated circuit product with a gate height registration structure
US20160013291A1 (en) Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
US9178035B1 (en) Methods of forming gate structures of semiconductor devices
US9337296B2 (en) Integrated circuits having a metal gate structure and methods for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARK, CHANRO;SUNG, MIN GYU;KIM, HOON;REEL/FRAME:036659/0664

Effective date: 20140731

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117