US20160035641A1 - Semiconductor device including passivation layer encapsulant - Google Patents
Semiconductor device including passivation layer encapsulant Download PDFInfo
- Publication number
- US20160035641A1 US20160035641A1 US14/875,917 US201514875917A US2016035641A1 US 20160035641 A1 US20160035641 A1 US 20160035641A1 US 201514875917 A US201514875917 A US 201514875917A US 2016035641 A1 US2016035641 A1 US 2016035641A1
- Authority
- US
- United States
- Prior art keywords
- layer
- semiconductor device
- passivation layer
- encapsulant
- via opening
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000002161 passivation Methods 0.000 title claims abstract description 60
- 239000008393 encapsulating agent Substances 0.000 title claims abstract description 57
- 239000004065 semiconductor Substances 0.000 title claims abstract description 29
- 238000000034 method Methods 0.000 claims abstract description 48
- 239000004020 conductor Substances 0.000 claims abstract description 28
- 239000000463 material Substances 0.000 claims description 23
- 238000009713 electroplating Methods 0.000 claims description 7
- 150000004767 nitrides Chemical class 0.000 claims description 3
- 238000000059 patterning Methods 0.000 abstract description 6
- 238000004519 manufacturing process Methods 0.000 abstract description 3
- 239000000758 substrate Substances 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 238000005530 etching Methods 0.000 description 8
- 238000000151 deposition Methods 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 229910004205 SiNX Inorganic materials 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000002679 ablation Methods 0.000 description 4
- MZZUATUOLXMCEY-UHFFFAOYSA-N cobalt manganese Chemical compound [Mn].[Co] MZZUATUOLXMCEY-UHFFFAOYSA-N 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000000608 laser ablation Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- -1 but not limited to Substances 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 238000002294 plasma sputter deposition Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229910000679 solder Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- HPDFFVBPXCTEDN-UHFFFAOYSA-N copper manganese Chemical compound [Mn].[Cu] HPDFFVBPXCTEDN-UHFFFAOYSA-N 0.000 description 1
- 238000007766 curtain coating Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000005272 metallurgy Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/03—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/28—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
- H01L23/31—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
- H01L23/3157—Partial encapsulation or coating
- H01L23/3171—Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/28—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
- H01L23/31—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
- H01L23/3157—Partial encapsulation or coating
- H01L23/3192—Multilayer coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
- H01L2221/1015—Forming openings in dielectrics for dual damascene structures
- H01L2221/1031—Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/0401—Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/05001—Internal layers
- H01L2224/0501—Shape
- H01L2224/05011—Shape comprising apertures or cavities
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/05001—Internal layers
- H01L2224/0501—Shape
- H01L2224/05012—Shape in top view
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/05001—Internal layers
- H01L2224/0501—Shape
- H01L2224/05012—Shape in top view
- H01L2224/05013—Shape in top view being rectangular
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/05001—Internal layers
- H01L2224/05075—Plural internal layers
- H01L2224/0508—Plural internal layers being stacked
- H01L2224/05085—Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
- H01L2224/05089—Disposition of the additional element
- H01L2224/05093—Disposition of the additional element of a plurality of vias
- H01L2224/05094—Disposition of the additional element of a plurality of vias at the center of the internal layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0555—Shape
- H01L2224/05551—Shape comprising apertures or cavities
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0555—Shape
- H01L2224/05552—Shape in top view
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0555—Shape
- H01L2224/05552—Shape in top view
- H01L2224/05555—Shape in top view being circular or elliptic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0555—Shape
- H01L2224/05556—Shape in side view
- H01L2224/05558—Shape in side view conformal layer on a patterned surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0555—Shape
- H01L2224/05556—Shape in side view
- H01L2224/05559—Shape in side view non conformal layer on a patterned surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0556—Disposition
- H01L2224/05571—Disposition the external layer being disposed in a recess of the surface
- H01L2224/05572—Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05638—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/05647—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05638—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/05655—Nickel [Ni] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05663—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
- H01L2224/05684—Tungsten [W] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13111—Tin [Sn] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13138—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13147—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/11—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L24/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01005—Boron [B]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01006—Carbon [C]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01013—Aluminum [Al]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01019—Potassium [K]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01029—Copper [Cu]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01033—Arsenic [As]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/0105—Tin [Sn]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01074—Tungsten [W]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01075—Rhenium [Re]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01082—Lead [Pb]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/013—Alloys
- H01L2924/014—Solder alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/10—Details of semiconductor or other solid state devices to be connected
- H01L2924/11—Device type
- H01L2924/12—Passive devices, e.g. 2 terminal devices
- H01L2924/1204—Optical Diode
- H01L2924/12042—LASER
Definitions
- FBEOL far back-end-of-line
- C4 controlled collapse chip connection
- UBM underbump metallurgy
- a method of fabricating a semiconductor device includes forming a passivation layer on a least one capping layer of the semiconductor device, and forming an encapsulant layer on the passivation layer. The method further includes patterning the encapsulant layer to expose a portion of the passivation layer and forming a final via opening in the passivation layer. A conductive material is deposited in the final via opening. The method further includes planarizing the conductive material until reaching a remaining portion of the encapsulant layer such that the conductive material is flush with the encapsulant layer and the passivation layer is preserved.
- a method of fabricating a semiconductor device includes forming a passivation layer on a least one capping layer of the semiconductor device, and forming an encapsulant layer on the passivation layer. The method further includes patterning the encapsulant layer to expose a portion of the passivation layer and forming a final via opening in the passivation layer. A conductive material is deposited in the final via opening. The method further includes planarizing the conductive material until reaching a remaining portion of the encapsulant layer such that the conductive material is flush with the encapsulant layer and the passivation layer is preserved.
- a semiconductor device comprises a passivation layer formed on at least one capping layer of the semiconductor device.
- An encapsulant layer is formed on the passivation layer, and a final via opening is formed in the passivation layer.
- a conductive material is deposited in the final via opening. The conductive material is flush with an upper surface of the encapsulant layer.
- the passivation layer has at least one preserved surface that is disposed against the encapsulant layer. The at least one preserved surface excluding at least one etched deformity.
- FIG. 1 is a cross-sectional view of a starting substrate including a film cap formed on contact pads disposed in a dielectric layer, and capping layers formed on an upper surface of the film cap;
- FIG. 2 illustrates the substrate of FIG. 1 following a first etching process that forms terminal via openings in the capping layers to expose an upper surface of the film cap;
- FIG. 3 illustrates the substrate of FIG. 2 after depositing a passivation layer on an upper surface of the capping layer and in the terminal via openings;
- FIG. 4 illustrates the substrate of FIG. 3 after forming an encapsulant layer on an upper surface of the passivation layer
- FIG. 5 illustrates the substrate of FIG. 4 after patterning the encapsulant layer to expose a portion of the underlying passivation layer
- FIG. 6 illustrates the substrate of FIG. 5 following a second etching process that forms a first via opening in the passivation layer and that removes the passivation layer material from the terminal via openings;
- FIG. 7 illustrates the substrate of FIG. 6 following a third etching process that etches through the film cap and stops on the contact pads;
- FIG. 8 illustrates the substrate of FIG. 7 after depositing a conductive liner that conforms to an upper surface of the encapsulant layer and to the surfaces of the passivation layer, capping layers and contact pads defined by the final via opening and the terminal via openings, respectively;
- FIG. 9 illustrates the substrate of FIG. 8 after depositing a conductive material that fills the final via opening and the terminal via openings, and that covers the uppers surfaces of the passivation layer and the encapsulant layer;
- FIG. 10 illustrates the substrate of FIG. 9 following a planarization process that planarizes the conductive material and stops on the encapsulant layer.
- the starting substrate 100 includes a dielectric layer 102 , a film cap 104 , and one or more capping layers 106 .
- the dielectric layer 102 is formed from a dielectric material including, but not limited to, doped silicon carbide, silicon nitride, low-k materials, TEOS, FTEOS, etc.
- a contact pad 108 is disposed in dielectric layer 104 .
- the contact pad 108 is formed from any suitable conducting material including, but not limited to, copper, copper alloy, aluminum, etc.
- the contact pad 108 is formed in the dielectric layer 102 using one or more conventional semiconductor processing techniques, such as, for example, photolithography and reactive ion etch (RIE), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), and atomic layer deposition (ALD).
- RIE photolithography and reactive ion etch
- CVD chemical vapor deposition
- PECVD plasma enhanced chemical vapor deposition
- ALD atomic layer deposition
- an electrically conductive contact liner 109 is interposed between a respective contact pad 108 and the dielectric layer 102 .
- the contact liner 109 is formed from one or more materials including, but not limited to, tantalum nitride (TaN), cobalt (Co), cobalt manganese (CoMn), titanium (Ti), titanium tungsten (TiW) and ruthenium (Ru).
- Various methods for depositing the contact liner 109 may be used including, but not limited to, plasma sputtering,
- the film cap 104 is formed on the dielectric layer 102 and contact pad 108 .
- the film cap 104 is composed of silicon nitride (SiN x ) or a well-known composition referred to as NBLoK (e.g., SiC(N,H), or SN x C y H z ) deposited using conventional processes such as CVD, PECVD, ALD, etc.
- the film cap 104 may have any desired thickness (e.g., depth).
- the invention is not limited to the exemplary materials and processes described herein, and other materials and/or processes may be used to form the film cap 104 within the scope of the invention.
- the capping layers 106 include a first capping layer 110 and a second capping layer 112 .
- the first capping layer 110 is formed on the film cap 104 and is formed from, for example, silicon oxide (SiO x ). It appreciated, however, that other oxide materials may be used to form the first capping layer 110 .
- the first capping layer 110 is deposited using various methods including, but not limited to, CVD, PECVD, ALD, etc.
- the first capping layer 110 has various thicknesses according to the desired application of the semiconductor device.
- the second capping layer 112 is formed on the first capping layer 110 , and is formed from, for example, SiN x . Accordingly, the first capping layer 110 is interposed between the film cap 104 and the second capping layer 112 .
- SiN x is an exemplary material for forming the second capping layer 112 , it is appreciated that other nitride materials may be used.
- the second capping layer 112 is deposited using various methods including, but not limited to, CVD, PECVD, ALD, etc.
- the second capping layer 112 has various thicknesses according to the desired application of the semiconductor device.
- one or more via openings 114 are formed in the first capping layer 110 and the second capping layer 112 .
- the via openings 114 may be formed using a RIE process, for example, that is selective to the material (e.g., nitride) of the film cap 104 .
- the via openings 114 are etched through the first and second capping layers 110 , 112 and stop on the film cap 104 .
- a passivation layer 116 is formed on the second capping layer 112 and fills the via openings 114 .
- the passivation layer 116 is composed of photosensitive polyimide (PSPI) and is deposited using conventional processes such, for example, as spin coating.
- PSPI photosensitive polyimide
- the passivation layer 116 may be cured (e.g., baked) in order to toughen the passivation layer 116 (i.e., the PSPI), as understood by those ordinarily skilled in the art.
- the passivation layer 116 may have any desired thickness (e.g., depth).
- the invention is not limited to the exemplary materials and processes described herein, and other materials and/or processes may be used to form the passivation layer 116 within the scope of the invention, such as curtain coatings of other polymer passivation materials.
- an encapsulant layer 118 is formed on an upper portion of the passivation layer 116 .
- the encapsulant layer 118 is formed from, for example, silicon nitride (SiN x ) and is deposited according to various deposition methods including, but not limited to, CVD, PECVD and ALD.
- the encapsulant layer 118 has a thickness of, for example, approximately 1000 angstroms (A), and is configured to protect the passivation layer 116 during one or more subsequent process (e.g., chemical mechanical planarization), as described in greater detail below.
- the thickness of the encapsulant layer 118 is greater than a thickness of the film cap 104 .
- the encapsulant layer 118 is patterned to form an opening 120 that exposes a portion of the underlying passivation layer 116 .
- a conventional lithograph and RIE technique is used to form the opening 120 when the encapsulant layer 118 is thick, e.g., approximately 1000 A or greater.
- a laser-masking ablation process is used to form the opening 120 when the encapsulant layer 118 is thin, e.g., approximately 500 A.
- a patterned mask (not shown) formed from, for example, aluminum quartz, is interposed between a laser ablation tool and the encapsulant layer 118 .
- the mask is patterned according to a desired patterning (e.g., opening 120 ) to be formed in the encapsulant layer 118 .
- High energy pulses are generated by the laser ablation tools, and are delivered to the encapsulant layer 118 via the patterning of the mask. The pulsed energy heats and ablates the encapsulant layer 118 .
- the energy pulses are generated at wavelength of, for example, 308 nanometers (nm) UV energy, and include a range of fluences from approximately 0.1 to approximately 2.0 joules per square centimeter.
- the pulses have a duration ranging, for example, from approximately 15 nanoseconds (ns) to approximately 25 ns.
- an exemplary wavelength of 308 nm is described above, it is appreciated that the wavelength of the UV pulses includes all wavelengths produced by an excimer laser (i.e., exciplex laser) without limitation.
- the UV energy pulses may range from approximately 126 nm to approximately 351 nm.
- a first via etching process is performed which forms at least one final via (FV) opening 122 in the passivation layer 116 .
- the first via etching process also removes the passivation layer 116 deposited in the via openings 114 .
- the first via etch process is selective to the cap film 104 and the encapsulant layer 118 . In this regard, a portion of the passivation layer 116 located beneath the encapsulant layer 118 is preserved (i.e., not etched) and a portion of the cap film 104 is exposed by a respective via opening 114 .
- the first via etching process may be performed according to either a conventional lithograph and subsequent RIE process, or a laser-masking ablation process similar to the processes discussed above. If laser ablation process is used and the encapsulant layer is thin, e.g., less than 1000 A then the buffer regions 123 are created such that the laser beam used to create the via by ablating the passivation material doesn't cause localized heating and subsequent damage of the thin encapsulant close to the via opening.
- opposing walls of the final via opening 122 formed according to the laser-masking ablation process have an angle being less than 90 degrees with respect to the at least one capping layer, and the opposing walls are uniform with respect to one another.
- opposing buffer regions 123 are formed in the passivation layer 116 as further illustrated in FIG. 6 .
- the buffer regions 123 are formed, for example, by performing a laser-masking ablation process that uses a mask (e.g., an aluminum quartz mask).
- the mask (not shown) includes a pattern configured to form a desired FV opening (e.g., FV opening 122 ) in the passivation layer 116 .
- the mask may include a solid portion that covers a portion of the passivation layer 116 extending between respective patterned edges of the encapsulant layer 118 to the edge of the FV opening 122 . The covered portion, therefore, defines the formed buffer regions 123 .
- the buffer regions 123 may have a length ranging from, for example, approximately 2 nm to approximately 3 nm.
- a second via etching process is performed which removes the cap film 104 exposed by a respective via openings 104 . Accordingly, a portion of the underlying contact pad 108 is exposed.
- the second via etching process is performed, for example, using a RIE process that is selective to the passivation layer 112 and the capping layers 106 .
- the film cap 104 and the encapsulant layer 118 are simultaneously etched. However, the encapsulant layer has thickness that is greater than the thickness of the film cap 104 . In this regard, the film cap 104 is removed while the thickness of the encapsulant layer 118 remains with a reduced thickness.
- an electrically conductive liner 124 is formed on the surfaces of the encapsulant layer 118 and passivation layer 116 .
- the conductive liner 124 also conforms to exposed surfaces of the capping layer 106 and the contact pads 108 defined by the FV opening 122 and the via openings 114 , respectively.
- the conductive liner 124 is formed from one or more materials including, but not limited to, tantalum nitride (TaN), cobalt (Co), cobalt manganese (CoMn), titanium (Ti), titanium tungsten (TiW) and ruthenium (Ru).
- Various methods for depositing the conductive liner 124 may be used including, but not limited to, plasma sputtering, evaporation, ALD and CVD.
- a conductive material 126 is deposited on the conductive liner 124 .
- the conductive material 126 fills the via openings 114 and FV opening 122 , and covers the upper surfaces of the passivation layer 116 and encapsulant layer 118 .
- the conductive material 126 is formed using various processes including, for example, electroplating, and is annealed as understood by those ordinarily skilled in the art.
- the conductive material 126 is an electroplating material such as, for example, copper (Cu). It is appreciated, however, that the conductive material may comprise other conductive materials including, but not limited to, copper manganese (CuMn), gold (Au) and tin (Sn).
- excess conductive material 126 is planarized using a chemical planarization (CMP) process, for example.
- the encapsulant layer 118 acts as an etch stop (e.g., a CMP stop layer) that also protects the underlying passivation layer 116 from being recessed during the CMP process. That is, the CMP process stops on the encapsulant layer 118 such that the upper surface of the conductive material 126 is formed flush with the upper surface of the encapsulant layer 118 , while the underlying passivation layer 116 is unaffected and preserved.
- the passivation layer has a preserved surface 128 that is disposed against the encapsulant layer 118 . Since the encapsulant layer 118 protects the passivation layer 116 from the CMP process, the at least one preserved surface excludes at least one etched deformity which can result when being exposed to the CMP result.
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
A method of fabricating a semiconductor device includes forming a passivation layer on a least one capping layer of the semiconductor device, and forming an encapsulant layer on the passivation layer. The method further includes patterning the encapsulant layer to expose a portion of the passivation layer and forming a final via opening in the passivation layer. A conductive material is deposited in the final via opening. The method further includes planarizing the conductive material until reaching a remaining portion of the encapsulant layer such that the conductive material is flush with the encapsulant layer and the passivation layer is preserved.
Description
- This application is a division of application Ser. No. 14/202,067, entitled “SEMICONDUCTOR DEVICE INCLUDING PASSIVATION LAYER ENCAPSULANT”, filed on Mar. 10, 2014, which is a continuation-in-part of application Ser. No. 13/873,801, entitled “STRUCTURES AND METHODS TO REDUCE MAXIMUM CURRENT DENSITY IN A SOLDER BALL”, filed on Apr. 30, 2013, now Pat. No. 8,674,506, which is a division of application Ser. No. 12/640,752, entitled “STRUCTURES AND METHODS TO REDUCE MAXIMUM CURRENT DENSITY IN A SOLDER BALL”, filed on Dec. 17, 2009, now Pat. No. 8,446,006, and which the entire disclosures of all above-reference applications are hereby being incorporated by reference.
- Conventional far back-end-of-line (FBEOL) processes for fabricating smaller scaled semiconductor devices (e.g., 32 nm and 22 nm) use aluminum pads that support a controlled collapse chip connection (C4) element and the corresponding underbump metallurgy (UBM). As dimensions of features (e.g., pads, wires, interconnects, vias, etc.) continue to shrink to create smaller devices, the maximum allowable current density decreases rapidly due to element electromigration (EM) effects. This crowding of current associated with the C4 and the aluminum pad and/or via structures often results in EM void formation, which can lead to increased resistance that negatively affects the performance of the semiconductor device
- According to at least one embodiment, a method of fabricating a semiconductor device includes forming a passivation layer on a least one capping layer of the semiconductor device, and forming an encapsulant layer on the passivation layer. The method further includes patterning the encapsulant layer to expose a portion of the passivation layer and forming a final via opening in the passivation layer. A conductive material is deposited in the final via opening. The method further includes planarizing the conductive material until reaching a remaining portion of the encapsulant layer such that the conductive material is flush with the encapsulant layer and the passivation layer is preserved.
- According to another embodiment, a method of fabricating a semiconductor device includes forming a passivation layer on a least one capping layer of the semiconductor device, and forming an encapsulant layer on the passivation layer. The method further includes patterning the encapsulant layer to expose a portion of the passivation layer and forming a final via opening in the passivation layer. A conductive material is deposited in the final via opening. The method further includes planarizing the conductive material until reaching a remaining portion of the encapsulant layer such that the conductive material is flush with the encapsulant layer and the passivation layer is preserved.
- According to another embodiment, a semiconductor device comprises a passivation layer formed on at least one capping layer of the semiconductor device. An encapsulant layer is formed on the passivation layer, and a final via opening is formed in the passivation layer. A conductive material is deposited in the final via opening. The conductive material is flush with an upper surface of the encapsulant layer. The passivation layer has at least one preserved surface that is disposed against the encapsulant layer. The at least one preserved surface excluding at least one etched deformity.
- Additional features are realized through the techniques of the present invention. Other embodiments are described in detail herein and are considered a part of the claimed invention. For a better understanding of the invention with the features, refer to the description and to the drawings.
- The subject matter which is regarded as the invention is particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The forgoing features are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:
-
FIG. 1 is a cross-sectional view of a starting substrate including a film cap formed on contact pads disposed in a dielectric layer, and capping layers formed on an upper surface of the film cap; -
FIG. 2 illustrates the substrate ofFIG. 1 following a first etching process that forms terminal via openings in the capping layers to expose an upper surface of the film cap; -
FIG. 3 illustrates the substrate ofFIG. 2 after depositing a passivation layer on an upper surface of the capping layer and in the terminal via openings; -
FIG. 4 illustrates the substrate ofFIG. 3 after forming an encapsulant layer on an upper surface of the passivation layer; -
FIG. 5 illustrates the substrate ofFIG. 4 after patterning the encapsulant layer to expose a portion of the underlying passivation layer; -
FIG. 6 illustrates the substrate ofFIG. 5 following a second etching process that forms a first via opening in the passivation layer and that removes the passivation layer material from the terminal via openings; -
FIG. 7 illustrates the substrate ofFIG. 6 following a third etching process that etches through the film cap and stops on the contact pads; -
FIG. 8 illustrates the substrate ofFIG. 7 after depositing a conductive liner that conforms to an upper surface of the encapsulant layer and to the surfaces of the passivation layer, capping layers and contact pads defined by the final via opening and the terminal via openings, respectively; -
FIG. 9 illustrates the substrate ofFIG. 8 after depositing a conductive material that fills the final via opening and the terminal via openings, and that covers the uppers surfaces of the passivation layer and the encapsulant layer; and -
FIG. 10 illustrates the substrate ofFIG. 9 following a planarization process that planarizes the conductive material and stops on the encapsulant layer. - With reference now to
FIG. 1 , astarting substrate 100 of is illustrated according to an exemplary embodiment. Thestarting substrate 100 includes adielectric layer 102, afilm cap 104, and one or morecapping layers 106. Thedielectric layer 102 is formed from a dielectric material including, but not limited to, doped silicon carbide, silicon nitride, low-k materials, TEOS, FTEOS, etc. According to at least one exemplary embodiment, acontact pad 108 is disposed indielectric layer 104. Thecontact pad 108 is formed from any suitable conducting material including, but not limited to, copper, copper alloy, aluminum, etc. Thecontact pad 108 is formed in thedielectric layer 102 using one or more conventional semiconductor processing techniques, such as, for example, photolithography and reactive ion etch (RIE), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), and atomic layer deposition (ALD). According to at least one embodiment, an electricallyconductive contact liner 109 is interposed between arespective contact pad 108 and thedielectric layer 102. Thecontact liner 109 is formed from one or more materials including, but not limited to, tantalum nitride (TaN), cobalt (Co), cobalt manganese (CoMn), titanium (Ti), titanium tungsten (TiW) and ruthenium (Ru). Various methods for depositing thecontact liner 109 may be used including, but not limited to, plasma sputtering, evaporation, ALD and CVD. - The
film cap 104 is formed on thedielectric layer 102 andcontact pad 108. In embodiments, thefilm cap 104 is composed of silicon nitride (SiNx) or a well-known composition referred to as NBLoK (e.g., SiC(N,H), or SNxCyHz) deposited using conventional processes such as CVD, PECVD, ALD, etc. Thefilm cap 104 may have any desired thickness (e.g., depth). The invention is not limited to the exemplary materials and processes described herein, and other materials and/or processes may be used to form thefilm cap 104 within the scope of the invention. - According to at least one exemplary embodiment, the
capping layers 106 include afirst capping layer 110 and asecond capping layer 112. Thefirst capping layer 110 is formed on thefilm cap 104 and is formed from, for example, silicon oxide (SiOx). It appreciated, however, that other oxide materials may be used to form thefirst capping layer 110. Thefirst capping layer 110 is deposited using various methods including, but not limited to, CVD, PECVD, ALD, etc. Thefirst capping layer 110 has various thicknesses according to the desired application of the semiconductor device. - The
second capping layer 112 is formed on thefirst capping layer 110, and is formed from, for example, SiNx. Accordingly, thefirst capping layer 110 is interposed between thefilm cap 104 and thesecond capping layer 112. Although SiNx is an exemplary material for forming thesecond capping layer 112, it is appreciated that other nitride materials may be used. Thesecond capping layer 112 is deposited using various methods including, but not limited to, CVD, PECVD, ALD, etc. Thesecond capping layer 112 has various thicknesses according to the desired application of the semiconductor device. - Referring to
FIG. 2 , one or more via openings 114 (e.g., terminal via openings) are formed in thefirst capping layer 110 and thesecond capping layer 112. The viaopenings 114 may be formed using a RIE process, for example, that is selective to the material (e.g., nitride) of thefilm cap 104. In this regard, the viaopenings 114 are etched through the first and second capping layers 110, 112 and stop on thefilm cap 104. - Turning to
FIG. 3 , apassivation layer 116 is formed on thesecond capping layer 112 and fills the viaopenings 114. According to at least one embodiment, thepassivation layer 116 is composed of photosensitive polyimide (PSPI) and is deposited using conventional processes such, for example, as spin coating. Thepassivation layer 116 may be cured (e.g., baked) in order to toughen the passivation layer 116 (i.e., the PSPI), as understood by those ordinarily skilled in the art. Thepassivation layer 116 may have any desired thickness (e.g., depth). The invention is not limited to the exemplary materials and processes described herein, and other materials and/or processes may be used to form thepassivation layer 116 within the scope of the invention, such as curtain coatings of other polymer passivation materials. - Referring now to
FIG. 4 , anencapsulant layer 118 is formed on an upper portion of thepassivation layer 116. Theencapsulant layer 118 is formed from, for example, silicon nitride (SiNx) and is deposited according to various deposition methods including, but not limited to, CVD, PECVD and ALD. Theencapsulant layer 118 has a thickness of, for example, approximately 1000 angstroms (A), and is configured to protect thepassivation layer 116 during one or more subsequent process (e.g., chemical mechanical planarization), as described in greater detail below. According to at least one exemplary embodiment, the thickness of theencapsulant layer 118 is greater than a thickness of thefilm cap 104. - Turning now to
FIG. 5 , theencapsulant layer 118 is patterned to form anopening 120 that exposes a portion of theunderlying passivation layer 116. According to an embodiment, a conventional lithograph and RIE technique is used to form theopening 120 when theencapsulant layer 118 is thick, e.g., approximately 1000 A or greater. - According to another embodiment, a laser-masking ablation process is used to form the
opening 120 when theencapsulant layer 118 is thin, e.g., approximately 500 A. In this regard, a patterned mask (not shown) formed from, for example, aluminum quartz, is interposed between a laser ablation tool and theencapsulant layer 118. The mask is patterned according to a desired patterning (e.g., opening 120) to be formed in theencapsulant layer 118. High energy pulses are generated by the laser ablation tools, and are delivered to theencapsulant layer 118 via the patterning of the mask. The pulsed energy heats and ablates theencapsulant layer 118. Accordingly, the desired pattern is formed in theencapsulation layer 118, thereby exposing theunderlying passivation layer 116. The energy pulses are generated at wavelength of, for example, 308 nanometers (nm) UV energy, and include a range of fluences from approximately 0.1 to approximately 2.0 joules per square centimeter. The pulses have a duration ranging, for example, from approximately 15 nanoseconds (ns) to approximately 25 ns. Although an exemplary wavelength of 308 nm is described above, it is appreciated that the wavelength of the UV pulses includes all wavelengths produced by an excimer laser (i.e., exciplex laser) without limitation. For example, the UV energy pulses may range from approximately 126 nm to approximately 351 nm. - Turning to
FIG. 6 , a first via etching process is performed which forms at least one final via (FV) opening 122 in thepassivation layer 116. The first via etching process also removes thepassivation layer 116 deposited in the viaopenings 114. The first via etch process is selective to thecap film 104 and theencapsulant layer 118. In this regard, a portion of thepassivation layer 116 located beneath theencapsulant layer 118 is preserved (i.e., not etched) and a portion of thecap film 104 is exposed by a respective viaopening 114. The first via etching process may be performed according to either a conventional lithograph and subsequent RIE process, or a laser-masking ablation process similar to the processes discussed above. If laser ablation process is used and the encapsulant layer is thin, e.g., less than 1000 A then thebuffer regions 123 are created such that the laser beam used to create the via by ablating the passivation material doesn't cause localized heating and subsequent damage of the thin encapsulant close to the via opening. According to one embodiment, opposing walls of the final via opening 122 formed according to the laser-masking ablation process have an angle being less than 90 degrees with respect to the at least one capping layer, and the opposing walls are uniform with respect to one another. - According to at least one embodiment, opposing
buffer regions 123 are formed in thepassivation layer 116 as further illustrated inFIG. 6 . Thebuffer regions 123 are formed, for example, by performing a laser-masking ablation process that uses a mask (e.g., an aluminum quartz mask). The mask (not shown) includes a pattern configured to form a desired FV opening (e.g., FV opening 122) in thepassivation layer 116. The mask may include a solid portion that covers a portion of thepassivation layer 116 extending between respective patterned edges of theencapsulant layer 118 to the edge of theFV opening 122. The covered portion, therefore, defines the formedbuffer regions 123. Thebuffer regions 123 may have a length ranging from, for example, approximately 2 nm to approximately 3 nm. - Referring now to
FIG. 7 , a second via etching process is performed which removes thecap film 104 exposed by a respective viaopenings 104. Accordingly, a portion of theunderlying contact pad 108 is exposed. The second via etching process is performed, for example, using a RIE process that is selective to thepassivation layer 112 and the capping layers 106. Thefilm cap 104 and theencapsulant layer 118 are simultaneously etched. However, the encapsulant layer has thickness that is greater than the thickness of thefilm cap 104. In this regard, thefilm cap 104 is removed while the thickness of theencapsulant layer 118 remains with a reduced thickness. - Turning to
FIG. 8 , an electricallyconductive liner 124 is formed on the surfaces of theencapsulant layer 118 andpassivation layer 116. Theconductive liner 124 also conforms to exposed surfaces of thecapping layer 106 and thecontact pads 108 defined by theFV opening 122 and the viaopenings 114, respectively. Theconductive liner 124 is formed from one or more materials including, but not limited to, tantalum nitride (TaN), cobalt (Co), cobalt manganese (CoMn), titanium (Ti), titanium tungsten (TiW) and ruthenium (Ru). Various methods for depositing theconductive liner 124 may be used including, but not limited to, plasma sputtering, evaporation, ALD and CVD. - Referring to
FIG. 9 , aconductive material 126 is deposited on theconductive liner 124. According to at least one embodiment, theconductive material 126 fills the viaopenings 114 andFV opening 122, and covers the upper surfaces of thepassivation layer 116 andencapsulant layer 118. Theconductive material 126 is formed using various processes including, for example, electroplating, and is annealed as understood by those ordinarily skilled in the art. According to one exemplary embodiment, theconductive material 126 is an electroplating material such as, for example, copper (Cu). It is appreciated, however, that the conductive material may comprise other conductive materials including, but not limited to, copper manganese (CuMn), gold (Au) and tin (Sn). - Turning now to
FIG. 10 , excessconductive material 126 is planarized using a chemical planarization (CMP) process, for example. Theencapsulant layer 118 acts as an etch stop (e.g., a CMP stop layer) that also protects theunderlying passivation layer 116 from being recessed during the CMP process. That is, the CMP process stops on theencapsulant layer 118 such that the upper surface of theconductive material 126 is formed flush with the upper surface of theencapsulant layer 118, while theunderlying passivation layer 116 is unaffected and preserved. As further illustrated inFIG. 10 , the passivation layer has a preservedsurface 128 that is disposed against theencapsulant layer 118. Since theencapsulant layer 118 protects thepassivation layer 116 from the CMP process, the at least one preserved surface excludes at least one etched deformity which can result when being exposed to the CMP result. - The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one more other features, integers, steps, operations, element components, and/or groups thereof.
- The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiment was chosen and described in order to best explain the principles of the inventive teachings and the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated.
- There may be many variations to this diagram or the operations described therein without departing from the spirit of the invention. For instance, the operations may be performed in a differing order or operations may be added, deleted or modified. All of these variations are considered a part of the claimed invention.
- While various embodiments have been described, it will be understood that those skilled in the art, both now and in the future, may make various modifications which fall within the scope of the claims which follow. These claims should be construed to maintain the proper protection for the invention first described.
Claims (12)
1. A semiconductor device comprising:
a passivation layer formed on at least one capping layer of the semiconductor device;
an encapsulant layer formed on the passivation layer, the passivation layer including opposing buffer regions;
final via opening formed in the passivation layer and between the opposing buffer regions such that the buffer regions define the outer periphery of the final via opening; and
a conductive material deposited in the final via opening, the conductive material including an upper surface being flush with an upper surface of the encapsulant layer,
wherein the passivation layer has at least one preserved surface that is disposed against the encapsulant layer, the at least one preserved surface excluding at least one etched deformity.
2. The semiconductor device of claim 1 , wherein the encapsulant layer is formed from a nitride material that is resistant to the chemical-mechanical planarization process.
3. The semiconductor device of claim 2 , further comprising a conductive liner formed on an upper surface and sidewalls of the passivation layer, and an upper surface of the at least one capping layer defined by the final via opening.
4. The semiconductor device of claim 3 , wherein the conductive material is an electroplating material formed on the conductive liner, the electroplating material filling the final via opening and covering the encapsulant layer.
5. The semiconductor device of claim 4 , wherein an upper surface of the encapsulant layer excludes the conductive liner and is flush with the electroplating material.
6. The semiconductor device of claim 5 , further comprising:
a film cap that covers at least one contact pad disposed in a dielectric layer of the semiconductor device the at least one capping layer formed on the film cap; and
at least one terminal via opening formed in the at least one capping layer prior, the electroplating material filling the least one terminal via opening to form at least one electrically conductive terminal via that contacts the electroplating material and the at least one contact pad.
7. The semiconductor device of claim 6 , wherein the encapsulant layer has a thickness of no less than 1000 angstroms, and a patterned edge of the encapsulant layer extends completely to an edge of the final via opening.
8. The semiconductor device of claim 7 , wherein opposing walls of the final via opening have an angle being less than 90 degrees with respect to the at least one capping layer, the opposing walls being uniform with respect to one another.
9. The semiconductor device of claim 8 , wherein the opposing buffer regions are interposed between a patterned edge of the encapsulant prior and the final via opening.
10. The semiconductor device of claim 5 , wherein an extended portion of the conductive liner is deposited on an upper surface of each buffer region.
11. The semiconductor device of claim 10 , wherein the extended portion of the conductive liner is interposed between a respective buffer region and the conductive material so as to couple the conductive liner and the conductive material to the passivation layer.
12. The semiconductor device of claim 11 , wherein the conductive liner and the conductive material are each excluded from an upper surface of the at least one preserved surface.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/875,917 US20160035641A1 (en) | 2009-12-17 | 2015-10-06 | Semiconductor device including passivation layer encapsulant |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/640,752 US8446006B2 (en) | 2009-12-17 | 2009-12-17 | Structures and methods to reduce maximum current density in a solder ball |
US13/873,801 US8674506B2 (en) | 2009-12-17 | 2013-04-30 | Structures and methods to reduce maximum current density in a solder ball |
US14/202,067 US9214385B2 (en) | 2009-12-17 | 2014-03-10 | Semiconductor device including passivation layer encapsulant |
US14/875,917 US20160035641A1 (en) | 2009-12-17 | 2015-10-06 | Semiconductor device including passivation layer encapsulant |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/202,067 Division US9214385B2 (en) | 2009-12-17 | 2014-03-10 | Semiconductor device including passivation layer encapsulant |
Publications (1)
Publication Number | Publication Date |
---|---|
US20160035641A1 true US20160035641A1 (en) | 2016-02-04 |
Family
ID=51016264
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/202,067 Expired - Fee Related US9214385B2 (en) | 2009-12-17 | 2014-03-10 | Semiconductor device including passivation layer encapsulant |
US14/875,917 Abandoned US20160035641A1 (en) | 2009-12-17 | 2015-10-06 | Semiconductor device including passivation layer encapsulant |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/202,067 Expired - Fee Related US9214385B2 (en) | 2009-12-17 | 2014-03-10 | Semiconductor device including passivation layer encapsulant |
Country Status (1)
Country | Link |
---|---|
US (2) | US9214385B2 (en) |
Families Citing this family (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20160184926A1 (en) * | 2014-12-30 | 2016-06-30 | Suss Microtec Photonic Systems Inc. | Laser ablation system including variable energy beam to minimize etch-stop material damage |
WO2017111804A1 (en) * | 2015-12-24 | 2017-06-29 | Intel Corporation | Structure for improved shorting margin and time dependent dielectric breakdown in interconnect structures |
US10249583B1 (en) * | 2017-09-19 | 2019-04-02 | Infineon Technologies Ag | Semiconductor die bond pad with insulating separator |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6602779B1 (en) * | 2002-05-13 | 2003-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer |
US20070132054A1 (en) * | 2005-12-13 | 2007-06-14 | Applied Materials | Memory cell having stressed layers |
US20080014761A1 (en) * | 2006-06-29 | 2008-01-17 | Ritwik Bhatia | Decreasing the etch rate of silicon nitride by carbon addition |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4508749A (en) | 1983-12-27 | 1985-04-02 | International Business Machines Corporation | Patterning of polyimide films with ultraviolet light |
US4954142A (en) | 1989-03-07 | 1990-09-04 | International Business Machines Corporation | Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor |
US5483100A (en) | 1992-06-02 | 1996-01-09 | Amkor Electronics, Inc. | Integrated circuit package with via interconnections formed in a substrate |
US5302547A (en) | 1993-02-08 | 1994-04-12 | General Electric Company | Systems for patterning dielectrics by laser ablation |
US5843363A (en) | 1995-03-31 | 1998-12-01 | Siemens Aktiengesellschaft | Ablation patterning of multi-layered structures |
US5900674A (en) | 1996-12-23 | 1999-05-04 | General Electric Company | Interface structures for electronic devices |
US6303488B1 (en) | 1997-02-12 | 2001-10-16 | Micron Technology, Inc. | Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed |
US6071809A (en) | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures |
JP3177971B2 (en) | 1999-01-25 | 2001-06-18 | 日本電気株式会社 | Semiconductor device having resistance element |
US6133136A (en) | 1999-05-19 | 2000-10-17 | International Business Machines Corporation | Robust interconnect structure |
US6596624B1 (en) | 1999-07-31 | 2003-07-22 | International Business Machines Corporation | Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier |
US6815329B2 (en) * | 2000-02-08 | 2004-11-09 | International Business Machines Corporation | Multilayer interconnect structure containing air gaps and method for making |
JP2001265826A (en) | 2000-03-16 | 2001-09-28 | Nec Corp | Circuit simulation method and device |
JP2002016065A (en) | 2000-06-29 | 2002-01-18 | Toshiba Corp | Semiconductor device |
US6486082B1 (en) * | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
KR20050087840A (en) | 2002-12-20 | 2005-08-31 | 에이저 시스템즈 인크 | Structure and method for bonding to copper interconnect structures |
US6822327B1 (en) | 2003-06-13 | 2004-11-23 | Delphi Technologies, Inc. | Flip-chip interconnected with increased current-carrying capability |
WO2005024912A2 (en) | 2003-09-09 | 2005-03-17 | Intel Corporation | Methods of processing thick ild layers using spray coating or lamination for c4 wafer level thick metal integrated flow |
US7081679B2 (en) | 2003-12-10 | 2006-07-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for reinforcing a bond pad on a chip |
US7180195B2 (en) | 2003-12-17 | 2007-02-20 | Intel Corporation | Method and apparatus for improved power routing |
JP4917249B2 (en) * | 2004-02-03 | 2012-04-18 | ルネサスエレクトロニクス株式会社 | Semiconductor device and manufacturing method of semiconductor device |
US7732326B2 (en) * | 2004-02-25 | 2010-06-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method |
US7176583B2 (en) | 2004-07-21 | 2007-02-13 | International Business Machines Corporation | Damascene patterning of barrier layer metal for C4 solder bumps |
TWI253700B (en) | 2004-08-03 | 2006-04-21 | Ind Tech Res Inst | Image sensor module packaging structure and method thereof |
US7208843B2 (en) | 2005-02-01 | 2007-04-24 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Routing design to minimize electromigration damage to solder bumps |
US7253528B2 (en) | 2005-02-01 | 2007-08-07 | Avago Technologies General Ip Pte. Ltd. | Trace design to minimize electromigration damage to solder bumps |
US7361993B2 (en) | 2005-05-09 | 2008-04-22 | International Business Machines Corporation | Terminal pad structures and methods of fabricating same |
US20070001301A1 (en) | 2005-06-08 | 2007-01-04 | Yongqian Wang | Under bump metallization design to reduce dielectric layer delamination |
WO2007064073A1 (en) | 2005-12-02 | 2007-06-07 | Nepes Corporation | Bump with multiple vias for semiconductor package, method of fabrication method thereof, and semiconductor package using the same |
US20080249727A1 (en) | 2007-04-04 | 2008-10-09 | Satoru Takase | Systems and Methods for Determining Variations in Voltages Applied to an Integrated Circuit Chip |
-
2014
- 2014-03-10 US US14/202,067 patent/US9214385B2/en not_active Expired - Fee Related
-
2015
- 2015-10-06 US US14/875,917 patent/US20160035641A1/en not_active Abandoned
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6602779B1 (en) * | 2002-05-13 | 2003-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer |
US20070132054A1 (en) * | 2005-12-13 | 2007-06-14 | Applied Materials | Memory cell having stressed layers |
US20080014761A1 (en) * | 2006-06-29 | 2008-01-17 | Ritwik Bhatia | Decreasing the etch rate of silicon nitride by carbon addition |
Also Published As
Publication number | Publication date |
---|---|
US9214385B2 (en) | 2015-12-15 |
US20140183757A1 (en) | 2014-07-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7051934B2 (en) | Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses | |
US9793156B1 (en) | Self-aligned low resistance metallic interconnect structures | |
US9490205B2 (en) | Integrated circuit interconnects and methods of making same | |
US10361115B2 (en) | Reducing contact resistance in vias for copper interconnects | |
US9312172B2 (en) | Semiconductor device and method for making same | |
US6638796B2 (en) | Method of forming a novel top-metal fuse structure | |
US6440833B1 (en) | Method of protecting a copper pad structure during a fuse opening procedure | |
TWI254350B (en) | Fuse structure and method for making the same | |
US9754823B2 (en) | Substrate including selectively formed barrier layer | |
US6468898B1 (en) | Method of manufacturing semiconductor device | |
TW201118997A (en) | Pad structure for semiconductor devices | |
US7633138B2 (en) | Semiconductor device and method of manufacturing the same | |
US9275900B2 (en) | Method of fabricating a semiconductor interconnect structure | |
US11996325B2 (en) | Interconnect structure of semiconductor device | |
TWI719249B (en) | Ic device and method of manufacturing semiconductor structure | |
JP2018519656A (en) | Interconnect structure and method of manufacturing the same | |
US20160035641A1 (en) | Semiconductor device including passivation layer encapsulant | |
US10622319B2 (en) | Final passivation for wafer level warpage and ULK stress reduction | |
US20060131756A1 (en) | Semiconductor device with a metal line and method of forming the same | |
US8404577B2 (en) | Semiconductor device having a grain orientation layer | |
US6638795B2 (en) | Semiconductor device and method of fabricating the same | |
US9633962B2 (en) | Plug via formation with grid features in the passivation layer | |
TWI685917B (en) | Semiconductor device having integrated efuse and method of making the same | |
US20170053879A1 (en) | Method, a semiconductor device and a layer arrangement | |
US20240034619A1 (en) | MEMS Structure with Reduced Peeling and Methods Forming the Same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ERWIN, BRIAN M.;MCLAUGHLIN, KAREN P.;MISRA, EKTA;SIGNING DATES FROM 20150930 TO 20151001;REEL/FRAME:036735/0873 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001 Effective date: 20201117 |