US20150295172A1 - RRAM Cell with Bottom Electrode - Google Patents

RRAM Cell with Bottom Electrode Download PDF

Info

Publication number
US20150295172A1
US20150295172A1 US14/252,111 US201414252111A US2015295172A1 US 20150295172 A1 US20150295172 A1 US 20150295172A1 US 201414252111 A US201414252111 A US 201414252111A US 2015295172 A1 US2015295172 A1 US 2015295172A1
Authority
US
United States
Prior art keywords
layer
dielectric
bottom electrode
electrode
data storage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/252,111
Other versions
US9178144B1 (en
Inventor
Fu-Ting Sung
Shih-Chang Liu
Chia-Shiung Tsai
Yu-Wen LIAO
Wen-Ting Chu
Yu-Hsing Chang
Ru-Liang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/252,111 priority Critical patent/US9178144B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, RU-LIANG, TSAI, CHIA-SHIUNG, CHU, WEN-TING, LIAO, Yu-wen, LIU, SHIH-CHANG, CHANG, YU-HSING, SUNG, FU-TING
Priority to DE102014107416.5A priority patent/DE102014107416A1/en
Publication of US20150295172A1 publication Critical patent/US20150295172A1/en
Application granted granted Critical
Publication of US9178144B1 publication Critical patent/US9178144B1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H01L45/1253
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L45/16
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • RRAM Resistive random access memory
  • An RRAM cell includes a dielectric data storage layer having a variable resistance, which is placed between two electrodes disposed within back-end-of-the-line (BEOL) metallization layers.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of resistive random access memory (RRAM) cell having a bottom electrode with a flat top surface that provides for a low leakage current.
  • RRAM resistive random access memory
  • FIG. 2 illustrates a cross-sectional view of some embodiments of RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIG. 3 illustrates a cross-sectional view of some embodiments of RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • FIG. 4 illustrates a flow diagram of some embodiments of a method of forming a RRAM cell having a bottom electrode comprising a flat top surface connected to a bottom surface by way of curved sidewalls.
  • FIG. 5 illustrates a flow diagram of some alternative embodiments of a method of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIGS. 6-13 illustrate cross-sectional views of some alternative embodiments showing a method of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIG. 14 illustrates a flow diagram of some alternative embodiments of a method of forming a RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • FIGS. 15-24 illustrate cross-sectional views of some alternative embodiments showing a method of forming a RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Resistive random access memory (RRAM) cells have a bottom electrode that is separated from an overlying top electrode by a dielectric data storage layer having a variable resistance.
  • RRAM cells are separated from an underlying metal layer by a bottom dielectric layer comprising an opening that provides for contact between the bottom electrode and the underlying metal interconnect layer.
  • the bottom electrode is formed over the opening, causing the bottom electrode to have a ‘U’ shape that extends from within the opening to a position overlying the bottom dielectric layer.
  • a bottom electrode having such a U shape can cause a number of problems in an RRAM cell.
  • the distance between extensions of the bottom electrode overlying the bottom dielectric layer and the top electrode is relatively small and can cause to leakage currents between the bottom electrode and the top electrode, which lead to degradation of the RRAM cell.
  • the top electrode is typically flanked by insulating sidewall spacers that increase a leakage path distance (i.e., a distance through which a leakage current will travel) between the top electrode and the extension of the bottom electrode.
  • insulating sidewall spacers increase the height and width of the RRAM cell making integration into advanced technology nodes (e.g., 28 nm node, 20 nm node, etc.) more difficult.
  • advanced technology nodes e.g., 28 nm node, 20 nm node, etc.
  • the U shape can lead to micro-trenching in an underlying metal interconnect layer, which over many cycles (e.g., 10,000 cycles) can lead to RRAM failure.
  • the present disclosure relates to a resistive random access memory (RRAM) cell having a bottom electrode with a flat top surface that provides for low leakage currents within the RRAM cell without using insulating sidewall spacers, and an associated method of formation.
  • the RRAM cell comprises a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer.
  • a bottom dielectric layer is disposed over the lower metal interconnect layer and/or the lower ILD layer.
  • a dielectric data storage layer having a variable resistance is located above the bottom dielectric layer and the bottom electrode, and a top electrode is disposed over the dielectric data storage layer. Placement of the dielectric data storage layer onto the bottom dielectric layer increases a leakage path distance between the bottom electrode and the top electrode, and thereby provides for low leakage current for the RRAM cell without using sidewall spacers that increase the size of the RRAM cell.
  • FIG. 1 illustrates a cross-sectional view of a resistive random access memory (RRAM) cell 100 having a bottom electrode 102 with a flat top surface that provides for a low leakage current.
  • RRAM resistive random access memory
  • the RRAM cell 100 comprises a bottom electrode 102 disposed over a lower metal interconnect layer 108 surrounded by a lower inter-level dielectric (ILD) layer 110 .
  • the bottom electrode 102 comprises a top surface 102 b and a bottom surface 102 a.
  • the top surface 102 b may comprise a flat surface connected to the bottom surface 102 a by way of curved sidewalls 102 s (i.e., sidewalls having a slope that changes as a function of height).
  • the bottom surface 102 a may also be curved, such that the sidewalls 102 s and the bottom surface 102 a form a continuous curved surface that extends between opposing sides of the top surface 102 b.
  • a bottom dielectric layer 112 is disposed above the lower metal interconnect layer 108 and/or the lower inter-level dielectric (ILD) layer 110 .
  • a variable resistance dielectric data storage layer 104 which is configured to store a data state depending on an applied voltage, is located over the top surface 102 b of the bottom electrode 102 and the bottom dielectric layer 112 .
  • a top electrode 106 is disposed over the variable resistance dielectric data storage layer 104 .
  • Placement of the variable resistance dielectric data storage layer 104 onto the bottom dielectric layer 112 provides for a relatively large leakage path distance d I (i.e., a distance through which a leakage current will travel) between the bottom electrode 102 and the top electrode 106 , thereby providing for low leakage current of the RRAM cell 100 .
  • a top dielectric layer 114 is disposed over the top electrode 106 .
  • the top dielectric layer 114 continuously extends from a position overlying the top electrode 106 to positions abutting sidewalls of the top electrode 106 and the variable resistance dielectric data storage layer 104 .
  • the top dielectric layer 114 separates the top electrode 106 and the variable resistance dielectric data storage layer 104 from an upper inter-level dielectric (ILD) layer 120 surrounding an upper metal interconnect layer 117 having an upper metal via 116 and an upper metal wire 118 .
  • ILD inter-level dielectric
  • FIG. 2 illustrates a cross-sectional view of some embodiments of RRAM cell 200 having a bottom electrode 206 disposed within a bottom dielectric layer 208 .
  • RRAM cell 200 comprises a bottom electrode 206 disposed onto a lower metal interconnect layer 202 surrounded by lower inter-level dielectric (ILD) layer 204 within a BEOL metallization stack.
  • the lower metal interconnect layer 202 may comprise one of a plurality of metal interconnect layers disposed between the bottom electrode 206 and an underlying semiconductor substrate (not shown).
  • the bottom electrode 206 comprises a flat top surface that is connected to a bottom surface by way of curved sidewalls.
  • the curved sidewalls abut a surrounding bottom dielectric layer 208 .
  • the flat top surface of the bottom electrode 206 and a top surface of the surrounding bottom dielectric layer 208 are aligned along a planar surface 207 .
  • the bottom electrode 206 may comprise a first bottom electrode layer 206 a and a second bottom electrode layer 206 b.
  • the first bottom electrode layer 206 a comprises a ‘U’ shaped layer that abuts the lower metal interconnect layer 202 and the bottom dielectric layer 208 .
  • the second bottom electrode layer 206 b is nested within the opening of the ‘U’ shape of the first bottom electrode layer 206 a.
  • the first and second bottom electrode layers, 206 a and 206 b, have flat top surfaces disposed along the planar surface 207 .
  • a dielectric data storage layer 210 having a variable resistance is disposed onto the top surface of the bottom electrode 206 and the bottom dielectric layer 208 .
  • the dielectric data storage layer 210 will undergo a reversible change between a high resistance state associated with a first data state (e.g., a ‘0’) and a low resistance state associated with a second data state (e.g., a ‘1’).
  • a voltage applied to the dielectric data storage layer 210 will induce conductive paths (e.g., oxygen vacancies) to form across the dielectric data storage layer 210 , thereby reducing the resistance of the dielectric data storage layer 210 .
  • the dielectric data storage layer 210 has a width that is greater than the width of the bottom electrode, so that the dielectric data storage layer 210 extends beyond the bottom electrode 206 on opposing sides.
  • a capping layer 212 may be disposed over the dielectric data storage layer 210 .
  • the capping layer 212 is configured to store oxygen, which can facilitate resistance changes within the dielectric data storage layer 210 .
  • the capping layer 212 may comprise a metal or a metal oxide that is relatively low in oxygen concentration.
  • a top electrode 214 is disposed over the capping layer 212 .
  • the top electrode 214 , the capping layer 212 , and the dielectric data storage layer 210 comprise sidewalls that are laterally aligned with one another (i.e., along a vertical plane). Since the dielectric data storage layer 210 extends beyond the bottom electrode 206 on opposing sides, the top electrode 214 is separated from the bottom electrode 206 by a relatively large leakage path distance d I .
  • the relatively large leakage path distance d I reduces the leakage current in the RRAM cell 200 without using sidewall spacers, thereby allowing for RRAM cell 200 to have a smaller size than RRAM cells using sidewall spacers.
  • a masking layer 216 (e.g., a hard mask layer) is disposed over the top electrode 214 at positions abutting opposite sidewalls of an upper metal via 222 .
  • the masking layer 216 has sidewalls 216 a that are aligned with sidewalls of the top electrode 214 .
  • the masking layer 216 may comprise a silicon oxy-nitride (SiON) hard mask layer or a silicon dioxide (SiO 2 ) hard mask layer.
  • the masking layer 216 may comprise a hard mask layer that is substantially devoid of oxygen.
  • the masking layer 216 may comprise a silicon carbide (SiC) hard mask layer, a silicon-nitride (SiN) hard mask layer, or a composite dielectric film that is substantially devoid of oxygen.
  • SiC silicon carbide
  • SiN silicon-nitride
  • the use of a masking layer 216 that is substantially devoid of oxygen is described in relation to the RRAM cell of FIG. 2 , it will be appreciated that the use of a masking layer 216 that is substantially devoid of oxygen is not limited to such a RRAM cell structure. Rather, the use of a masking layer 216 that is substantially devoid of oxygen may be used with any RRAM cell structure (e.g., an RRAM cell having any shape of bottom electrode, RRAM cell having bottom electrodes that extends over bottom dielectric layer 208 , etc.).
  • a top dielectric layer 218 is disposed onto the masking layer 216 .
  • the top dielectric layer 218 continuously extends along sidewalls of the dielectric data storage layer 210 , the capping layer 212 , the top electrode 214 , and the masking layer 216 , from a first position abutting a top surface of the masking layer 216 to a second position abutting a top surface of the bottom dielectric layer 208 .
  • the top dielectric layer 218 separates the dielectric data storage layer 210 , the capping layer 212 , the top electrode 214 , and the masking layer 216 from an upper inter-level dielectric (ILD) layer 220 .
  • ILD inter-level dielectric
  • the upper ILD layer 220 surrounds an upper metal interconnect layer 221 disposed onto the top electrode 214 .
  • the upper metal interconnect layer 221 comprises the upper metal via 222 , which extends from the top electrode 214 , through the masking layer 216 and the top dielectric layer 218 , to an upper metal wire 118 .
  • FIG. 3 illustrates a cross-sectional view of some embodiments of RRAM cell 300 having a bottom electrode 304 disposed within a lower metal interconnect layer 302 .
  • RRAM cell 300 comprises a bottom electrode 304 disposed within a lower metal interconnect layer 302 surrounded by lower inter-level dielectric (ILD) layer 204 .
  • the bottom electrode 304 comprises a flat top surface that is connected to a curved bottom surface by way of curved sidewalls, such that the sidewalls and the bottom surface form a continuous curved surface that extends between opposing sides of the flat top surface.
  • the bottom surface of the bottom electrode 304 has a convex curvature that abuts a concave top surface of the lower metal interconnect layer 302 .
  • the flat top surface of the bottom electrode 304 is aligned with a top surface of the lower ILD layer 204 along a planar surface 305 .
  • the bottom electrode 304 may comprise a first bottom electrode layer 304 a having a ‘U’ shaped layer that is disposed onto the lower metal interconnect layer 302 , and a second bottom electrode layer 304 b is nested within the opening of the U shape of the first bottom electrode layer 304 a.
  • the first and second bottom electrode layers, 304 a and 304 b, have flat top surfaces disposed along the planar surface 305 .
  • a bottom dielectric layer 306 is disposed over the lower ILD layer 204 and the bottom electrode 304 .
  • the bottom dielectric layer 306 comprises an opening that provides for contact between the bottom electrode 304 and a dielectric data storage layer 308 .
  • the dielectric data storage layer 308 is disposed over the opening, causing the dielectric data storage layer 308 to have a non-planar topography that extends from within the opening to a position overlying the bottom dielectric layer 306 .
  • a capping layer 310 having a non-planar topography is disposed over the dielectric data storage layer 308
  • a top electrode 312 having a non-planar topography is disposed over the dielectric data storage layer 308 .
  • the top electrode 312 is separated from the bottom electrode 304 by a relatively large leakage path distance d I .
  • the relatively large leakage path distance d I reduces the leakage current in the RRAM cell 300 without using sidewall spacers, thereby allowing for RRAM cell 300 to have a smaller size than RRAM cells using sidewall spacers.
  • a masking layer 314 having a non-planar topography is disposed over the top electrode 312 .
  • the masking layer 314 may comprise a hard mask layer that is substantially devoid of oxygen.
  • a top dielectric layer 316 continuously extends from a first position abutting a top surface of the masking layer 314 to a second position abutting a top surface of the bottom dielectric layer 306 .
  • the top dielectric layer 316 has a first side that abuts the dielectric data storage layer 308 , the capping layer 310 , the top electrode 312 , and the masking layer 314 , and a second side that abuts an upper inter-level dielectric (ILD) layer 318 .
  • the upper ILD layer 318 surrounds an upper metal interconnect layer 319 comprising an upper metal via 320 configured to extend from the top electrode 312 , through the masking layer 314 and the top dielectric layer 316 , to an upper metal wire 118 .
  • FIG. 4 illustrates a flow diagram of some embodiments of a method 400 of forming a RRAM cell having a bottom electrode with a flat top that provides for a low leakage current.
  • a bottom electrode is formed over a lower metal interconnect layer comprised within a lower inter-level dielectric (ILD) layer.
  • the bottom electrode may comprise a flat top surface connected to a bottom surface by way of curved sidewalls.
  • a bottom dielectric layer is formed over the lower metal interconnect layer or the lower ILD layer.
  • a dielectric data storage layer having a variable resistance is formed above the bottom dielectric layer and the bottom electrode.
  • the dielectric data storage layer may be formed onto and in direct contact with the bottom dielectric layer and the bottom electrode.
  • a top electrode is formed over dielectric data storage layer.
  • an upper metal interconnect layer is formed over the top electrode.
  • FIG. 5 illustrates a flow diagram of some embodiments of a method 500 of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • a lower metal interconnect layer is formed within a lower inter-level dielectric (ILD) layer disposed over a semiconductor substrate.
  • ILD inter-level dielectric
  • a bottom dielectric layer is formed over the lower metal interconnect layer and/or the lower ILD layer.
  • the bottom dielectric layer is selectively etched to form an opening that extends through the bottom dielectric layer to expose the lower metal interconnect layer.
  • a first bottom electrode layer having curved sidewalls is formed within the opening.
  • the first bottom electrode layer may comprise a first material.
  • a second bottom electrode layer may be formed within the opening at a position overlying the first bottom electrode layer, in some embodiments.
  • the second bottom electrode layer is nested within the first bottom electrode layer and also has curved sidewalls.
  • the second bottom electrode layer may comprise a second material different than the first material.
  • a planarization process is performed to remove excess material from the first bottom electrode layer and the second bottom electrode layer.
  • the planarization process forms a bottom electrode having a flat top surface that is located along a plane aligned with a top surface of the bottom dielectric layer.
  • a stack is formed over the bottom electrode layer and the bottom dielectric layer.
  • the stack comprises a planar dielectric data storage layer, a planar capping layer disposed onto the planar dielectric data storage layer, a planar top electrode layer disposed onto the planar capping layer, and a planar masking layer disposed onto the planar top electrode.
  • the stack is selectively patterned according to the planar masking layer to form a patterned stack having a dielectric data storage layer that abuts top surfaces of the bottom electrode and the bottom dielectric layer.
  • a top dielectric layer is formed over the patterned stack.
  • the top dielectric layer continuously extends from position abutting planar masking layer to position abutting bottom dielectric layer.
  • an upper inter-level dielectric (ILD) layer is formed over the top dielectric layer.
  • ILD inter-level dielectric
  • an upper metal interconnect layer is formed onto the top electrode.
  • the upper metal interconnect layer comprises an upper metal via formed at a position in contact with the top electrode, and an upper metal wire formed in contact with the upper metal via.
  • FIGS. 6-13 illustrate some embodiments of cross-sectional views showing a method of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIGS. 6-13 are described in relation to method 500 , it will be appreciated that the structures disclosed in FIGS. 6-13 are not limited to such a method, but instead may stand alone as structures independent of the method.
  • FIG. 6 illustrates some embodiments of a cross-sectional view 600 corresponding to acts 502 - 504 .
  • a lower metal interconnect layer 202 is formed within a lower inter-level dielectric (ILD) layer 204 .
  • the lower metal interconnect layer 202 may be formed by selectively etching the lower ILD layer 204 (e.g., an oxide, a low-k dielectric, or an ultra low-k dielectric) to form an opening in the lower ILD layer 204 .
  • a metal e.g., copper, aluminum, etc.
  • a planarization process is performed to remove excess metal to form the lower metal interconnect layer 202 .
  • a bottom dielectric layer 602 is formed onto the lower metal interconnect layer 202 and/or the lower ILD layer 204 .
  • the bottom dielectric layer 602 may comprise silicon-nitride (SiN), silicon-carbide (SiC), or a similar composite dielectric film.
  • the bottom dielectric layer 602 may be formed by a vapor deposition technique (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • FIG. 7 illustrates some embodiments of a cross-sectional view 700 corresponding to act 506 .
  • a first masking layer 704 is formed over the bottom dielectric layer 702 .
  • the bottom dielectric layer 702 is the selectively exposed to an etchant 706 (e.g., a dry etchant) in areas not covered by the first masking layer 704 .
  • the etchant 706 forms an opening 708 in the bottom dielectric layer 702 , which extends through the bottom dielectric layer 702 to the lower metal interconnect layer 202 .
  • the opening 708 may have curved sidewalls 702 s (i.e., sidewalls having a slope that changes as a function of height).
  • FIG. 8 illustrates some embodiments of a cross-sectional view 800 corresponding to acts 508 - 510 .
  • a first bottom electrode layer 802 is formed within the opening 708 .
  • the first bottom electrode layer 802 extends from within the opening 708 to a position overlying the bottom dielectric layer 702 .
  • the first bottom electrode layer 802 may comprise tantalum (Ta) or tantalum nitride (TaN), for example.
  • a second bottom electrode layer 804 is formed within the opening at a position overlying the first bottom electrode layer 802 .
  • the second bottom electrode layer 804 extends from within the opening 708 to a position overlying the bottom dielectric layer 702 .
  • the second bottom electrode layer 804 may comprise titanium (Ti) or titanium nitride (TiN), for example.
  • FIG. 9 illustrates some embodiments of a cross-sectional view 900 corresponding to act 512 .
  • a planarization process is performed to form a flat surface along line 902 by removing excess materials from the first and second bottom electrode layers, 206 a and 206 b.
  • the planarization process results in a bottom electrode 206 having a flat top surface connected to the bottom surface by way of curved sidewalls.
  • the flat top surface of the bottom electrode 206 is aligned with the top surface of the bottom dielectric layer 702 .
  • the planarization process may comprise a chemical mechanical polishing (CMP) process.
  • FIG. 10 illustrates some embodiments of a cross-sectional view 1000 corresponding to act 514 .
  • a stack 1001 is formed over the bottom electrode 206 and the bottom dielectric layer 702 .
  • the stack 1001 comprises a planar dielectric data storage layer 1002 , a planar capping layer 1004 overlying the planar dielectric data storage layer 1002 , a planar top electrode layer 1006 overlying the planar capping layer 1004 , and a planar masking layer 1008 overlying the planar top electrode layer 1006 .
  • the planar masking layer 1008 is configured to define a top electrode of the RRAM cell.
  • the different layers of the stack 1001 may be deposited by way of vapor deposition techniques (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • the planar dielectric data storage layer 1002 may comprise a high-k dielectric material having a variable resistance.
  • the planar dielectric data storage layer 1002 may comprise hafnium oxide (HfO x ), zirconium oxide (ZrO x ), aluminum oxide (AlO x ), nickel oxide (NiO x ), tantalum oxide (TaO x ), or titanium oxide (TiO x ).
  • the planar capping layer 1004 may comprise a metal such as titanium (Ti), hafnium (Hf), platinum (Pt), ruthenium (Ru), and/or aluminum (Al).
  • the planar capping layer 1004 may comprise a metal oxide such as titanium oxide (TiO x ), hafnium oxide (HfO x ), zirconium oxide (ZrO x ), germanium oxide (GeO x ), cesium oxide (CeO x )
  • the planar top electrode layer 1006 may comprise a metal nitride (e.g., titanium nitride (TiN) or tantalum nitride (TaN)) or a metal (e.g., titanium (Ti) or tantalum (Ta)).
  • the planar masking layer 1008 may comprise an oxygen containing hard mask layer, such as silicon-oxide (SiO 2 ) or silicon-oxynitride (SiON).
  • the planar masking layer 1008 may comprise a hard mask layer that is substantially devoid of oxygen, such as silicon-nitride (SiN) silicon-carbide (SiC), or a composite dielectric film that is substantially devoid of oxygen.
  • FIG. 11 illustrates some embodiments of a cross-sectional view 1100 corresponding to act 516 .
  • the stack 1001 is patterned according to the planar masking layer 1104 .
  • the stack 1001 may be patterned to form a patterned stack 1001 ′ by selectively exposing the stack 1001 to an etchant 1102 in areas not covered by the planar masking layer 1104 .
  • the patterned stack 1001 ′ has a dielectric data storage layer 210 that abuts top surfaces of the bottom electrode 206 and the bottom dielectric layer 208 .
  • the etchant 1102 may comprise a dry etchant.
  • a planar masking layer 1008 that is substantially devoid of oxygen can increase yield of an associated RRAM cell. This is because during patterning of the stack 1001 , the etchant 1102 may dissociate oxygen radicals from an oxygen containing masking layer (e.g., such as SiO 2 or SiON). The dissociated oxygen radicals can subsequently be implanted into the top electrode 214 , the capping layer 212 , and/or the dielectric layer 210 , where the oxygen radicals lead to device failure (e.g., disturb formation of RRAM filament and resetting the RRAM filament). Using a planar masking layer 1008 that is substantially devoid of oxygen eliminates such oxygen radicals during patterning of the stack 1001 , leading to a yield increase (e.g., of up to 30% or more).
  • a yield increase e.g., of up to 30% or more.
  • planar masking layer 1008 that is substantially devoid of substantially oxygen is described with relation to FIGS. 10-11 , it will be appreciated that the use of a planar masking layer 1008 that is substantially devoid of oxygen (e.g., to pattern a top electrode and/or a bottom electrode) is not limited to such a method or to such a RRAM cell structures. Rather, the use of a planar masking layer 1008 that is substantially devoid may be used with any method of RRAM formation and/or with any RRAM cell structures.
  • FIG. 12 illustrates some embodiments of a cross-sectional view 1200 corresponding to acts 518 - 520 .
  • a top dielectric layer 1202 is formed over patterned stack 1001 ′.
  • An upper inter-level dielectric (ILD) layer 1204 is disposed over the top dielectric layer 1202 .
  • the top dielectric layer 1202 has a first side that abuts the dielectric data storage layer 210 , the capping layer 212 , the top electrode 214 , and the masking layer 1104 , and a second side that abuts the upper ILD layer 1204 .
  • FIG. 13 illustrates some embodiments of a cross-sectional view 1300 corresponding to act 522 .
  • an upper metal interconnect layer 221 is formed at a position abutting the top electrode 214 .
  • the upper metal interconnect layer 221 comprises an upper metal via 222 and an upper metal wire 118 .
  • the upper metal interconnect layer 221 may be formed by etching the upper ILD layer 220 to form an opening that extends through the top dielectric layer 218 and the masking layer 216 to the top electrode 214 . The opening is then filed with a metal to form an upper metal via 222 , which extends from a top surface of the top electrode 214 to the upper metal wire 118 .
  • FIG. 14 illustrates a flow diagram of some alternative embodiments of a method 1400 of forming a RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • a metal is deposited within an opening in a lower inter-level dielectric (ILD) layer overlying a semiconductor substrate.
  • ILD inter-level dielectric
  • a first planarization process is performed to remove excess metal to form a lower metal interconnect layer.
  • the first planarization process forms a dished recess within a top surface of the lower metal interconnect layer.
  • a first bottom electrode layer is formed within the dished recess.
  • the first bottom electrode layer may comprise a first material.
  • a second bottom electrode layer may be formed within the dished recess at a position overlying the first bottom electrode layer, in some embodiments.
  • the second bottom electrode layer is nested within the first bottom electrode layer.
  • the second bottom electrode layer may comprise a second material different than the first material.
  • a second planarization process is performed to remove excess material from the first bottom electrode layer and the second bottom electrode layer.
  • the planarization process forms a bottom electrode having a flat top surface that is located along a plane aligned with a top surface of the lower ILD layer.
  • a bottom dielectric layer is formed over the bottom electrode and/or the lower ILD layer.
  • the bottom dielectric layer is selectively etched to form opening that extends through the bottom dielectric layer to expose the bottom electrode.
  • a stack is formed over the opening in the bottom dielectric layer.
  • the stack comprises a non-planar dielectric data storage layer, a non-planar capping layer disposed onto the non-planar dielectric data storage layer, a non-planar top electrode layer disposed onto the non-planar capping layer, and a non-planar masking layer disposed onto the non-planar top electrode.
  • the stack is selectively patterned according to the non-planar masking layer to form a patterned stack having a dielectric data storage layer that abuts top surfaces of the bottom electrode and the bottom dielectric layer.
  • a top dielectric layer is formed over the stack.
  • the top dielectric layer continuously extends from position abutting masking non-planar layer to position abutting bottom dielectric layer.
  • an upper inter-level dielectric (ILD) layer is formed over the top dielectric layer.
  • ILD inter-level dielectric
  • an upper metal interconnect layer is formed onto the top electrode.
  • the upper metal interconnect layer comprises an upper metal via formed at a position in contact with the top electrode, and an upper metal wire formed in contact with the upper metal via.
  • FIGS. 15-24 illustrate some embodiments of cross-sectional views showing a method of forming a RRAM cell disposed within a lower metal interconnect layer.
  • FIGS. 15-24 are described in relation to method 1400 , it will be appreciated that the structures disclosed in FIGS. 15-24 are not limited to such a method, but instead may stand alone as structures independent of the method.
  • FIG. 15 illustrates some embodiments of a cross-sectional view 1500 corresponding to act 1402 .
  • a metal 1502 (e.g., copper) is deposited within an opening 1504 in a lower inter-level dielectric (ILD) layer 204 (e.g., an oxide, a low-k dielectric, or an ultra low-k dielectric).
  • ILD inter-level dielectric
  • the opening 1504 in the lower ILD layer 204 may be formed by selectively etching the lower ILD layer 204 .
  • the metal 1502 is deposited to a thickness that causes the metal 1502 within the opening 1504 to be recessed a distance d below a top surface 203 of the lower ILD layer 204 .
  • FIG. 16 illustrates some embodiments of a cross-sectional view 1600 corresponding to act 1404 .
  • a first planarization process (e.g., a chemical mechanical polishing (CMP) process) is performed to remove excess metal 1502 to form a planar surface along line 1602 .
  • the first planarization process results in the formation of a lower metal interconnect layer 302 . Because the metal 1502 was recessed below the top surface 203 of the lower ILD layer 204 , the planarization process results in a dished recess 1604 having a curved bottom surface abutting a top surface of the lower metal interconnect layer 302 .
  • CMP chemical mechanical polishing
  • FIG. 17 illustrates some embodiments of a cross-sectional view 1700 corresponding to acts 1406 - 1408 .
  • a first bottom electrode layer 1702 is formed within the dished recess 1604 .
  • the first bottom electrode layer 1702 extends from within the dished recess 1604 to a position overlying the lower ILD layer 204 .
  • the bottom surface of the first bottom electrode layer 1702 has a convex curvature that abuts a concave top surface of the lower metal interconnect layer 302 .
  • the first bottom electrode layer 1702 may comprise a first material (e.g., Ta, TaN).
  • a second bottom electrode layer 1704 is formed within the dished recess 1604 a position overlying the first bottom electrode layer 1702 .
  • the second bottom electrode layer 1704 extends from within the dished recess 1604 to a position overlying the lower ILD layer 204 .
  • the second bottom electrode layer 1704 may comprise a first material (e.g., Ti, TiN) different than the first material.
  • FIG. 18 illustrates some embodiments of a cross-sectional view 1800 corresponding to act 1410 .
  • a second planarization process (e.g., a CMP process) is performed to form a planar surface along line 1802 by removing excess materials from the first and second bottom electrode layers, 304 a and 304 b.
  • the second planarization process results in a bottom electrode 304 having a flat top surface connected to the bottom surface by way of curved sidewalls.
  • the flat top surface of the bottom electrode 304 is aligned with the top surface of the lower ILD layer 204 .
  • FIG. 19 illustrates some embodiments of a cross-sectional view 1900 corresponding to act 1412 .
  • a bottom dielectric layer 1902 is formed onto the bottom electrode 304 and the lower ILD layer 204 .
  • the bottom dielectric layer 1902 may comprise a silicon nitride or a silicon carbide layer formed by a vapor deposition technique (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • FIG. 20 illustrates some embodiments of a cross-sectional view 2000 corresponding to act 1414 .
  • a first masking layer 2004 is formed over the bottom dielectric layer 2002 .
  • the bottom dielectric layer 2002 is the selectively exposed to an etchant 2006 in areas not covered by the first masking layer 2004 .
  • the etchant 2006 forms an opening 2008 in the bottom dielectric layer 2002 , which extends through the bottom dielectric layer 2002 to the bottom electrode 304 .
  • the opening 2008 has curved sidewalls 2002 s.
  • FIG. 21 illustrates some embodiments of a cross-sectional view 2100 corresponding to act 1416 .
  • a stack 2101 is formed over the bottom electrode 304 and the bottom dielectric layer 1902 .
  • the stack 2101 comprises a non-planar dielectric data storage layer 2102 having a variable resistance, a non-planar capping layer 2104 overlying the non-planar dielectric data storage layer 2102 , a non-planar top electrode layer 2106 overlying the non-planar capping layer 2104 , and a non-planar masking layer 2108 overlying the non-planar top electrode layer 2106 .
  • the non-planar masking layer 2108 is configured to define a top electrode of the RRAM cell.
  • the non-planar masking layer 2108 may comprise an oxygen containing hard mask layer, such as silicon-oxide (SiO 2 ) or silicon-oxynitride (SiON).
  • the non-planar masking layer 2108 may comprise a material that is substantially devoid of oxygen, such as silicon-nitride (SiN), silicon-carbide (SiC) or a composite dielectric film that is substantially devoid of oxygen.
  • the different layers of the stack 2101 may be deposited by way of vapor deposition techniques (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • FIG. 22 illustrates some embodiments of a cross-sectional view 2200 corresponding to act 1418 .
  • the stack 2101 is patterned according to the non-planar masking layer 2204 .
  • the stack 2101 may be patterned to form a patterned stack 2101 ′ by selectively exposing the stack 2101 to an etchant 2202 in areas not covered by the non-planar masking layer 2204 .
  • the patterned stack 2101 ′ has a dielectric data storage layer 308 that abuts top surfaces of the bottom electrode 304 and the bottom dielectric layer 306 .
  • FIG. 23 illustrates some embodiments of a cross-sectional view 2300 corresponding to acts 1420 - 1422 .
  • a top dielectric layer 2302 is formed over patterned stack 2101 ′.
  • An upper inter-level dielectric (ILD) layer 2304 is disposed over the top dielectric layer 2302 .
  • the top dielectric layer 2302 has a first side that abuts the dielectric data storage layer 308 , the capping layer 310 , the top electrode 312 , and the masking layer 314 , and a second side that abuts the upper ILD layer 2304 .
  • FIG. 24 illustrates some embodiments of a cross-sectional view 2400 corresponding to act 1424 .
  • an upper metal interconnect layer 319 is formed at a position abutting the top electrode 312 .
  • the upper metal interconnect layer 319 comprises an upper metal via 320 and an upper metal wire 118 .
  • the upper metal interconnect layer 319 may be formed by etching the upper ILD layer 318 to form an opening that extends through the top dielectric layer 316 and the planar masking layer 314 to the top electrode 312 . The opening is then filed to form an upper metal via 320 , which extends from a top surface of the top electrode 312 to the upper metal wire 118 .
  • the present disclosure relates to a resistive random access memory (RRAM) cell having a bottom electrode with a flat top that provides for low leakage currents within the RRAM cell without using insulating sidewall spacers, and an associated method of formation.
  • RRAM resistive random access memory
  • the present disclosure relates to a resistive random access memory (RRAM) cell.
  • the RRAM cell comprises a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer, and a bottom dielectric layer disposed over the lower metal interconnect layer or the lower ILD layer.
  • the RRAM cell further comprises a dielectric data storage layer having a variable resistance and a top electrode disposed over the dielectric data storage layer. A bottom surface of the dielectric data storage layer abuts top surfaces of the bottom dielectric layer and the bottom electrode.
  • the present disclosure relates to a resistive random access memory (RRAM) cell.
  • the RRAM cell comprises a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer.
  • a bottom dielectric layer is disposed over the lower metal interconnect layer or the lower ILD layer.
  • a dielectric data storage layer having a variable resistance is located over the bottom electrode, and a top electrode is disposed over the dielectric data storage layer.
  • the present disclosure relates to a method of forming a resistive random access memory (RRAM) cell.
  • the method comprises forming a bottom electrode over a lower metal interconnect layer comprised within a lower inter-level dielectric (ILD) layer, and forming a bottom dielectric layer over the lower metal interconnect layer or the lower ILD layer.
  • the method further comprises forming a dielectric data storage layer having a variable resistance above the bottom dielectric layer and the bottom electrode, and forming a top electrode over the dielectric data storage layer.

Abstract

The present disclosure relates to a resistive random access memory (RRAM) cell having a bottom electrode that provides for low leakage currents within the RRAM cell without using insulating sidewall spacers, and an associated method of formation. In some embodiments, the RRAM cell has a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer. A bottom dielectric layer is disposed over the lower metal interconnect layer and/or the lower ILD layer. A dielectric data storage layer having a variable resistance is located above the bottom dielectric layer and the bottom electrode, and a top electrode is disposed over the dielectric data storage layer. Placement of the dielectric data storage layer onto the bottom dielectric layer increases a leakage path distance between the bottom and top electrodes, and thereby provides for low leakage current for the RRAM cell.

Description

    BACKGROUND
  • Many modern day electronic devices contain electronic memory configured to store data. Electronic memory may be volatile memory or non-volatile memory. Volatile memory stores data while it is powered, while non-volatile memory is able to store data when power is removed. Resistive random access memory (RRAM) is one promising candidate for next generation non-volatile memory technology due to its simple structure and CMOS logic compatible process technology that is involved. An RRAM cell includes a dielectric data storage layer having a variable resistance, which is placed between two electrodes disposed within back-end-of-the-line (BEOL) metallization layers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrates a cross-sectional view of some embodiments of resistive random access memory (RRAM) cell having a bottom electrode with a flat top surface that provides for a low leakage current.
  • FIG. 2 illustrates a cross-sectional view of some embodiments of RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIG. 3 illustrates a cross-sectional view of some embodiments of RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • FIG. 4 illustrates a flow diagram of some embodiments of a method of forming a RRAM cell having a bottom electrode comprising a flat top surface connected to a bottom surface by way of curved sidewalls.
  • FIG. 5 illustrates a flow diagram of some alternative embodiments of a method of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIGS. 6-13 illustrate cross-sectional views of some alternative embodiments showing a method of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • FIG. 14 illustrates a flow diagram of some alternative embodiments of a method of forming a RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • FIGS. 15-24 illustrate cross-sectional views of some alternative embodiments showing a method of forming a RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Resistive random access memory (RRAM) cells have a bottom electrode that is separated from an overlying top electrode by a dielectric data storage layer having a variable resistance. Typically, RRAM cells are separated from an underlying metal layer by a bottom dielectric layer comprising an opening that provides for contact between the bottom electrode and the underlying metal interconnect layer. The bottom electrode is formed over the opening, causing the bottom electrode to have a ‘U’ shape that extends from within the opening to a position overlying the bottom dielectric layer.
  • A bottom electrode having such a U shape can cause a number of problems in an RRAM cell. For example, the distance between extensions of the bottom electrode overlying the bottom dielectric layer and the top electrode is relatively small and can cause to leakage currents between the bottom electrode and the top electrode, which lead to degradation of the RRAM cell. To reduce leakage currents within an RRAM cell, the top electrode is typically flanked by insulating sidewall spacers that increase a leakage path distance (i.e., a distance through which a leakage current will travel) between the top electrode and the extension of the bottom electrode. However, insulating sidewall spacers increase the height and width of the RRAM cell making integration into advanced technology nodes (e.g., 28 nm node, 20 nm node, etc.) more difficult. Also, the U shape can lead to micro-trenching in an underlying metal interconnect layer, which over many cycles (e.g., 10,000 cycles) can lead to RRAM failure.
  • Accordingly, the present disclosure relates to a resistive random access memory (RRAM) cell having a bottom electrode with a flat top surface that provides for low leakage currents within the RRAM cell without using insulating sidewall spacers, and an associated method of formation. In some embodiments, the RRAM cell comprises a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer. A bottom dielectric layer is disposed over the lower metal interconnect layer and/or the lower ILD layer. A dielectric data storage layer having a variable resistance is located above the bottom dielectric layer and the bottom electrode, and a top electrode is disposed over the dielectric data storage layer. Placement of the dielectric data storage layer onto the bottom dielectric layer increases a leakage path distance between the bottom electrode and the top electrode, and thereby provides for low leakage current for the RRAM cell without using sidewall spacers that increase the size of the RRAM cell.
  • FIG. 1 illustrates a cross-sectional view of a resistive random access memory (RRAM) cell 100 having a bottom electrode 102 with a flat top surface that provides for a low leakage current.
  • The RRAM cell 100 comprises a bottom electrode 102 disposed over a lower metal interconnect layer 108 surrounded by a lower inter-level dielectric (ILD) layer 110. The bottom electrode 102 comprises a top surface 102 b and a bottom surface 102 a. In some embodiments, the top surface 102 b may comprise a flat surface connected to the bottom surface 102 a by way of curved sidewalls 102 s (i.e., sidewalls having a slope that changes as a function of height). In some embodiments, the bottom surface 102 a may also be curved, such that the sidewalls 102 s and the bottom surface 102 a form a continuous curved surface that extends between opposing sides of the top surface 102 b.
  • A bottom dielectric layer 112 is disposed above the lower metal interconnect layer 108 and/or the lower inter-level dielectric (ILD) layer 110. A variable resistance dielectric data storage layer 104, which is configured to store a data state depending on an applied voltage, is located over the top surface 102 b of the bottom electrode 102 and the bottom dielectric layer 112. A top electrode 106 is disposed over the variable resistance dielectric data storage layer 104. Placement of the variable resistance dielectric data storage layer 104 onto the bottom dielectric layer 112 provides for a relatively large leakage path distance dI (i.e., a distance through which a leakage current will travel) between the bottom electrode 102 and the top electrode 106, thereby providing for low leakage current of the RRAM cell 100.
  • In some embodiments, a top dielectric layer 114 is disposed over the top electrode 106. The top dielectric layer 114 continuously extends from a position overlying the top electrode 106 to positions abutting sidewalls of the top electrode 106 and the variable resistance dielectric data storage layer 104. The top dielectric layer 114 separates the top electrode 106 and the variable resistance dielectric data storage layer 104 from an upper inter-level dielectric (ILD) layer 120 surrounding an upper metal interconnect layer 117 having an upper metal via 116 and an upper metal wire 118.
  • FIG. 2 illustrates a cross-sectional view of some embodiments of RRAM cell 200 having a bottom electrode 206 disposed within a bottom dielectric layer 208.
  • RRAM cell 200 comprises a bottom electrode 206 disposed onto a lower metal interconnect layer 202 surrounded by lower inter-level dielectric (ILD) layer 204 within a BEOL metallization stack. In some embodiments, the lower metal interconnect layer 202 may comprise one of a plurality of metal interconnect layers disposed between the bottom electrode 206 and an underlying semiconductor substrate (not shown).
  • The bottom electrode 206 comprises a flat top surface that is connected to a bottom surface by way of curved sidewalls. The curved sidewalls abut a surrounding bottom dielectric layer 208. The flat top surface of the bottom electrode 206 and a top surface of the surrounding bottom dielectric layer 208 are aligned along a planar surface 207. In some embodiments, the bottom electrode 206 may comprise a first bottom electrode layer 206 a and a second bottom electrode layer 206 b. The first bottom electrode layer 206 a comprises a ‘U’ shaped layer that abuts the lower metal interconnect layer 202 and the bottom dielectric layer 208. The second bottom electrode layer 206 b is nested within the opening of the ‘U’ shape of the first bottom electrode layer 206 a. The first and second bottom electrode layers, 206 a and 206 b, have flat top surfaces disposed along the planar surface 207.
  • A dielectric data storage layer 210 having a variable resistance is disposed onto the top surface of the bottom electrode 206 and the bottom dielectric layer 208. Depending on an applied voltage, the dielectric data storage layer 210 will undergo a reversible change between a high resistance state associated with a first data state (e.g., a ‘0’) and a low resistance state associated with a second data state (e.g., a ‘1’). For example, a voltage applied to the dielectric data storage layer 210 will induce conductive paths (e.g., oxygen vacancies) to form across the dielectric data storage layer 210, thereby reducing the resistance of the dielectric data storage layer 210. The dielectric data storage layer 210 has a width that is greater than the width of the bottom electrode, so that the dielectric data storage layer 210 extends beyond the bottom electrode 206 on opposing sides.
  • In some embodiments, a capping layer 212 may be disposed over the dielectric data storage layer 210. The capping layer 212 is configured to store oxygen, which can facilitate resistance changes within the dielectric data storage layer 210. In some embodiments, the capping layer 212 may comprise a metal or a metal oxide that is relatively low in oxygen concentration.
  • A top electrode 214 is disposed over the capping layer 212. The top electrode 214, the capping layer 212, and the dielectric data storage layer 210 comprise sidewalls that are laterally aligned with one another (i.e., along a vertical plane). Since the dielectric data storage layer 210 extends beyond the bottom electrode 206 on opposing sides, the top electrode 214 is separated from the bottom electrode 206 by a relatively large leakage path distance dI. The relatively large leakage path distance dI reduces the leakage current in the RRAM cell 200 without using sidewall spacers, thereby allowing for RRAM cell 200 to have a smaller size than RRAM cells using sidewall spacers.
  • A masking layer 216 (e.g., a hard mask layer) is disposed over the top electrode 214 at positions abutting opposite sidewalls of an upper metal via 222. The masking layer 216 has sidewalls 216 a that are aligned with sidewalls of the top electrode 214. In some embodiments, the masking layer 216 may comprise a silicon oxy-nitride (SiON) hard mask layer or a silicon dioxide (SiO2) hard mask layer. In other embodiments, the masking layer 216 may comprise a hard mask layer that is substantially devoid of oxygen. For example, the masking layer 216 may comprise a silicon carbide (SiC) hard mask layer, a silicon-nitride (SiN) hard mask layer, or a composite dielectric film that is substantially devoid of oxygen. Although the use of a masking layer 216 that is substantially devoid of oxygen is described in relation to the RRAM cell of FIG. 2, it will be appreciated that the use of a masking layer 216 that is substantially devoid of oxygen is not limited to such a RRAM cell structure. Rather, the use of a masking layer 216 that is substantially devoid of oxygen may be used with any RRAM cell structure (e.g., an RRAM cell having any shape of bottom electrode, RRAM cell having bottom electrodes that extends over bottom dielectric layer 208, etc.).
  • A top dielectric layer 218 is disposed onto the masking layer 216. The top dielectric layer 218 continuously extends along sidewalls of the dielectric data storage layer 210, the capping layer 212, the top electrode 214, and the masking layer 216, from a first position abutting a top surface of the masking layer 216 to a second position abutting a top surface of the bottom dielectric layer 208. The top dielectric layer 218 separates the dielectric data storage layer 210, the capping layer 212, the top electrode 214, and the masking layer 216 from an upper inter-level dielectric (ILD) layer 220. The upper ILD layer 220 surrounds an upper metal interconnect layer 221 disposed onto the top electrode 214. The upper metal interconnect layer 221 comprises the upper metal via 222, which extends from the top electrode 214, through the masking layer 216 and the top dielectric layer 218, to an upper metal wire 118.
  • FIG. 3 illustrates a cross-sectional view of some embodiments of RRAM cell 300 having a bottom electrode 304 disposed within a lower metal interconnect layer 302.
  • RRAM cell 300 comprises a bottom electrode 304 disposed within a lower metal interconnect layer 302 surrounded by lower inter-level dielectric (ILD) layer 204. The bottom electrode 304 comprises a flat top surface that is connected to a curved bottom surface by way of curved sidewalls, such that the sidewalls and the bottom surface form a continuous curved surface that extends between opposing sides of the flat top surface. The bottom surface of the bottom electrode 304 has a convex curvature that abuts a concave top surface of the lower metal interconnect layer 302. The flat top surface of the bottom electrode 304 is aligned with a top surface of the lower ILD layer 204 along a planar surface 305. In some embodiments, the bottom electrode 304 may comprise a first bottom electrode layer 304 a having a ‘U’ shaped layer that is disposed onto the lower metal interconnect layer 302, and a second bottom electrode layer 304 b is nested within the opening of the U shape of the first bottom electrode layer 304 a. The first and second bottom electrode layers, 304 a and 304 b, have flat top surfaces disposed along the planar surface 305.
  • A bottom dielectric layer 306 is disposed over the lower ILD layer 204 and the bottom electrode 304. The bottom dielectric layer 306 comprises an opening that provides for contact between the bottom electrode 304 and a dielectric data storage layer 308. The dielectric data storage layer 308 is disposed over the opening, causing the dielectric data storage layer 308 to have a non-planar topography that extends from within the opening to a position overlying the bottom dielectric layer 306. A capping layer 310 having a non-planar topography is disposed over the dielectric data storage layer 308, and a top electrode 312 having a non-planar topography is disposed over the dielectric data storage layer 308. Since the bottom dielectric layer 306 is disposed between the top electrode 312 and the bottom electrode 304, the top electrode 312 is separated from the bottom electrode 304 by a relatively large leakage path distance dI. The relatively large leakage path distance dI reduces the leakage current in the RRAM cell 300 without using sidewall spacers, thereby allowing for RRAM cell 300 to have a smaller size than RRAM cells using sidewall spacers.
  • A masking layer 314 having a non-planar topography is disposed over the top electrode 312. In some embodiments, the masking layer 314 may comprise a hard mask layer that is substantially devoid of oxygen. A top dielectric layer 316 continuously extends from a first position abutting a top surface of the masking layer 314 to a second position abutting a top surface of the bottom dielectric layer 306. The top dielectric layer 316 has a first side that abuts the dielectric data storage layer 308, the capping layer 310, the top electrode 312, and the masking layer 314, and a second side that abuts an upper inter-level dielectric (ILD) layer 318. The upper ILD layer 318 surrounds an upper metal interconnect layer 319 comprising an upper metal via 320 configured to extend from the top electrode 312, through the masking layer 314 and the top dielectric layer 316, to an upper metal wire 118.
  • FIG. 4 illustrates a flow diagram of some embodiments of a method 400 of forming a RRAM cell having a bottom electrode with a flat top that provides for a low leakage current.
  • At 402, a bottom electrode is formed over a lower metal interconnect layer comprised within a lower inter-level dielectric (ILD) layer. In some embodiments, the bottom electrode may comprise a flat top surface connected to a bottom surface by way of curved sidewalls.
  • At 404, a bottom dielectric layer is formed over the lower metal interconnect layer or the lower ILD layer.
  • At 406, a dielectric data storage layer having a variable resistance is formed above the bottom dielectric layer and the bottom electrode. In some embodiments, the dielectric data storage layer may be formed onto and in direct contact with the bottom dielectric layer and the bottom electrode.
  • At 408, a top electrode is formed over dielectric data storage layer.
  • At 410, an upper metal interconnect layer is formed over the top electrode.
  • FIG. 5 illustrates a flow diagram of some embodiments of a method 500 of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer.
  • While disclosed methods (e.g., methods 400, 500, and 1400) are illustrated and described below as a series of acts or events, it will be appreciated that the illustrated ordering of such acts or events are not to be interpreted in a limiting sense. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein. In addition, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein. Further, one or more of the acts depicted herein may be carried out in one or more separate acts and/or phases.
  • At 502, a lower metal interconnect layer is formed within a lower inter-level dielectric (ILD) layer disposed over a semiconductor substrate.
  • At 504, a bottom dielectric layer is formed over the lower metal interconnect layer and/or the lower ILD layer.
  • At 506, the bottom dielectric layer is selectively etched to form an opening that extends through the bottom dielectric layer to expose the lower metal interconnect layer.
  • At 508, a first bottom electrode layer having curved sidewalls is formed within the opening. In some embodiments, the first bottom electrode layer may comprise a first material.
  • At 510, a second bottom electrode layer may be formed within the opening at a position overlying the first bottom electrode layer, in some embodiments. The second bottom electrode layer is nested within the first bottom electrode layer and also has curved sidewalls. In some embodiments, the second bottom electrode layer may comprise a second material different than the first material.
  • At 512, a planarization process is performed to remove excess material from the first bottom electrode layer and the second bottom electrode layer. The planarization process forms a bottom electrode having a flat top surface that is located along a plane aligned with a top surface of the bottom dielectric layer.
  • At 514, a stack is formed over the bottom electrode layer and the bottom dielectric layer. The stack comprises a planar dielectric data storage layer, a planar capping layer disposed onto the planar dielectric data storage layer, a planar top electrode layer disposed onto the planar capping layer, and a planar masking layer disposed onto the planar top electrode.
  • At 516, the stack is selectively patterned according to the planar masking layer to form a patterned stack having a dielectric data storage layer that abuts top surfaces of the bottom electrode and the bottom dielectric layer.
  • At 518, a top dielectric layer is formed over the patterned stack. The top dielectric layer continuously extends from position abutting planar masking layer to position abutting bottom dielectric layer.
  • At 520, an upper inter-level dielectric (ILD) layer is formed over the top dielectric layer.
  • At 522, an upper metal interconnect layer is formed onto the top electrode. The upper metal interconnect layer comprises an upper metal via formed at a position in contact with the top electrode, and an upper metal wire formed in contact with the upper metal via.
  • FIGS. 6-13 illustrate some embodiments of cross-sectional views showing a method of forming a RRAM cell having a bottom electrode disposed within a bottom dielectric layer. Although FIGS. 6-13 are described in relation to method 500, it will be appreciated that the structures disclosed in FIGS. 6-13 are not limited to such a method, but instead may stand alone as structures independent of the method.
  • FIG. 6 illustrates some embodiments of a cross-sectional view 600 corresponding to acts 502-504.
  • As shown in cross-sectional view 600, a lower metal interconnect layer 202 is formed within a lower inter-level dielectric (ILD) layer 204. In some embodiments, the lower metal interconnect layer 202 may be formed by selectively etching the lower ILD layer 204 (e.g., an oxide, a low-k dielectric, or an ultra low-k dielectric) to form an opening in the lower ILD layer 204. A metal (e.g., copper, aluminum, etc.) is then deposited to fill the opening, and a planarization process is performed to remove excess metal to form the lower metal interconnect layer 202.
  • A bottom dielectric layer 602 is formed onto the lower metal interconnect layer 202 and/or the lower ILD layer 204. In some embodiments, the bottom dielectric layer 602 may comprise silicon-nitride (SiN), silicon-carbide (SiC), or a similar composite dielectric film. In some embodiments, the bottom dielectric layer 602 may be formed by a vapor deposition technique (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • FIG. 7 illustrates some embodiments of a cross-sectional view 700 corresponding to act 506.
  • As shown in cross-sectional view 700, a first masking layer 704 is formed over the bottom dielectric layer 702. The bottom dielectric layer 702 is the selectively exposed to an etchant 706 (e.g., a dry etchant) in areas not covered by the first masking layer 704. The etchant 706 forms an opening 708 in the bottom dielectric layer 702, which extends through the bottom dielectric layer 702 to the lower metal interconnect layer 202. The opening 708 may have curved sidewalls 702 s (i.e., sidewalls having a slope that changes as a function of height).
  • FIG. 8 illustrates some embodiments of a cross-sectional view 800 corresponding to acts 508-510.
  • As shown in cross-sectional view 700, a first bottom electrode layer 802 is formed within the opening 708. The first bottom electrode layer 802 extends from within the opening 708 to a position overlying the bottom dielectric layer 702. In some embodiments, the first bottom electrode layer 802 may comprise tantalum (Ta) or tantalum nitride (TaN), for example. A second bottom electrode layer 804 is formed within the opening at a position overlying the first bottom electrode layer 802. The second bottom electrode layer 804 extends from within the opening 708 to a position overlying the bottom dielectric layer 702. In some embodiments, the second bottom electrode layer 804 may comprise titanium (Ti) or titanium nitride (TiN), for example.
  • FIG. 9 illustrates some embodiments of a cross-sectional view 900 corresponding to act 512.
  • As shown in cross-sectional view 900, a planarization process is performed to form a flat surface along line 902 by removing excess materials from the first and second bottom electrode layers, 206 a and 206 b. The planarization process results in a bottom electrode 206 having a flat top surface connected to the bottom surface by way of curved sidewalls. The flat top surface of the bottom electrode 206 is aligned with the top surface of the bottom dielectric layer 702. In some embodiments, the planarization process may comprise a chemical mechanical polishing (CMP) process.
  • FIG. 10 illustrates some embodiments of a cross-sectional view 1000 corresponding to act 514.
  • As shown in cross-sectional view 1000, a stack 1001 is formed over the bottom electrode 206 and the bottom dielectric layer 702. The stack 1001 comprises a planar dielectric data storage layer 1002, a planar capping layer 1004 overlying the planar dielectric data storage layer 1002, a planar top electrode layer 1006 overlying the planar capping layer 1004, and a planar masking layer 1008 overlying the planar top electrode layer 1006. The planar masking layer 1008 is configured to define a top electrode of the RRAM cell. In some embodiments, the different layers of the stack 1001 may be deposited by way of vapor deposition techniques (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • In some embodiments, the planar dielectric data storage layer 1002 may comprise a high-k dielectric material having a variable resistance. For example, in some embodiments, the planar dielectric data storage layer 1002 may comprise hafnium oxide (HfOx), zirconium oxide (ZrOx), aluminum oxide (AlOx), nickel oxide (NiOx), tantalum oxide (TaOx), or titanium oxide (TiOx). In some embodiments, the planar capping layer 1004 may comprise a metal such as titanium (Ti), hafnium (Hf), platinum (Pt), ruthenium (Ru), and/or aluminum (Al). In other embodiments, the planar capping layer 1004 may comprise a metal oxide such as titanium oxide (TiOx), hafnium oxide (HfOx), zirconium oxide (ZrOx), germanium oxide (GeOx), cesium oxide (CeOx) In various embodiments, the planar top electrode layer 1006 may comprise a metal nitride (e.g., titanium nitride (TiN) or tantalum nitride (TaN)) or a metal (e.g., titanium (Ti) or tantalum (Ta)). In some embodiments, the planar masking layer 1008 may comprise an oxygen containing hard mask layer, such as silicon-oxide (SiO2) or silicon-oxynitride (SiON). In other embodiments, the planar masking layer 1008 may comprise a hard mask layer that is substantially devoid of oxygen, such as silicon-nitride (SiN) silicon-carbide (SiC), or a composite dielectric film that is substantially devoid of oxygen.
  • FIG. 11 illustrates some embodiments of a cross-sectional view 1100 corresponding to act 516.
  • As shown in cross-sectional view 1100, the stack 1001 is patterned according to the planar masking layer 1104. The stack 1001 may be patterned to form a patterned stack 1001′ by selectively exposing the stack 1001 to an etchant 1102 in areas not covered by the planar masking layer 1104. The patterned stack 1001′ has a dielectric data storage layer 210 that abuts top surfaces of the bottom electrode 206 and the bottom dielectric layer 208. In some embodiments, the etchant 1102 may comprise a dry etchant.
  • It has been appreciated that using a planar masking layer 1008 that is substantially devoid of oxygen can increase yield of an associated RRAM cell. This is because during patterning of the stack 1001, the etchant 1102 may dissociate oxygen radicals from an oxygen containing masking layer (e.g., such as SiO2 or SiON). The dissociated oxygen radicals can subsequently be implanted into the top electrode 214, the capping layer 212, and/or the dielectric layer 210, where the oxygen radicals lead to device failure (e.g., disturb formation of RRAM filament and resetting the RRAM filament). Using a planar masking layer 1008 that is substantially devoid of oxygen eliminates such oxygen radicals during patterning of the stack 1001, leading to a yield increase (e.g., of up to 30% or more).
  • Although, the use of a planar masking layer 1008 that is substantially devoid of substantially oxygen is described with relation to FIGS. 10-11, it will be appreciated that the use of a planar masking layer 1008 that is substantially devoid of oxygen (e.g., to pattern a top electrode and/or a bottom electrode) is not limited to such a method or to such a RRAM cell structures. Rather, the use of a planar masking layer 1008 that is substantially devoid may be used with any method of RRAM formation and/or with any RRAM cell structures.
  • FIG. 12 illustrates some embodiments of a cross-sectional view 1200 corresponding to acts 518-520.
  • As shown in cross-sectional view 1200, a top dielectric layer 1202 is formed over patterned stack 1001′. An upper inter-level dielectric (ILD) layer 1204 is disposed over the top dielectric layer 1202. The top dielectric layer 1202 has a first side that abuts the dielectric data storage layer 210, the capping layer 212, the top electrode 214, and the masking layer 1104, and a second side that abuts the upper ILD layer 1204.
  • FIG. 13 illustrates some embodiments of a cross-sectional view 1300 corresponding to act 522.
  • As shown in cross-sectional view 1300, an upper metal interconnect layer 221 is formed at a position abutting the top electrode 214. In some embodiments, the upper metal interconnect layer 221 comprises an upper metal via 222 and an upper metal wire 118. In some embodiments, the upper metal interconnect layer 221 may be formed by etching the upper ILD layer 220 to form an opening that extends through the top dielectric layer 218 and the masking layer 216 to the top electrode 214. The opening is then filed with a metal to form an upper metal via 222, which extends from a top surface of the top electrode 214 to the upper metal wire 118.
  • FIG. 14 illustrates a flow diagram of some alternative embodiments of a method 1400 of forming a RRAM cell having a bottom electrode disposed within a lower metal interconnect layer.
  • At 1402, a metal is deposited within an opening in a lower inter-level dielectric (ILD) layer overlying a semiconductor substrate.
  • At 1404, a first planarization process is performed to remove excess metal to form a lower metal interconnect layer. The first planarization process forms a dished recess within a top surface of the lower metal interconnect layer.
  • At 1406, a first bottom electrode layer is formed within the dished recess. In some embodiments, the first bottom electrode layer may comprise a first material.
  • At 1408, a second bottom electrode layer may be formed within the dished recess at a position overlying the first bottom electrode layer, in some embodiments. The second bottom electrode layer is nested within the first bottom electrode layer. In some embodiments, the second bottom electrode layer may comprise a second material different than the first material.
  • At 1410, a second planarization process is performed to remove excess material from the first bottom electrode layer and the second bottom electrode layer. The planarization process forms a bottom electrode having a flat top surface that is located along a plane aligned with a top surface of the lower ILD layer.
  • At 1412, a bottom dielectric layer is formed over the bottom electrode and/or the lower ILD layer.
  • At 1414, the bottom dielectric layer is selectively etched to form opening that extends through the bottom dielectric layer to expose the bottom electrode.
  • At 1416, a stack is formed over the opening in the bottom dielectric layer. The stack comprises a non-planar dielectric data storage layer, a non-planar capping layer disposed onto the non-planar dielectric data storage layer, a non-planar top electrode layer disposed onto the non-planar capping layer, and a non-planar masking layer disposed onto the non-planar top electrode.
  • At 1418, the stack is selectively patterned according to the non-planar masking layer to form a patterned stack having a dielectric data storage layer that abuts top surfaces of the bottom electrode and the bottom dielectric layer.
  • At 1420, a top dielectric layer is formed over the stack. The top dielectric layer continuously extends from position abutting masking non-planar layer to position abutting bottom dielectric layer.
  • At 1422, an upper inter-level dielectric (ILD) layer is formed over the top dielectric layer.
  • At 1424, an upper metal interconnect layer is formed onto the top electrode. The upper metal interconnect layer comprises an upper metal via formed at a position in contact with the top electrode, and an upper metal wire formed in contact with the upper metal via.
  • FIGS. 15-24 illustrate some embodiments of cross-sectional views showing a method of forming a RRAM cell disposed within a lower metal interconnect layer. Although FIGS. 15-24 are described in relation to method 1400, it will be appreciated that the structures disclosed in FIGS. 15-24 are not limited to such a method, but instead may stand alone as structures independent of the method.
  • FIG. 15 illustrates some embodiments of a cross-sectional view 1500 corresponding to act 1402.
  • As shown in cross-sectional view 1500, a metal 1502 (e.g., copper) is deposited within an opening 1504 in a lower inter-level dielectric (ILD) layer 204 (e.g., an oxide, a low-k dielectric, or an ultra low-k dielectric). The opening 1504 in the lower ILD layer 204 may be formed by selectively etching the lower ILD layer 204. The metal 1502 is deposited to a thickness that causes the metal 1502 within the opening 1504 to be recessed a distance d below a top surface 203 of the lower ILD layer 204.
  • FIG. 16 illustrates some embodiments of a cross-sectional view 1600 corresponding to act 1404.
  • As shown in cross-sectional view 1600, a first planarization process (e.g., a chemical mechanical polishing (CMP) process) is performed to remove excess metal 1502 to form a planar surface along line 1602. The first planarization process results in the formation of a lower metal interconnect layer 302. Because the metal 1502 was recessed below the top surface 203 of the lower ILD layer 204, the planarization process results in a dished recess 1604 having a curved bottom surface abutting a top surface of the lower metal interconnect layer 302.
  • FIG. 17 illustrates some embodiments of a cross-sectional view 1700 corresponding to acts 1406-1408.
  • As shown in cross-sectional view 700, a first bottom electrode layer 1702 is formed within the dished recess 1604. The first bottom electrode layer 1702 extends from within the dished recess 1604 to a position overlying the lower ILD layer 204. The bottom surface of the first bottom electrode layer 1702 has a convex curvature that abuts a concave top surface of the lower metal interconnect layer 302. In some embodiments, the first bottom electrode layer 1702 may comprise a first material (e.g., Ta, TaN). A second bottom electrode layer 1704 is formed within the dished recess 1604 a position overlying the first bottom electrode layer 1702. The second bottom electrode layer 1704 extends from within the dished recess 1604 to a position overlying the lower ILD layer 204. In some embodiments, the second bottom electrode layer 1704 may comprise a first material (e.g., Ti, TiN) different than the first material.
  • FIG. 18 illustrates some embodiments of a cross-sectional view 1800 corresponding to act 1410.
  • As shown in cross-sectional view 1800, a second planarization process (e.g., a CMP process) is performed to form a planar surface along line 1802 by removing excess materials from the first and second bottom electrode layers, 304 a and 304 b. The second planarization process results in a bottom electrode 304 having a flat top surface connected to the bottom surface by way of curved sidewalls. The flat top surface of the bottom electrode 304 is aligned with the top surface of the lower ILD layer 204.
  • FIG. 19 illustrates some embodiments of a cross-sectional view 1900 corresponding to act 1412.
  • As shown in cross-sectional view 900, a bottom dielectric layer 1902 is formed onto the bottom electrode 304 and the lower ILD layer 204. In some embodiments, the bottom dielectric layer 1902 may comprise a silicon nitride or a silicon carbide layer formed by a vapor deposition technique (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • FIG. 20 illustrates some embodiments of a cross-sectional view 2000 corresponding to act 1414.
  • As shown in cross-sectional view 2000, a first masking layer 2004 is formed over the bottom dielectric layer 2002. The bottom dielectric layer 2002 is the selectively exposed to an etchant 2006 in areas not covered by the first masking layer 2004. The etchant 2006 forms an opening 2008 in the bottom dielectric layer 2002, which extends through the bottom dielectric layer 2002 to the bottom electrode 304. The opening 2008 has curved sidewalls 2002 s.
  • FIG. 21 illustrates some embodiments of a cross-sectional view 2100 corresponding to act 1416.
  • As shown in cross-sectional view 2100, a stack 2101 is formed over the bottom electrode 304 and the bottom dielectric layer 1902. The stack 2101 comprises a non-planar dielectric data storage layer 2102 having a variable resistance, a non-planar capping layer 2104 overlying the non-planar dielectric data storage layer 2102, a non-planar top electrode layer 2106 overlying the non-planar capping layer 2104, and a non-planar masking layer 2108 overlying the non-planar top electrode layer 2106. The non-planar masking layer 2108 is configured to define a top electrode of the RRAM cell. In some embodiments, the non-planar masking layer 2108 may comprise an oxygen containing hard mask layer, such as silicon-oxide (SiO2) or silicon-oxynitride (SiON). In other embodiments, the non-planar masking layer 2108 may comprise a material that is substantially devoid of oxygen, such as silicon-nitride (SiN), silicon-carbide (SiC) or a composite dielectric film that is substantially devoid of oxygen. In some embodiments, the different layers of the stack 2101 may be deposited by way of vapor deposition techniques (e.g., physical vapor deposition, chemical vapor deposition, etc.).
  • FIG. 22 illustrates some embodiments of a cross-sectional view 2200 corresponding to act 1418.
  • As shown in cross-sectional view 2200, the stack 2101 is patterned according to the non-planar masking layer 2204. The stack 2101 may be patterned to form a patterned stack 2101′ by selectively exposing the stack 2101 to an etchant 2202 in areas not covered by the non-planar masking layer 2204. The patterned stack 2101′ has a dielectric data storage layer 308 that abuts top surfaces of the bottom electrode 304 and the bottom dielectric layer 306.
  • FIG. 23 illustrates some embodiments of a cross-sectional view 2300 corresponding to acts 1420-1422.
  • As shown in cross-sectional view 2300, a top dielectric layer 2302 is formed over patterned stack 2101′. An upper inter-level dielectric (ILD) layer 2304 is disposed over the top dielectric layer 2302. The top dielectric layer 2302 has a first side that abuts the dielectric data storage layer 308, the capping layer 310, the top electrode 312, and the masking layer 314, and a second side that abuts the upper ILD layer 2304.
  • FIG. 24 illustrates some embodiments of a cross-sectional view 2400 corresponding to act 1424.
  • As shown in cross-sectional view 2400, an upper metal interconnect layer 319 is formed at a position abutting the top electrode 312. In some embodiments, the upper metal interconnect layer 319 comprises an upper metal via 320 and an upper metal wire 118. In some embodiments, the upper metal interconnect layer 319 may be formed by etching the upper ILD layer 318 to form an opening that extends through the top dielectric layer 316 and the planar masking layer 314 to the top electrode 312. The opening is then filed to form an upper metal via 320, which extends from a top surface of the top electrode 312 to the upper metal wire 118.
  • Therefore, the present disclosure relates to a resistive random access memory (RRAM) cell having a bottom electrode with a flat top that provides for low leakage currents within the RRAM cell without using insulating sidewall spacers, and an associated method of formation.
  • In some embodiments, the present disclosure relates to a resistive random access memory (RRAM) cell. The RRAM cell comprises a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer, and a bottom dielectric layer disposed over the lower metal interconnect layer or the lower ILD layer. The RRAM cell further comprises a dielectric data storage layer having a variable resistance and a top electrode disposed over the dielectric data storage layer. A bottom surface of the dielectric data storage layer abuts top surfaces of the bottom dielectric layer and the bottom electrode.
  • In other embodiments, the present disclosure relates to a resistive random access memory (RRAM) cell. The RRAM cell comprises a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer. A bottom dielectric layer is disposed over the lower metal interconnect layer or the lower ILD layer. A dielectric data storage layer having a variable resistance is located over the bottom electrode, and a top electrode is disposed over the dielectric data storage layer. A masking layer that is substantially devoid of oxygen disposed over the top electrode. The masking layer has sidewalls that are vertically aligned with sidewalls of the top electrode.
  • In yet other embodiments, the present disclosure relates to a method of forming a resistive random access memory (RRAM) cell. The method comprises forming a bottom electrode over a lower metal interconnect layer comprised within a lower inter-level dielectric (ILD) layer, and forming a bottom dielectric layer over the lower metal interconnect layer or the lower ILD layer. The method further comprises forming a dielectric data storage layer having a variable resistance above the bottom dielectric layer and the bottom electrode, and forming a top electrode over the dielectric data storage layer.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (23)

1. A resistive random access memory (RRAM) cell, comprising:
a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer;
a bottom dielectric layer disposed over the lower metal interconnect layer or the lower ILD layer;
a dielectric data storage layer having a variable resistance, wherein a bottom surface of the dielectric data storage layer abuts top surfaces of the bottom dielectric layer and the bottom electrode; and
a top electrode disposed over the dielectric data storage layer.
2. The RRAM cell of claim 1, further comprising:
a top dielectric layer continuously extending from a first position overlying the top electrode to a second position abutting the dielectric data storage layer, wherein the top dielectric layer abuts a sidewall of the top electrode.
3. (canceled)
4. The RRAM cell of claim 2, further comprising:
a capping layer disposed between the dielectric data storage layer and the top electrode and having a sidewall that is laterally aligned with sidewalls of the dielectric data storage layer and the top electrode.
5. The RRAM cell of claim 1,
wherein the bottom electrode is surrounded by the bottom dielectric layer; and
wherein a top surface of the bottom electrode shares a planar surface with a top surface of the bottom dielectric layer.
6. The RRAM cell of claim 1, wherein the bottom electrode has a smaller width than the dielectric data storage layer, so that the dielectric data storage layer extends beyond the bottom electrode on opposing sides.
7. The RRAM cell of claim 1,
wherein the bottom electrode comprises a convex bottom surface that abuts a convex top surface of the lower metal interconnect layer; and
wherein the top surface of the bottom electrode shares a planar surface with a top surface of the lower ILD layer.
8. The RRAM cell of claim 7,
wherein the bottom electrode has a flat top surface connected to the bottom surface by way of curved sidewalls; and
wherein the bottom surface comprises a curved surface so that the sidewalls and the bottom surface form a continuous curved surface that extends between opposing sides of the flat top surface.
9. The RRAM cell of claim 1, wherein the bottom electrode comprises:
a first bottom electrode layer disposed onto the lower metal interconnect layer, wherein the first bottom electrode layer comprises a first material;
a second bottom electrode layer nested within the first bottom electrode layer, wherein the second bottom electrode layer comprises a second material different than the first material; and
wherein the first bottom electrode layer and the second bottom electrode layer have top surfaces that are aligned along a planar surface.
10. The RRAM cell of claim 1, wherein the dielectric data storage layer and the top electrode comprise planar layers.
11. The RRAM cell of claim 1, wherein the dielectric data storage layer and the top electrode comprise non-planar layers.
12. A resistive random access memory (RRAM) cell, comprising:
a bottom electrode disposed over a lower metal interconnect layer surrounded by a lower inter-level dielectric (ILD) layer;
a bottom dielectric layer disposed over the lower metal interconnect layer or the lower ILD layer;
a dielectric data storage layer having a variable resistance located over the bottom electrode;
a top electrode disposed over the dielectric data storage layer; and
a masking layer that is substantially devoid of oxygen disposed over the top electrode, wherein the masking layer has sidewalls that are vertically aligned with sidewalls of the top electrode.
13. The RRAM cell of claim 12, wherein the bottom electrode comprises a flat top surface connected to a flat bottom surface by way of curved sidewalls.
14. The RRAM cell of claim 13,
wherein the bottom electrode is surrounded by a bottom dielectric layer disposed over the lower metal interconnect layer or the lower ILD layer; and
wherein the flat top surface of the bottom electrode shares a planar surface with a top surface of the bottom dielectric layer.
15. (canceled)
16. The RRAM cell of claim 13,
wherein the bottom electrode comprises a convex bottom surface that abuts a convex top surface of the lower metal interconnect layer; and
wherein the flat top surface of the bottom electrode shares a planar surface with a top surface of the lower ILD layer.
17. The RRAM cell of claim 16, wherein the bottom surface comprises a curved surface so that the sidewalls and the bottom surface form a continuous curved surface that extends between opposing sides of the flat top surface.
18-20. (canceled)
21. An RRAM cell, comprising:
a bottom electrode disposed over a lower metal interconnect layer and comprising a substantially planar upper surface;
a bottom dielectric layer disposed over the lower metal interconnect layer;
a dielectric data storage layer having a variable resistance, wherein a bottom surface of the dielectric data storage layer abuts the substantially planar upper surface of the bottom electrode and the bottom dielectric layer; and
a top electrode disposed over the dielectric data storage layer.
22. The RRAM cell of claim 21, wherein the bottom electrode comprises curved sidewalls.
23. The RRAM cell of claim 21, wherein the bottom electrode comprises:
a first bottom electrode layer disposed onto the lower metal interconnect layer and comprising a first material;
a second bottom electrode layer nested within the first bottom electrode layer and comprising a second material different than the first material; and
wherein the first bottom electrode layer and the second bottom electrode layer have upper surfaces that are aligned along a planar surface.
24. The RRAM cell of claim 1, wherein the bottom dielectric layer laterally extends between the dielectric data storage and the bottom electrode.
25. The RRAM cell of claim 2, further comprising:
an upper metal interconnect layer surrounded by an upper ILD layer and vertically extending through a masking layer vertically arranged between the top electrode and the top dielectric layer, wherein the masking layer has outer sidewalls that are vertically aligned with outer sidewalls of the top electrode.
US14/252,111 2014-04-14 2014-04-14 RRAM cell with bottom electrode Expired - Fee Related US9178144B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/252,111 US9178144B1 (en) 2014-04-14 2014-04-14 RRAM cell with bottom electrode
DE102014107416.5A DE102014107416A1 (en) 2014-04-14 2014-05-27 RRAM CELL WITH LOWER ELECTRODE

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/252,111 US9178144B1 (en) 2014-04-14 2014-04-14 RRAM cell with bottom electrode

Publications (2)

Publication Number Publication Date
US20150295172A1 true US20150295172A1 (en) 2015-10-15
US9178144B1 US9178144B1 (en) 2015-11-03

Family

ID=54193086

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/252,111 Expired - Fee Related US9178144B1 (en) 2014-04-14 2014-04-14 RRAM cell with bottom electrode

Country Status (2)

Country Link
US (1) US9178144B1 (en)
DE (1) DE102014107416A1 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9461245B1 (en) * 2015-11-13 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode for RRAM structure
DE102016100272A1 (en) * 2015-10-27 2017-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. METAL CONTACT PATTERN ON A TOP ELECTRODE OF A RRAM
TWI584508B (en) * 2015-10-22 2017-05-21 華邦電子股份有限公司 Rram device and method for manufacturing the same
US20170162783A1 (en) * 2015-12-08 2017-06-08 Crossbar, Inc. Regulating interface layer formation for two-terminal memory
US9859336B1 (en) * 2017-01-09 2018-01-02 Macronix International Co., Ltd. Semiconductor device including a memory cell structure
WO2018004671A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Rram devices with bottom ballast
US9954166B1 (en) * 2016-11-28 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded memory device with a composite top electrode
CN108123031A (en) * 2016-11-30 2018-06-05 中芯国际集成电路制造(上海)有限公司 Resistive formula memory and its manufacturing method
CN108288671A (en) * 2017-01-09 2018-07-17 旺宏电子股份有限公司 Has the semiconductor element of a memory construction
US10090465B2 (en) 2016-10-19 2018-10-02 United Microelectronics Corp. Semiconductor device having memory cell structure and method of manufacturing the same
US10115896B1 (en) * 2017-04-13 2018-10-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
CN109119533A (en) * 2017-06-26 2019-01-01 台湾积体电路制造股份有限公司 RRAM device and forming method thereof
US20190074440A1 (en) * 2017-09-01 2019-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device having via landing protection
CN109560194A (en) * 2017-09-25 2019-04-02 台湾积体电路制造股份有限公司 Semiconductor device and its manufacturing method
US20190109178A1 (en) * 2017-08-30 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Rram memory cell with multiple filaments
TWI666799B (en) * 2017-09-28 2019-07-21 台灣積體電路製造股份有限公司 Memory devices and methods for forming same
US20190273118A1 (en) * 2016-04-15 2019-09-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure
US10475997B1 (en) * 2018-07-17 2019-11-12 International Business Machines Corporation Forming resistive memory crossbar array employing selective barrier layer growth
US10636965B2 (en) 2017-11-09 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory device
US10916697B2 (en) * 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method of manufacturing the same
CN112420919A (en) * 2019-08-23 2021-02-26 联华电子股份有限公司 Structure of variable resistance type memory and manufacturing method thereof
US10985316B2 (en) 2018-09-27 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode structure in memory device
US11011224B2 (en) * 2017-11-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11043628B2 (en) * 2019-10-08 2021-06-22 International Business Machines Corporation Multi-layer bottom electrode for embedded memory devices
US11121311B2 (en) * 2019-01-24 2021-09-14 International Business Machines Corporation MTJ containing device encapsulation to prevent shorting
CN113629098A (en) * 2020-05-07 2021-11-09 华邦电子股份有限公司 Resistive memory device
US11183503B2 (en) * 2019-07-31 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having top and bottom electrodes defining recesses
US11201190B2 (en) * 2017-08-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. RRAM memory cell with multiple filaments
US20220190240A1 (en) * 2017-08-02 2022-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random-access memory (rram) cell with recessed bottom electrode sidewalls
US20220359616A1 (en) * 2019-02-22 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device
TWI797653B (en) * 2020-07-31 2023-04-01 大陸商廈門半導體工業技術研發有限公司 Semiconductor element and method for manufacturing semiconductor element

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112148B2 (en) 2013-09-30 2015-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM cell structure with laterally offset BEVA/TEVA
KR20170055716A (en) * 2015-11-12 2017-05-22 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same
CN107154458B (en) * 2016-03-04 2019-07-26 华邦电子股份有限公司 Resistance type random access memory structure and its manufacturing method
WO2018004562A1 (en) * 2016-06-29 2018-01-04 Intel Corporation Approaches for fabricating self-aligned pedestals for rram devices and the resulting structures
WO2018004574A1 (en) * 2016-06-29 2018-01-04 Intel Corporation Rram devices with amorphous bottom ballast layer
WO2018004587A1 (en) * 2016-06-30 2018-01-04 Intel Corporation Rram devices with two-dimensional thermal barrier layers
US10971684B2 (en) * 2018-10-30 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Intercalated metal/dielectric structure for nonvolatile memory devices
US11877458B2 (en) 2020-03-09 2024-01-16 International Business Machines Corporation RRAM structures in the BEOL
US11342501B2 (en) * 2020-04-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11844291B2 (en) 2021-06-21 2023-12-12 United Microelectronics Corp. Semiconductor memory device and fabrication method thereof

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6337266B1 (en) 1996-07-22 2002-01-08 Micron Technology, Inc. Small electrode for chalcogenide memories
US6871396B2 (en) 2000-02-09 2005-03-29 Matsushita Electric Industrial Co., Ltd. Transfer material for wiring substrate
US6507061B1 (en) 2001-08-31 2003-01-14 Intel Corporation Multiple layer phase-change memory
US6764894B2 (en) 2001-08-31 2004-07-20 Ovonyx, Inc. Elevated pore phase-change memory
KR100829556B1 (en) 2002-05-29 2008-05-14 삼성전자주식회사 Magneto-resistive Random access memory and method for manufacturing the same
US7049623B2 (en) 2002-12-13 2006-05-23 Ovonyx, Inc. Vertical elevated pore phase change memory
JP4008857B2 (en) 2003-03-24 2007-11-14 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US7928420B2 (en) 2003-12-10 2011-04-19 International Business Machines Corporation Phase change tip storage cell
JP4072523B2 (en) 2004-07-15 2008-04-09 日本電気株式会社 Semiconductor device
KR100655796B1 (en) 2004-08-17 2006-12-11 삼성전자주식회사 Phase-changeable memory device and method of manufacturing the same
DE102004041893B4 (en) 2004-08-30 2006-11-23 Infineon Technologies Ag Process for the production of memory devices (PCRAM) with memory cells based on a phase-changeable layer
US7633110B2 (en) 2004-09-21 2009-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell
DE102004052611A1 (en) 2004-10-29 2006-05-04 Infineon Technologies Ag Method for producing an opening at least partially filled with a filling material, method for producing a memory cell and memory cell
US20060169968A1 (en) 2005-02-01 2006-08-03 Thomas Happ Pillar phase change memory cell
KR100645064B1 (en) 2005-05-23 2006-11-10 삼성전자주식회사 Metal oxide resistive ram and manufacturing method thereof
KR100668348B1 (en) 2005-11-11 2007-01-12 삼성전자주식회사 Nonvolatile memory device and fabrication method of the same
JP4847743B2 (en) 2005-11-28 2011-12-28 エルピーダメモリ株式会社 Nonvolatile memory device
US7531825B2 (en) 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
EP1845567A1 (en) 2006-04-11 2007-10-17 STMicroelectronics S.r.l. Phase-change memory device and manufacturing process thereof.
JP2008021668A (en) 2006-07-10 2008-01-31 Renesas Technology Corp Phase-change nonvolatile memory, and manufacturing method thereof
KR100858083B1 (en) 2006-10-18 2008-09-10 삼성전자주식회사 Phase change memory device having increased contact area between lower electrode contact layer and phase change layer and method of manufacturing the same
JP2008103541A (en) 2006-10-19 2008-05-01 Renesas Technology Corp Phase change memory and manufacturing method thereof
EP2099071B1 (en) 2006-12-19 2012-08-22 Fujitsu Limited Resistance change device and process for producing the same
KR100791008B1 (en) 2006-12-26 2008-01-04 삼성전자주식회사 Phase-change memory device having phase change material pattern shared between adjacent cells and electronic product including the phase-change memory
US8000126B2 (en) * 2007-01-11 2011-08-16 Renesas Electronics Corporation Semiconductor device with recording layer containing indium, germanium, antimony and tellurium
WO2008090621A1 (en) * 2007-01-25 2008-07-31 Renesas Technology Corp. Process for producing semiconductor memory device
US20080247214A1 (en) 2007-04-03 2008-10-09 Klaus Ufert Integrated memory
US7817454B2 (en) 2007-04-03 2010-10-19 Micron Technology, Inc. Variable resistance memory with lattice array using enclosing transistors
KR100869235B1 (en) 2007-05-25 2008-11-18 삼성전자주식회사 Method of manufacturing semiconductor diode and method of manufacturing pram using the same
US20080303015A1 (en) 2007-06-07 2008-12-11 Thomas Happ Memory having shared storage material
US8179739B2 (en) 2007-08-10 2012-05-15 Renesas Electronics Corporation Semiconductor device and its manufacturing method
US8253136B2 (en) 2007-10-30 2012-08-28 Panasonic Corporation Nonvolatile semiconductor memory device and manufacturing method thereof
JP2009135219A (en) * 2007-11-29 2009-06-18 Renesas Technology Corp Semiconductor device, and method of manufacturing same
JP5170107B2 (en) 2007-12-07 2013-03-27 富士通株式会社 Resistance change type memory device, nonvolatile memory device, and manufacturing method thereof
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US20110096595A1 (en) * 2008-06-20 2011-04-28 Masayuki Terai Semiconductor memory device and operation method thereof
US7791925B2 (en) 2008-10-31 2010-09-07 Seagate Technology, Llc Structures for resistive random access memory cells
US8053749B2 (en) 2008-11-07 2011-11-08 Seagate Technology Llc Mirrored-gate cell for non-volatile memory
US20120161095A1 (en) 2009-08-28 2012-06-28 Takumi Mikawa Semiconductor memory device and method of manufacturing the same
US8283202B2 (en) 2009-08-28 2012-10-09 International Business Machines Corporation Single mask adder phase change memory element
US7943420B1 (en) 2009-11-25 2011-05-17 International Business Machines Corporation Single mask adder phase change memory element
US9385308B2 (en) 2010-03-26 2016-07-05 Qualcomm Incorporated Perpendicular magnetic tunnel junction structure
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
WO2012001978A1 (en) 2010-07-01 2012-01-05 パナソニック株式会社 Nonvolatile memory element and method for manufacturing same
US8241944B2 (en) 2010-07-02 2012-08-14 Micron Technology, Inc. Resistive RAM devices and methods
CN102714210B (en) 2010-11-19 2015-08-12 松下电器产业株式会社 The manufacture method of non-volatile memory device and non-volatile memory device
KR101715861B1 (en) 2010-12-02 2017-03-14 삼성전자주식회사 Method of forming semiconductor device using deuterium annealing
EP2731110B1 (en) 2010-12-14 2016-09-07 SanDisk Technologies LLC Architecture for three dimensional non-volatile storage with vertical bit lines
US8927331B2 (en) 2011-03-10 2015-01-06 Panasonic Corporation Method of manufacturing nonvolatile memory device
CN102185105A (en) 2011-04-22 2011-09-14 复旦大学 Semiconductor memory structure and manufacturing method thereof
JP2012248620A (en) 2011-05-26 2012-12-13 Toshiba Corp Manufacturing method of semiconductor memory device
CN102222763A (en) * 2011-06-03 2011-10-19 复旦大学 RRAM (resistive random access memory) with electric-field enhancement layer and manufacturing method thereof
KR20130005878A (en) * 2011-07-07 2013-01-16 삼성전자주식회사 Low-resistance semiconductor device
US8609492B2 (en) 2011-07-27 2013-12-17 Micron Technology, Inc. Vertical memory cell
US8822265B2 (en) 2011-10-06 2014-09-02 Intermolecular, Inc. Method for reducing forming voltage in resistive random access memory
WO2013054506A1 (en) 2011-10-11 2013-04-18 パナソニック株式会社 Method of manufacturing semiconductor storage element
KR20130044496A (en) 2011-10-24 2013-05-03 에스케이하이닉스 주식회사 Phase change random access memory device and fabrication method thereof
US8878156B2 (en) 2011-11-21 2014-11-04 Avalanche Technology Inc. Memory device having stitched arrays of 4 F2 memory cells
KR101841445B1 (en) 2011-12-06 2018-03-23 삼성전자주식회사 Resist RAM device and method of manufacturing the same
US8710481B2 (en) 2012-01-23 2014-04-29 Sandisk 3D Llc Non-volatile memory cell containing a nano-rail electrode
WO2013125172A1 (en) 2012-02-20 2013-08-29 パナソニック株式会社 Non-volatile storage device and manufacturing method therefor
JP2013175570A (en) 2012-02-24 2013-09-05 National Institute Of Advanced Industrial & Technology Semiconductor memory device and process of manufacturing the same
US8698118B2 (en) 2012-02-29 2014-04-15 Globalfoundries Singapore Pte Ltd Compact RRAM device and methods of making same
JP2013197422A (en) 2012-03-21 2013-09-30 Toshiba Corp Nonvolatile storage device and manufacturing method of the same
JP5597320B2 (en) 2012-03-29 2014-10-01 パナソニック株式会社 Method for manufacturing nonvolatile memory device
US8896096B2 (en) 2012-07-19 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Process-compatible decoupling capacitor and method for making the same
KR20140058278A (en) 2012-11-06 2014-05-14 삼성전자주식회사 Resistive memory device, resistive memory array and manufacturing method of resistive memory device
US8921818B2 (en) 2012-11-09 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure
US9019743B2 (en) 2012-11-29 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for resistive switching random access memory with high reliable and high density
KR102021978B1 (en) 2012-12-06 2019-09-18 삼성전자주식회사 Semiconductor device having blocking layer and method of forming the same
US9130162B2 (en) 2012-12-20 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure and method of forming the same
US9331277B2 (en) 2013-01-21 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. One transistor and one resistive random access memory (RRAM) structure with spacer
US9437813B2 (en) 2013-02-14 2016-09-06 Sandisk Technologies Llc Method for forming resistance-switching memory cell with multiple electrodes using nano-particle hard mask
US8952347B2 (en) 2013-03-08 2015-02-10 Taiwan Semiconductor Manfacturing Company, Ltd. Resistive memory cell array with top electrode bit line
US9478638B2 (en) 2013-03-12 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive switching random access memory with asymmetric source and drain
US9231205B2 (en) * 2013-03-13 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Low form voltage resistive random access memory (RRAM)
US9349953B2 (en) 2013-03-15 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure and method of forming the same
TWI543337B (en) 2013-03-19 2016-07-21 東芝股份有限公司 Resistance random access memory device
US9112148B2 (en) * 2013-09-30 2015-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM cell structure with laterally offset BEVA/TEVA

Cited By (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI584508B (en) * 2015-10-22 2017-05-21 華邦電子股份有限公司 Rram device and method for manufacturing the same
US10475999B2 (en) 2015-10-27 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing on top electrode of RRAM
DE102016100272A1 (en) * 2015-10-27 2017-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. METAL CONTACT PATTERN ON A TOP ELECTRODE OF A RRAM
US9847481B2 (en) 2015-10-27 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing on top electrode of RRAM
DE102016100272B4 (en) * 2015-10-27 2020-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit containing at least one memory cell with a data storage layer, and method for its production
US11276819B2 (en) 2015-10-27 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal landing on top electrode of RRAM
US11944021B2 (en) 2015-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal landing on top electrode of RRAM
US9461245B1 (en) * 2015-11-13 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode for RRAM structure
US10109793B2 (en) 2015-11-13 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode for RRAM structure
US20170162783A1 (en) * 2015-12-08 2017-06-08 Crossbar, Inc. Regulating interface layer formation for two-terminal memory
US10693062B2 (en) * 2015-12-08 2020-06-23 Crossbar, Inc. Regulating interface layer formation for two-terminal memory
US10991758B2 (en) * 2016-04-15 2021-04-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure
US20190273118A1 (en) * 2016-04-15 2019-09-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure
WO2018004671A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Rram devices with bottom ballast
US10090465B2 (en) 2016-10-19 2018-10-02 United Microelectronics Corp. Semiconductor device having memory cell structure and method of manufacturing the same
US9954166B1 (en) * 2016-11-28 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded memory device with a composite top electrode
US10700281B2 (en) * 2016-11-30 2020-06-30 Semiconductor Manufacturing (Shanghai) International Corporation Semiconductor random access memory and manufacturing method thereof
CN108123031A (en) * 2016-11-30 2018-06-05 中芯国际集成电路制造(上海)有限公司 Resistive formula memory and its manufacturing method
CN108288671A (en) * 2017-01-09 2018-07-17 旺宏电子股份有限公司 Has the semiconductor element of a memory construction
US9859336B1 (en) * 2017-01-09 2018-01-02 Macronix International Co., Ltd. Semiconductor device including a memory cell structure
US10115896B1 (en) * 2017-04-13 2018-10-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US11183631B2 (en) 2017-06-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Electrode structure to improve RRAM performance
US10516106B2 (en) * 2017-06-26 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Electrode structure to improve RRAM performance
CN109119533A (en) * 2017-06-26 2019-01-01 台湾积体电路制造股份有限公司 RRAM device and forming method thereof
US11329221B2 (en) 2017-06-26 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Electrode structure to improve RRAM performance
US11871686B2 (en) * 2017-08-02 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls
US20220190240A1 (en) * 2017-08-02 2022-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random-access memory (rram) cell with recessed bottom electrode sidewalls
US20190109178A1 (en) * 2017-08-30 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Rram memory cell with multiple filaments
US10680038B2 (en) * 2017-08-30 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM memory cell with multiple filaments
US11737290B2 (en) * 2017-08-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. RRAM memory cell with multiple filaments
US20220093687A1 (en) * 2017-08-30 2022-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Rram memory cell with multiple filaments
US10504963B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM memory cell with multiple filaments
US20230354618A1 (en) * 2017-08-30 2023-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Rram memory cell with multiple filaments
US11201190B2 (en) * 2017-08-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. RRAM memory cell with multiple filaments
US20190074440A1 (en) * 2017-09-01 2019-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device having via landing protection
US11289651B2 (en) * 2017-09-01 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having via landing protection
CN109560194A (en) * 2017-09-25 2019-04-02 台湾积体电路制造股份有限公司 Semiconductor device and its manufacturing method
US11183394B2 (en) 2017-09-28 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method to expose memory cells with different sizes
US11367623B2 (en) 2017-09-28 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method to expose memory cells with different sizes
US10727077B2 (en) 2017-09-28 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to expose memory cells with different sizes
TWI666799B (en) * 2017-09-28 2019-07-21 台灣積體電路製造股份有限公司 Memory devices and methods for forming same
US10636965B2 (en) 2017-11-09 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory device
US11611039B2 (en) 2017-11-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory device
US11107986B2 (en) 2017-11-09 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory device
TWI734925B (en) * 2017-11-09 2021-08-01 台灣積體電路製造股份有限公司 Memory celland method for manufacturing the same
US11011224B2 (en) * 2017-11-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11800823B2 (en) 2018-06-29 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing thermal dispersion layer in programmable metallization cell
US10916697B2 (en) * 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method of manufacturing the same
US10475997B1 (en) * 2018-07-17 2019-11-12 International Business Machines Corporation Forming resistive memory crossbar array employing selective barrier layer growth
US10985316B2 (en) 2018-09-27 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode structure in memory device
US11631810B2 (en) 2018-09-27 2023-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom electrode structure in memory device
US11121311B2 (en) * 2019-01-24 2021-09-14 International Business Machines Corporation MTJ containing device encapsulation to prevent shorting
US20220359616A1 (en) * 2019-02-22 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device
US20220077165A1 (en) * 2019-07-31 2022-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integration method for memory cell
US11800720B2 (en) * 2019-07-31 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having a top electrode interconnect arranged laterally from a recess
US11183503B2 (en) * 2019-07-31 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having top and bottom electrodes defining recesses
US11165019B2 (en) * 2019-08-23 2021-11-02 United Microelectronics Corp. ReRAM structure and method of fabricating the same
CN112420919A (en) * 2019-08-23 2021-02-26 联华电子股份有限公司 Structure of variable resistance type memory and manufacturing method thereof
US11424408B2 (en) 2019-08-23 2022-08-23 United Microelectronics Corp. ReRAM structure and method of fabricating the same
US11043628B2 (en) * 2019-10-08 2021-06-22 International Business Machines Corporation Multi-layer bottom electrode for embedded memory devices
CN113629098A (en) * 2020-05-07 2021-11-09 华邦电子股份有限公司 Resistive memory device
TWI797653B (en) * 2020-07-31 2023-04-01 大陸商廈門半導體工業技術研發有限公司 Semiconductor element and method for manufacturing semiconductor element

Also Published As

Publication number Publication date
DE102014107416A1 (en) 2015-10-15
US9178144B1 (en) 2015-11-03

Similar Documents

Publication Publication Date Title
US9178144B1 (en) RRAM cell with bottom electrode
US10109793B2 (en) Bottom electrode for RRAM structure
US9209392B1 (en) RRAM cell with bottom electrode
US10903274B2 (en) Interconnect landing method for RRAM technology
US11430956B2 (en) RRAM cell structure with conductive etch-stop layer
US9543511B2 (en) RRAM device
US9825224B2 (en) RRAM device
US9876169B2 (en) RRAM devices and methods
US9431609B2 (en) Oxide film scheme for RRAM structure
CN109390466B (en) Resistive Random Access Memory (RRAM) cell and method of forming the same
US9853091B2 (en) Side bottom contact RRAM structure
US10014469B2 (en) Resistive random access memory and manufacturing method thereof
US9620582B2 (en) Metal-insulator-metal (MIM) capacitors and forming methods
US9425061B2 (en) Buffer cap layer to improve MIM structure performance
US9450183B2 (en) Memory structure having top electrode with protrusion
US11289648B2 (en) Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls
US9960349B2 (en) Resistive random-access memory structure and method for fabricating the same
US10164183B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUNG, FU-TING;LIU, SHIH-CHANG;TSAI, CHIA-SHIUNG;AND OTHERS;SIGNING DATES FROM 20140406 TO 20140425;REEL/FRAME:032938/0702

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20231103