US20150279746A1 - Structure and method to obtain eot scaled dielectric stacks - Google Patents

Structure and method to obtain eot scaled dielectric stacks Download PDF

Info

Publication number
US20150279746A1
US20150279746A1 US14/739,562 US201514739562A US2015279746A1 US 20150279746 A1 US20150279746 A1 US 20150279746A1 US 201514739562 A US201514739562 A US 201514739562A US 2015279746 A1 US2015279746 A1 US 2015279746A1
Authority
US
United States
Prior art keywords
nfet
pfet
layer
forming
threshold voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/739,562
Inventor
Hemanth Jagannathan
Takashi Ando
Lisa F. Edge
Sufi Zafar
Changhwan Choi
Paul C. Jamison
Vamsi K. Paruchuri
Vijay Narayanan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/739,562 priority Critical patent/US20150279746A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EDGE, LISA F., CHOI, CHANGHWAN, ANDO, TAKASHI, JAGANNATHAN, HEMANTH, JAMISON, PAUL C., NARAYANAN, VIJAY, PARUCHURI, VAMSI K., ZAFAR, SUFI
Publication of US20150279746A1 publication Critical patent/US20150279746A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present invention generally relates to semiconductor structures and methods of fabricating the same. More particularly, the present invention relates to semiconductor structures having equivalent oxide thickness (EOT) scaled dielectric stacks including high k dielectrics. The present invention also relates to interfacial scavenger techniques that can be employed in fabricating the semiconductor structures having the scaled EOT dielectric stacks.
  • EOT equivalent oxide thickness
  • MOSFET metal oxide semiconductor field effect transistor
  • Gate stacks comprised of a high k gate dielectric, i.e., dielectrics having a dielectric constant that is greater than silicon oxide, and an overlying metal gate are being used to replace conventional gate stacks comprised of silicon oxide and polysilicon to enable transistor scaling.
  • high k/metal gate stacks there is usually the presence of an interfacial layer (typically silicon dioxide) that exists between the high k dielectric and the underlying semiconductor substrate.
  • an interfacial layer typically silicon dioxide
  • Capacitance of the gate (capacitance of the high k gate dielectric ⁇ capacitance of the interfacial layer)/(capacitance of the high k gate dielectric+capacitance of the interfacial layer)
  • the interface capacitance limits the overall scalability of the gate stacks and acts as a bottleneck in gate stack scaling. While removal of the interfacial layer is possible, the same results in severe carrier mobility penalty.
  • EOT scaled high k/metal gate stacks are provided in which the capacitance bottleneck of the interfacial layer is substantially eliminated, with minimal compromise on the mobility of carriers in the channel of the device.
  • the aforementioned EOT scaled high k/metal gate stacks are achieved by increasing the dielectric constant of an originally formed interfacial layer to a value that is greater than the originally formed interfacial layer. In another embodiment, the aforementioned EOT scaled high k/metal gate stacks are achieved by eliminating the original interfacial layer from the structure. In yet another embodiment, the aforementioned high k/metal gate stacks are achieved by both increasing the dielectric constant of the original interfacial layer and reducing/eliminating the original interfacial layer.
  • EOT scaled high k/metal gate stacks are provided in which the capacitance bottleneck of the interfacial layer is substantially eliminated, with minimal compromise on the mobility of carriers in the channel of the device by incorporating at least one high k material dopant element into an originally formed interfacial layer.
  • the at least one high k material dopant element employed is an element overlying interfacial scaling material layer which diffuses into the originally formed interfacial layer during a subsequent processing step.
  • the incorporated at least one high k material dopant element reacts with the originally formed interfacial layer forming a modified interfacial layer that has an effective dielectric constant that is greater than that of the originally formed interfacial layer.
  • the high k material dopant element completely ‘scavenges’ all of the oxygen from the originally formed interfacial layer which, in essence, eliminates the originally formed interfacial layer from the structure.
  • a semiconductor structure in one aspect of the invention, includes a semiconductor substrate having at least one device region located therein. At least one patterned gate stack is located on an upper surface of the semiconductor substrate in the at least one device region.
  • the at least one patterned gate stack includes, from bottom to top, a modified interfacial layer, a high k gate dielectric, a metallic electrode and a Si-containing electrode.
  • the modified interfacial layer includes at least one high k dopant material element incorporated therein.
  • the modified interfacial layer has a dielectric constant that is greater than an originally formed interfacial layer not including the at least one high k material dopant element.
  • An optional interfacial scaling material layer can be present on one of an upper surface of the modified interfacial layer, an upper surface of the high k gate dielectric, or an upper surface of the metallic electrode.
  • the optional interfacial scaling material layer includes an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element.
  • the nFET threshold voltage adjusting element and the pFET threshold voltage adjusting element represent the high k material dopant element mentioned above.
  • the metallic electrode includes an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element embedded therein.
  • a semiconductor structure in another embodiment, includes a semiconductor substrate having at least an nFET device region and a pFET device region that are separated by an isolation region located within the semiconductor substrate. At least one patterned nFET gate stack is located on an upper surface of the semiconductor substrate in the nFET device region.
  • the at least one patterned nFET gate stack includes, from bottom to top, an nFET modified interfacial layer, a high k gate dielectric, a metallic electrode and a Si-containing electrode.
  • the nFET modified interfacial layer includes at least one nFET threshold voltage adjusting element incorporated therein.
  • the nFET modified interfacial layer has a dielectric constant that is greater than an originally formed interfacial layer not including the at least one nFET threshold voltage adjusting element.
  • the structure also includes at least one patterned pFET gate stack located on an upper surface of the semiconductor substrate in the pFET device region.
  • the at least one patterned pFET gate stack includes, from bottom to top, a pFET modified interfacial layer, a high k gate dielectric, a metallic electrode and a Si-containing electrode.
  • the pFET modified interfacial layer includes at least one pFET threshold voltage adjusting element incorporated therein.
  • the pFET modified interfacial layer has a dielectric constant that is greater than an originally formed interfacial layer not including the at least one pFET threshold voltage adjusting element.
  • a method in another aspect of the invention, includes incorporating at least one high k material dopant element within an interfacial layer of at least one patterned gate stack including a high k gate dielectric, a metallic electrode and a Si-containing electrode, wherein the incorporating element modifies the interfacial layer into a modified interfacial layer including the at least one high k material dopant element incorporated therein.
  • the modified interfacial layer has a dielectric constant that is greater than the originally formed interfacial layer not including the at least one high k material dopant element.
  • the incorporating of the at least one high k material dopant element includes diffusion of a high k material dopant element (either an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element) from either an overlying interfacial scaling material layer or a metallic electrode that includes the same.
  • a high k material dopant element either an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element
  • the overlying interfacial scaling material layer is located directly on an upper surface of the interfacial layer.
  • the overlying interfacial scaling material layer is located directly on an upper surface of the high k gate dielectric.
  • the overlying interfacial scaling material is located directly on an upper surface of said metallic electrode.
  • a method in yet another aspect of the invention, includes providing a semiconductor substrate having an nFET device region and a pFET device region; and providing an EOT scaled nFET within the nFET device region and an EOT scaled pFET in the pFET device region.
  • the EOT scaled nFET includes, from bottom to top, an nFET modified interfacial layer including an nFET threshold voltage adjusting element incorporated therein, a high k gate dielectric, a metallic electrode and a Si-containing electrode
  • the EOT scaled pFET includes, from bottom to top, a pFET modified interfacial layer including a pFET threshold voltage adjusting element incorporated therein, a high k gate dielectric, a metallic electrode and a Si-containing electrode.
  • FIG. 1 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material layer is present on an upper surface of a high k gate dielectric.
  • FIG. 2 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material layer is present on an upper surface of an originally formed interfacial layer.
  • FIG. 3 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material layer is present on an upper surface of a metallic electrode.
  • FIG. 4 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material element is present within a metallic electrode.
  • FIG. 5 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which different interfacial scaling material layers are present on an upper surface of a high k gate dielectric in an nFET device region and a pFET device region, respectively.
  • FIG. 6 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which different interfacial scaling material layers are present on an upper surface of an interfacial layer in an nFET device region and a pFET device region, respectively.
  • FIG. 7 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which different interfacial scaling material layers are present on an upper surface of a metallic electrode in an nFET device region and a pFET device region, respectively.
  • FIG. 8 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which the metallic electrode in the nFET device region includes an nFET threshold voltage adjusting element and the metallic electrode in the pFET device region includes a pFET threshold voltage adjusting element.
  • FIG. 9 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which a first interfacial scaling material layer including an nFET threshold voltage adjusting element is present on an upper surface of an interfacial layer in an nFET device region and a second interfacial scaling material layer including a pFET threshold voltage adjusting element is present on an upper surface of a high k gate dielectric in a pFET device region.
  • FIG. 10 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which a first interfacial scaling material layer including an nFET threshold voltage adjusting element is present on an upper surface of an interfacial layer in an nFET device region and a second interfacial scaling material layer including a pFET threshold voltage adjusting element is present on an upper surface of a high k gate dielectric in both a pFET device region and the nFET device region.
  • FIG. 11 shows the capacitance-voltage (C-V) curves on n-type Si including gate stacks in accordance with the invention (Invention) and a controlled gate stack containing no interfacial scaling material layer between a TiN/HfO 2 gate stack (labeled as no cap).
  • FIG. 12 shows the capacitance-voltage (C-V) curves on p-type Si including gate stacks in accordance with the invention (Invention) and a controlled gate stack containing no interfacial scaling material layer between a TiN/HfO 2 gate stack (labeled as no cap).
  • FIG. 1 illustrates a semiconductor structure 10 that includes an EOT scaled high k/metal gate stack 14 located on a surface of a semiconductor substrate 12 .
  • the particular embodiment illustrated in FIG. 1 represents an embodiment in which a unipolar semiconductor structure, e.g., pFET or nFET, is formed.
  • the scaled high k/metal gate stack 14 includes, from bottom to top, a modified interfacial layer 16 , a high k gate dielectric 18 , an optional interfacial scaling material layer 20 , a metallic electrode 22 and a Si-containing electrode 24 .
  • semiconductor substrate 12 is provided.
  • the semiconductor substrate 12 employed comprises any semiconductor material including, but not limited to Si, Ge, SiGe, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors.
  • Semiconductor substrate 12 may also comprise an organic semiconductor or a layered semiconductor such as, for example, Si/SiGe, a semiconductor-on-insulator (SOI) or a SiGe-on-insulator (SiGOI).
  • SOI semiconductor-on-insulator
  • SiGOI SiGe-on-insulator
  • Semiconductor substrate 12 can also include a hybrid semiconductor substrate including at least two surface regions that have different crystallographic orientations.
  • the hybrid substrate can have regions that are all SOI like (i.e., have a buried insulating layer beneath an SOI layer) or some regions can be SOI like and others are bulk like.
  • the semiconductor substrate 12 be composed of a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon.
  • the semiconductor substrate 12 can be doped, undoped or contain doped and undoped regions therein.
  • the semiconductor substrate 12 can include a first doped (n- or p) region, and a second doped (n- or p-) region.
  • the doped regions are not specifically shown in the drawings.
  • the first and second doped regions can have the same conductivities and/or doping concentrations, or they can have different conductivities and/or doping concentrations. These doped regions are known as ‘wells’.
  • At least one isolation region (not shown in the embodiment of FIG. 1 ) can be formed into the semiconductor substrate 12 .
  • the at least one isolation region can be a trench isolation region or a field oxide isolation region.
  • the trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of a trench with a trench dielectric material can be used in forming the trench isolation region.
  • a liner can be formed in the trench prior to trench fill, a densification step can optionally be performed after the trench fill and a planarization process can follow the trench fill as well.
  • the field oxide isolation region can be formed utilizing a so-called local oxidation of silicon process.
  • the at least one isolation region provides electrical isolation between neighboring gates regions, typically, but not necessarily, required when the neighboring gates have opposite conductivities.
  • the neighboring gate regions can have the same conductivity (i.e., both n- or p-type), or alternatively, they can have different conductivities (i.e., one n-type and the other p-type).
  • interfacial layer (which will subsequently become modified interfacial layer 16 ) is formed after processing the semiconductor substrate 12 .
  • the interfacial layer can be formed utilizing a conventional thermal growing technique that is well known to those skilled in the art including, for example, oxidation or oxynitirdation.
  • the interfacial layer is comprised of silicon oxide, silicon oxynitride, or a nitrided silicon oxide.
  • the interfacial layer may comprise a semiconductor oxide, a semiconducting oxynitride or a nitrided semiconducting oxide.
  • the thickness of the interfacial layer is typically from 0.1 nm to 1.5 nm, with a thickness from 0.5 to 1.2 nm being even more typical.
  • the thickness may be different after processing at higher temperatures, which are usually required during device fabrication.
  • the interfacial layer is a silicon oxide layer having a thickness from 0.5 nm to 0.8 nm that is formed by a wet chemical oxidation.
  • the process step for this wet chemical oxidation includes treating a cleaned surface of a semiconductor material (such as a HF-last semiconductor surface) with a mixture of ammonium hydroxide, hydrogen peroxide and water (in a 1:1:5 ratio) at 65° C.
  • the interfacial layer can also be formed by treating the HF-last semiconductor surface in ozonated aqueous solutions, with the ozone concentration usually varying from, but not limited to 2 parts per million (ppm) to 40 ppm.
  • dielectric materials having a dielectric constant of greater than 4.0 include, but are not limited to silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides and/or metal silicates.
  • the high k gate dielectric 18 is comprised of HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 SrTiO 3 , LaAlO 3 Y 2 O 3 and multilayered stacks thereof.
  • the high k gate dielectric 18 is an Hf-based gate dielectric including HfO 2 , hafnium silicate and hafnium silicon oxynitride.
  • the high k gate dielectric 18 can be formed utilizing a thermal growth process such as, for example, oxidation, nitridation or oxynitridation.
  • the high k gate dielectric 18 can be formed by a deposition process including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering and chemical solution deposition.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • MOCVD metalorgano chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering and chemical solution deposition.
  • the high k gate dielectric 18 may also be formed utilizing any combination of the aforementioned processes.
  • the thickness of the as deposited high k gate dielectric 18 may vary depending on the dielectric material employed as well as the process used to form the same. Typically, the thickness of the as deposited high k gate dielectric 18 is from 0.5 nm to 20 nm, with a thickness from 1 nm to 10 nm being even more typical.
  • an interfacial scaling material layer 20 is formed atop the high k gate dielectric 18 .
  • the interfacial scaling material layer 20 can include an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material; these materials include a high k material dopant element that is subsequently introduced into the originally formed interfacial layer providing modified interfacial layer 16 .
  • the type of threshold voltage adjusting material employed is dependent on which conductivity type device, e.g., nFET or pFET, is being fabricated.
  • the nFET threshold voltage material that can be employed includes a rare earth metal, a rare earth metal-containing oxide, a rare earth metal-containing nitride, or any combination thereof.
  • a rare earth metal is a metal from Group IIIB of the Periodic Table of Elements (CAS version) including, for example, La, Ce, Pr, Nd, Pm, Sm, Eu, Ga, Tb, Dy, Ho, Er, Tm, Yb, Lu or mixtures thereof.
  • the rare earth metal or rare earth metal-containing composition i.e., oxide or nitride
  • the rare earth metal or rare earth metal-containing composition comprises at least one of La, Ce, Y, Sm, Er and Tb, with La being preferred.
  • the rare earth metal or rare earth metal-containing composition (i.e., oxide or nitride) is formed utilizing a conventional deposition process including, for example, evaporation, molecular beam deposition, metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and other like deposition processes.
  • MOCVD metalorgano chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • ALD is highly preferred in forming the rare earth metal or rare earth metal-containing composition (i.e., oxide or nitride).
  • an nFET threshold voltage adjusting material that can be used in the present invention as the interfacial scaling material layer is an alkaline earth metal or alkaline earth metal composition (i.e., oxide or nitride) that includes an alkaline earth element, i.e., at least one of Be, Mg, Ca, Sr, and Ba. It is noted that the present invention contemplates a mixture of alkaline earth elements as well.
  • the alkaline earth metal or alkaline earth metal-containing composition i.e., oxide or nitride
  • the alkaline earth metal or alkaline earth metal-containing composition includes Mg.
  • the alkaline earth metal or alkaline earth metal-containing composition (i.e., oxide or nitride) is formed utilizing a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, physical vapor deposition (PVD) and other like deposition processes.
  • a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, physical vapor deposition (PVD) and other like deposition processes.
  • the interfacial scaling material layer 20 can alternatively include a pFET threshold voltage adjusting material which can be in elemental form or in a composition including elements of O or N.
  • pFET threshold voltage adjusting elements include Al, Ge, Ti, Ni, Co, Tl and Ta.
  • the pFET threshold voltage adjusting materials are formed utilizing conventional deposition processes well known to those skilled in the art including, but not limited to CVD, PECVD, ALD, PVD, sputtering and plating.
  • the interfacial scaling material layer 20 typically has a thickness from 0.1 to 5.0 nm, with a thickness from 0.3 to 2.0 nm being even more typical.
  • the metallic electrode 22 is formed atop the interfacial scaling material layer 20 .
  • the metallic electrode 22 includes an elemental metal, an alloy including an elemental metal, a metal silicide, a metal nitride, a metal carbide or any combination thereof including multilayers.
  • elemental metals that can be used as the metallic electrode 22 include metals from Group VIB, VIIB and VIII of the Periodic Table of Elements (CAS version).
  • Preferred metallic electrodes are TaN for pFET devices, and TiN for nFET devices.
  • the thickness of the metallic electrode 22 may vary, with typical thicknesses being from 2 nm to 200 nm. More typically, the thickness of the metallic electrode 22 is from 5 nm to 15 nm.
  • the metallic electrode 22 can be formed utilizing any conventional process known to those skilled in the art.
  • metallic electrode 22 can be formed by a deposition process such as, for example, sputtering, chemical vapor deposition, evaporation, atomic layer deposition, and plating.
  • a silicide is formed, a conventional silicidation process can be used to form the same.
  • the silicidation process can provide a fully silicided gate or a partially silicided gat including a top silicide layer and a bottom metal layer.
  • a Si-containing electrode 24 is formed atop the metallic electrode 22 .
  • the Si-containing electrode 24 can comprise polysilicon or silicon germanium.
  • the Si-containing electrode 24 can be doped utilizing an in-situ doping deposition process. Alternatively, a Si-containing material is first formed by deposition, and thereafter dopants are introduced into the Si-containing material by ion implantation.
  • the thickness of the Si-containing electrode 24 may vary, with typical thicknesses being from 25 nm to 150 nm. More typically, the thickness of the Si-containing electrode 24 is from 50 nm to 100 nm.
  • the Si-containing electrode 24 can be formed utilizing any conventional process known to those skilled in the art. In one embodiment, the Si-containing electrode 24 can be formed by a deposition process such as, for example, CVD, PECD, ALD and evaporation.
  • high k material dopant elements e.g., metallic species from the nFET or pFET threshold voltage adjusting materials
  • the diffusion of the nFET threshold voltage adjusting element or the pFET threshold voltage adjusting element from the interfacial scaling material layer 20 occurs utilizing an annealing step that is performed after one of formation of layer 20 , formation of metallic electrode 22 , formation of Si-containing electrode 24 , or after any combination of the aforementioned steps.
  • the annealing can be conducted at a temperature from 500° C. to 1100° C., with a temperature from 900° C. to 1080° C. being even more typical.
  • Any type of anneal can be used including for example, rapid thermal anneal, a laser anneal, a spike anneal or a furnace anneal.
  • the anneal could be used to activate source and drain dopants formed into the semiconductor substrate, or the anneal could be used as an annealing used in forming silicide contacts.
  • modified interfacial layer denotes a reaction product that is formed between the diffused nFET threshold voltage adjusting element or the diffused pFET threshold voltage adjusting element and the original interfacial layer.
  • One characteristic of the modified interfacial layer 16 is that it has a dielectric constant that is greater than the originally formed interfacial layer not including the high k material dopant element, e.g., the nFET or pFET threshold voltage adjusting element.
  • the diffused elements scavenge oxygen from the originally formed interfacial layer so as to form an oxygen deficient interfacial layer, i.e., the oxygen content in the modified interfacial layer is less than the oxygen content in the originally formed interfacial layer.
  • the diffused element reduces the EOT thickness of the dielectric stack to a value that is less than the EOT obtained for a dielectric stack that does not include the modified interfacial layer 16 .
  • the EOT scaled high k dielectric/metal stack may have reduced electrical leakage as compared with a high k dielectric/metal stack that does not include the modified interfacial layer.
  • the interfacial scaling material layer 20 remains within the structure and is partially consumed. In another embodiment, the interfacial scaling material layer 20 is completely consumed such that no interfacial scaling material layer 20 is present in the final gate stack. In such an embodiment, the metallic electrode 22 would be located on a surface of the high k gate dielectric 18 . It is noted that after diffusion the metallic species of the interfacial scaling material layer 20 is present in both the high k gate dielectric 18 and the modified interfacial layer 16 .
  • the above can be implemented in a standard device process sequence in which the various material layers mentioned above are first deposited and then lithography and etching are used to provide a patterned gate stack as shown in FIG. 1 .
  • the above can be implemented in a replacement gate process in which a dummy gate is first formed, removed and replaced with the various materials mentioned above.
  • the various materials mentioned above can be formed without breaking vacuum between the various deposition steps.
  • the vacuum may be broken after any one, or all, of the deposition steps.
  • the above processing may be implemented for forming 3D semiconductor structures such as, for example, FinFETs, and/or trench capacitor structures.
  • Modification of the above embodiment also can be carried out by altering the location of the interfacial scaling material layer 20 within the high k/metal gate stack.
  • the present invention also includes an embodiment (See FIG. 2 ) in which the interfacial scaling material layer 20 is formed directly on an upper surface of the original interfacial layer, instead of the high k gate dielectric 18 as shown in FIG. 1 .
  • the interfacial scaling material layer is formed directly on an upper surface of the metallic electrode 22 , instead of the high k gate dielectric 18 as shown in FIG. 1 .
  • FIG. 3 See FIG.
  • the interfacial scaling material layer 20 is eliminated and the metallic electrode 22 includes one of the above mentioned nFET or pFET threshold voltage adjusting elements.
  • reference numeral 22 ′ denotes the metallic electrode that includes one of the mentioned nFET or pFET threshold voltage adjusting elements.
  • the interfacial scaling material layer may remain and is partially consumed or, it can be completely consumed as described above. It is noted that the above processing steps can be used in any of the alternative embodiments of the invention.
  • the metallic electrode includes the nFET or pFET threshold voltage adjusting element
  • the metallic electrode is formed utilizing precursors of a metallic electrode and precursors including the nFET or pFET threshold voltage adjusting element.
  • the location of the interfacial scaling material layer may vary in different device regions and that in some embodiments the interfacial scaling material layer can be located more than once in a single device region.
  • FIG. 5 illustrates another embodiment of the invention.
  • a CMOS structure is formed that includes an EOT scaled pFET high k/metal gate stack and an EOT scaled nFET high k/metal gate stack.
  • FIG. 5 illustrates a CMOS structure 50 including a semiconductor substrate 12 that includes an nFET device region 52 and a pFET device region 54 that are isolated from each other by isolation region 13 . As is illustrated in FIG.
  • At least one EOT scaled nFET high k/metal stack 56 is located within the nFET device region 52 and at least one EOT scaled pFET high gate/metal gate stack 58 is located within the pFET device region 54 .
  • the at least one EOT scaled nFET high k/metal stack 56 includes, from bottom to top, an nFET modified interfacial layer 16 ′, a high k gate dielectric 18 , an optional nFET interfacial scaling material layer 20 ′, a metallic electrode 22 and a Si-containing electrode 24 .
  • the at least one EOT scaled pFET high k/metal gate stack includes, from bottom to top, a pFET modified interfacial layer 16 ′′, a high k gate dielectric 18 , an optional pFET interfacial scaling material layer 20 ′′, a metallic electrode 22 and a Si-containing electrode 24 .
  • the structure 50 shown in FIG. 5 is formed by first providing semiconductor substrate 12 which is processed to include isolation region 13 which separates the nFET device region 52 from the pFET device region 54 .
  • the types of materials for the semiconductor substrate 12 and the isolation region 13 as well as processing techniques that can be used in forming the isolation region 13 are the same as that described above for the embodiment illustrated within FIG. 1 .
  • an interfacial layer is formed on the exposed semiconductor substrate surface utilizing one of the processes mentioned above within the first embodiment of the present invention.
  • a high k gate dielectric 18 is formed atop the interfacial layer utilizing one of the dielectric materials and processes mentioned above for the gate dielectric material within the first embodiment.
  • a first interfacial scaling material layer (either an nFET interfacial scaling material layer 20 ′ or a pFET interfacial scaling material layer 20 ′′) is formed on the high k gate dielectric.
  • the first interfacial scaling material layer is an nFET interfacial scaling material.
  • the first interfacial scaling material layer is a pFET interfacial scaling material layer 20 ′′.
  • the nFET interfacial scaling material layer 20 ′ includes one of the nFET threshold voltage adjusting elements described in the first embodiment of the invention, while the pFET interfacial scaling material layer 20 ′′ includes one of the pFET threshold voltage adjusting elements described in the first embodiment of the invention.
  • the first interfacial scaling material layer is formed utilizing one of the techniques described above for formation of the nFET threshold voltage adjusting materials and the pFET threshold voltage adjusting materials.
  • first interfacial scaling material layer After formation of the first interfacial scaling material layer atop the high k gate dielectric 18 in both device regions, a portion of the first interfacial scaling material layer is removed from one of the device regions that would not benefit from the presence of the same, while leaving another portion of the first interfacial scaling material present in the other device region. For example, if the first interfacial scaling material includes an nFET threshold voltage adjusting material, the first interfacial scaling material layer would be removed from the pFET device region.
  • This ‘patterning’ step is achieved by forming a block mask over one of the device regions and then selectively removing via etching the first interfacial scaling material layer from one of the device regions.
  • the selective removal of the first interfacial scaling material layer from unwanted device regions includes a wet chemical etching process which removes exposed portions of the first interfacial scaling material layer selective to the underlying layers including, for example, the high k gate dielectric 18 .
  • An example of a wet chemical etchant that can be used to selectively remove the first interfacial scaling material layer from one of the device regions includes HCl, ammonium hydroxide, HF and a mixture of ammonium hydroxide, hydrogen peroxide and water.
  • a second interfacial scaling material layer (the other of the pFET interfacial scaling material layer 20 ′′ or the nFET interfacial scaling material layer 20 ′ not used as the first interfacial scaling material layer) is formed utilizing one of the deposition processes mentioned above in the first embodiment for forming interfacial scaling material layer 20 .
  • the second interfacial scaling material layer is a pFET interfacial scaling material layer 20 ′′.
  • a portion of the second interfacial scaling material layer that is located atop the first interfacial layer can be removed from one of the device regions that would not benefit from the presence of the same, while leaving another portion of the second interfacial scaling material layer present in the other device region.
  • the first interfacial scaling material is an nFET threshold voltage adjusting material
  • the second interfacial scaling material layer would be a pFET threshold voltage material and the pFET threshold voltage material would be removed from the nFET device region.
  • This ‘patterning’ step is achieved by forming a block mask over one of the device regions and then selectively removing via etching the second interfacial scaling material layer from one of the device regions.
  • the selective removal of the second interfacial scaling material layer from unwanted device regions includes a wet chemical etching process which removes exposed portions of the second interfacial scaling material selective to the underlying layers.
  • An example of a wet chemical etchant that can be used to selectively remove the first interfacial scaling material layer from one of the device regions includes HCl, ammonium hydroxide, HF and a mixture of ammonium hydroxide, hydrogen peroxide and water.
  • a metallic electrode 22 and a Si-containing electrode 24 are formed.
  • the metallic electrode 22 and the Si-containing electrode 24 include materials described above in the first embodiment, and they are formed utilizing the processing also described above.
  • elements i.e., metallic species
  • elements from the first and second interfacial material layers can diffuse from the interfacial scaling material layers, through the high k gate dielectric 18 into the interfacial layer forming modified interfacial layers.
  • the diffusion of the nFET threshold voltage adjusting element and the pFET threshold voltage adjusting element from the interfacial scaling material layers occurs utilizing an annealing step that is performed after one of formation of the first and second interfacial scaling material layers, formation of metallic electrode 22 , formation of Si-containing electrode 24 , or after any combination of the aforementioned steps.
  • the annealing can be conducted within the temperature ranges mentioned above. Any type of anneal can be used including for example, rapid thermal anneal, a laser anneal, a spike anneal or a furnace anneal.
  • one of, or both, the first and second interfacial scaling material layers remain within the structure and one of, or both, the remaining first and second interfacial scaling material layers is partially consumed. In an even further embodiment, one of, or both, the first and second interfacial scaling material layers is completely consumed such that no interfacial scaling material layers is present in the final gate stack. In such an embodiment, the metallic electrode 22 would be located on a surface of the high k gate dielectric 18 .
  • the above can be implemented in a standard device process sequence in which the various material layers mentioned above are first deposited and then lithography and etching are used to provide a patterned gate stack as shown in FIG. 5 .
  • the above can be implemented in a replacement gate process in which a dummy gate is first formed, removed and replaced with the various materials mentioned above.
  • the various materials mentioned above can be formed without breaking vacuum between the various deposition steps.
  • the vacuum may be broken after any one, or all, of the deposition steps.
  • the above processing may be implemented for forming 3D semiconductor structures such as, for example, FinFETs, and/or trench capacitor structures.
  • Modification of the above embodiment can be carried out be altering the location of the interfacial scaling material layers within the high k/metal gate stack.
  • the present invention also includes an embodiment (See FIG. 6 ) in which an appropriate interfacial scaling material layer is formed directly on an upper surface of the original interfacial layer in both device regions, instead of the high k gate dielectric 18 as shown in FIG. 5 .
  • an appropriate interfacial scaling material layer is formed directly on an upper surface of the metallic electrode 22 in both device regions, instead of the high k gate dielectric 18 as shown in FIG. 5 .
  • FIG. 6 an appropriate interfacial scaling material layer is formed directly on an upper surface of the metallic electrode 22 in both device regions, instead of the high k gate dielectric 18 as shown in FIG. 5 .
  • the interfacial scaling material layers are eliminated and the metallic electrode in both device regions includes one of the above mentioned nFET or pFET threshold voltage adjusting elements.
  • reference numeral 22 ′′ denotes the metallic electrode that includes the mentioned nFET threshold voltage adjusting elements
  • reference numeral 22 ′′′ denotes the metallic electrode that includes the pFET threshold adjusting elements.
  • the interfacial scaling material layers may remain and be partially consumed, or they can be completely consumed as described above. It is noted that is possible to provide a CMOS structure in which only one of the device regions is scaled, while the other is not scaled. It is also noted that the location of the interfacial scaling material layer may vary in different device regions and that in some embodiments the interfacial scaling material layer can be located more than once in a single device region.
  • the present invention also includes an embodiment (see FIG. 9 ) in which the first interfacial scaling material layer is selectively formed directly on the surface of the original interfacial layer in one device region, while the second interfacial scaling layer is selectively formed on a surface of the high k gate dielectric 18 in the other device region.
  • the first interfacial scaling material layer is an nFET interfacial scaling material layer 20 ′
  • the second interfacial scaling material is a pFET interfacial scaling material layer 20 ′′. This order may be reversed depending on the underlying device region.
  • FIG. 10 shows a modification to the embodiment illustrated in FIG. 9 .
  • the second interfacial scaling material layer remains atop the high k gate dielectric 18 in both the device regions.
  • the first interfacial scaling material layer is an nFET interfacial scaling material layer 20 ′
  • the second interfacial scaling material layer is a pFET interfacial scaling material layer 20 ′′. This order may also be reversed depending on the underlying device region.
  • MOSFETs were formed using a gate-first process flow, e.g., basically using the process flow described in the forming the structure shown in FIG. 1 .
  • a HfO 2 gate dielectric having a thickness of less than 3.0 nm was formed followed by the deposition of an interfacial scaling material layer.
  • TiN films were deposited as metallic electrodes for devices, followed by deposition of a polysilicon electrode. All samples were annealed at 1077° C. for 5 seconds using a rapid thermal anneal process for dopant activation. In a comparative example, no interfacial scaling material layer is present between the TiN and HfO 2 layers.

Abstract

Equivalent oxide thickness (EOT) scaled high k/metal gate stacks are provided in which the capacitance bottleneck of the interfacial layer is substantially eliminated, with minimal compromise on the mobility of carriers in the channel of the device. In one embodiment, the aforementioned EOT scaled high k/metal gate stacks are achieved by increasing the dielectric constant of the interfacial layer to a value that is greater than the originally formed interfacial layer, i.e., the interfacial layer prior to diffusion of a high k material dopant element therein. In another embodiment, the aforementioned scaled high k/metal gate stacks are achieved by eliminating the interfacial layer from the structure. In yet another embodiment, the aforementioned high k/metal gate stacks are achieved by both increasing the dielectric constant of the interfacial layer and reducing/eliminating the interfacial layer.

Description

    BACKGROUND
  • The present invention generally relates to semiconductor structures and methods of fabricating the same. More particularly, the present invention relates to semiconductor structures having equivalent oxide thickness (EOT) scaled dielectric stacks including high k dielectrics. The present invention also relates to interfacial scavenger techniques that can be employed in fabricating the semiconductor structures having the scaled EOT dielectric stacks.
  • The reduction of dielectric thickness in a metal oxide semiconductor field effect transistor (MOSFET) is crucial to scaling the gate length and for the progress of semiconductor technology for future generations. With the reduction of the thickness of the conventional oxide/oxynitride dielectric layer in MOSFETs, there is an exponential increase in gate leakage which, in turn, results in an increased power consumption of the device. Moreover, the thickness of the dielectric is now close to a few atomic layers raising reliability concerns. Furthermore, the use of a polysilicon gate electrode has issues with dopant activation and poly depletion that increases the inversion layer thickness.
  • Gate stacks comprised of a high k gate dielectric, i.e., dielectrics having a dielectric constant that is greater than silicon oxide, and an overlying metal gate are being used to replace conventional gate stacks comprised of silicon oxide and polysilicon to enable transistor scaling. In high k/metal gate stacks there is usually the presence of an interfacial layer (typically silicon dioxide) that exists between the high k dielectric and the underlying semiconductor substrate. In such structures the capacitance of the gate is determined by the following equation:

  • Capacitance of the gate=(capacitance of the high k gate dielectric×capacitance of the interfacial layer)/(capacitance of the high k gate dielectric+capacitance of the interfacial layer)
  • The interface capacitance limits the overall scalability of the gate stacks and acts as a bottleneck in gate stack scaling. While removal of the interfacial layer is possible, the same results in severe carrier mobility penalty.
  • SUMMARY
  • EOT scaled high k/metal gate stacks are provided in which the capacitance bottleneck of the interfacial layer is substantially eliminated, with minimal compromise on the mobility of carriers in the channel of the device.
  • In one embodiment, the aforementioned EOT scaled high k/metal gate stacks are achieved by increasing the dielectric constant of an originally formed interfacial layer to a value that is greater than the originally formed interfacial layer. In another embodiment, the aforementioned EOT scaled high k/metal gate stacks are achieved by eliminating the original interfacial layer from the structure. In yet another embodiment, the aforementioned high k/metal gate stacks are achieved by both increasing the dielectric constant of the original interfacial layer and reducing/eliminating the original interfacial layer.
  • In general terms, EOT scaled high k/metal gate stacks are provided in which the capacitance bottleneck of the interfacial layer is substantially eliminated, with minimal compromise on the mobility of carriers in the channel of the device by incorporating at least one high k material dopant element into an originally formed interfacial layer. The at least one high k material dopant element employed is an element overlying interfacial scaling material layer which diffuses into the originally formed interfacial layer during a subsequent processing step. The incorporated at least one high k material dopant element reacts with the originally formed interfacial layer forming a modified interfacial layer that has an effective dielectric constant that is greater than that of the originally formed interfacial layer. In some embodiments of the invention, the high k material dopant element completely ‘scavenges’ all of the oxygen from the originally formed interfacial layer which, in essence, eliminates the originally formed interfacial layer from the structure.
  • In one aspect of the invention, a semiconductor structure is provided that includes a semiconductor substrate having at least one device region located therein. At least one patterned gate stack is located on an upper surface of the semiconductor substrate in the at least one device region. The at least one patterned gate stack includes, from bottom to top, a modified interfacial layer, a high k gate dielectric, a metallic electrode and a Si-containing electrode. The modified interfacial layer includes at least one high k dopant material element incorporated therein. Moreover, the modified interfacial layer has a dielectric constant that is greater than an originally formed interfacial layer not including the at least one high k material dopant element.
  • An optional interfacial scaling material layer can be present on one of an upper surface of the modified interfacial layer, an upper surface of the high k gate dielectric, or an upper surface of the metallic electrode. The optional interfacial scaling material layer includes an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element. The nFET threshold voltage adjusting element and the pFET threshold voltage adjusting element represent the high k material dopant element mentioned above.
  • In some embodiments, the metallic electrode includes an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element embedded therein.
  • In another embodiment, a semiconductor structure is provided that includes a semiconductor substrate having at least an nFET device region and a pFET device region that are separated by an isolation region located within the semiconductor substrate. At least one patterned nFET gate stack is located on an upper surface of the semiconductor substrate in the nFET device region. The at least one patterned nFET gate stack includes, from bottom to top, an nFET modified interfacial layer, a high k gate dielectric, a metallic electrode and a Si-containing electrode. The nFET modified interfacial layer includes at least one nFET threshold voltage adjusting element incorporated therein. Moreover, the nFET modified interfacial layer has a dielectric constant that is greater than an originally formed interfacial layer not including the at least one nFET threshold voltage adjusting element. The structure also includes at least one patterned pFET gate stack located on an upper surface of the semiconductor substrate in the pFET device region. The at least one patterned pFET gate stack includes, from bottom to top, a pFET modified interfacial layer, a high k gate dielectric, a metallic electrode and a Si-containing electrode. The pFET modified interfacial layer includes at least one pFET threshold voltage adjusting element incorporated therein. Moreover, the pFET modified interfacial layer has a dielectric constant that is greater than an originally formed interfacial layer not including the at least one pFET threshold voltage adjusting element.
  • In another aspect of the invention, a method is provided that includes incorporating at least one high k material dopant element within an interfacial layer of at least one patterned gate stack including a high k gate dielectric, a metallic electrode and a Si-containing electrode, wherein the incorporating element modifies the interfacial layer into a modified interfacial layer including the at least one high k material dopant element incorporated therein. Moreover, the modified interfacial layer has a dielectric constant that is greater than the originally formed interfacial layer not including the at least one high k material dopant element.
  • The incorporating of the at least one high k material dopant element includes diffusion of a high k material dopant element (either an nFET threshold voltage adjusting element or a pFET threshold voltage adjusting element) from either an overlying interfacial scaling material layer or a metallic electrode that includes the same. In one embodiment, the overlying interfacial scaling material layer is located directly on an upper surface of the interfacial layer. In another embodiment, the overlying interfacial scaling material layer is located directly on an upper surface of the high k gate dielectric. In a further embodiment, the overlying interfacial scaling material is located directly on an upper surface of said metallic electrode.
  • In yet another aspect of the invention, a method is provided that includes providing a semiconductor substrate having an nFET device region and a pFET device region; and providing an EOT scaled nFET within the nFET device region and an EOT scaled pFET in the pFET device region. The EOT scaled nFET includes, from bottom to top, an nFET modified interfacial layer including an nFET threshold voltage adjusting element incorporated therein, a high k gate dielectric, a metallic electrode and a Si-containing electrode, and the EOT scaled pFET includes, from bottom to top, a pFET modified interfacial layer including a pFET threshold voltage adjusting element incorporated therein, a high k gate dielectric, a metallic electrode and a Si-containing electrode.
  • DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material layer is present on an upper surface of a high k gate dielectric.
  • FIG. 2 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material layer is present on an upper surface of an originally formed interfacial layer.
  • FIG. 3 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material layer is present on an upper surface of a metallic electrode.
  • FIG. 4 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which an interfacial scaling material element is present within a metallic electrode.
  • FIG. 5 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which different interfacial scaling material layers are present on an upper surface of a high k gate dielectric in an nFET device region and a pFET device region, respectively.
  • FIG. 6 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which different interfacial scaling material layers are present on an upper surface of an interfacial layer in an nFET device region and a pFET device region, respectively.
  • FIG. 7 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which different interfacial scaling material layers are present on an upper surface of a metallic electrode in an nFET device region and a pFET device region, respectively.
  • FIG. 8 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which the metallic electrode in the nFET device region includes an nFET threshold voltage adjusting element and the metallic electrode in the pFET device region includes a pFET threshold voltage adjusting element.
  • FIG. 9 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which a first interfacial scaling material layer including an nFET threshold voltage adjusting element is present on an upper surface of an interfacial layer in an nFET device region and a second interfacial scaling material layer including a pFET threshold voltage adjusting element is present on an upper surface of a high k gate dielectric in a pFET device region.
  • FIG. 10 is a pictorial representation (through a cross sectional view) depicting a semiconductor structure in accordance with one embodiment in which a first interfacial scaling material layer including an nFET threshold voltage adjusting element is present on an upper surface of an interfacial layer in an nFET device region and a second interfacial scaling material layer including a pFET threshold voltage adjusting element is present on an upper surface of a high k gate dielectric in both a pFET device region and the nFET device region.
  • FIG. 11 shows the capacitance-voltage (C-V) curves on n-type Si including gate stacks in accordance with the invention (Invention) and a controlled gate stack containing no interfacial scaling material layer between a TiN/HfO2 gate stack (labeled as no cap).
  • FIG. 12 shows the capacitance-voltage (C-V) curves on p-type Si including gate stacks in accordance with the invention (Invention) and a controlled gate stack containing no interfacial scaling material layer between a TiN/HfO2 gate stack (labeled as no cap).
  • DESCRIPTION
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
  • Various embodiments of the present invention will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. The drawings of the present application, which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • Reference is first made to the semiconductor structure 10 that is illustrated in FIG. 1. Specifically, FIG. 1 illustrates a semiconductor structure 10 that includes an EOT scaled high k/metal gate stack 14 located on a surface of a semiconductor substrate 12. The particular embodiment illustrated in FIG. 1 represents an embodiment in which a unipolar semiconductor structure, e.g., pFET or nFET, is formed. The scaled high k/metal gate stack 14 includes, from bottom to top, a modified interfacial layer 16, a high k gate dielectric 18, an optional interfacial scaling material layer 20, a metallic electrode 22 and a Si-containing electrode 24.
  • The semiconductor structure 10 illustrated in FIG. 1 is formed utilizing the following processing scheme. First, semiconductor substrate 12 is provided. The semiconductor substrate 12 employed comprises any semiconductor material including, but not limited to Si, Ge, SiGe, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors. Semiconductor substrate 12 may also comprise an organic semiconductor or a layered semiconductor such as, for example, Si/SiGe, a semiconductor-on-insulator (SOI) or a SiGe-on-insulator (SiGOI). Semiconductor substrate 12 can also include a hybrid semiconductor substrate including at least two surface regions that have different crystallographic orientations. The hybrid substrate can have regions that are all SOI like (i.e., have a buried insulating layer beneath an SOI layer) or some regions can be SOI like and others are bulk like. In some embodiments, it is preferred that the semiconductor substrate 12 be composed of a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon.
  • The semiconductor substrate 12 can be doped, undoped or contain doped and undoped regions therein. For example, the semiconductor substrate 12 can include a first doped (n- or p) region, and a second doped (n- or p-) region. For clarity, the doped regions are not specifically shown in the drawings. When present, the first and second doped regions can have the same conductivities and/or doping concentrations, or they can have different conductivities and/or doping concentrations. These doped regions are known as ‘wells’.
  • At least one isolation region (not shown in the embodiment of FIG. 1) can be formed into the semiconductor substrate 12. The at least one isolation region can be a trench isolation region or a field oxide isolation region. The trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of a trench with a trench dielectric material can be used in forming the trench isolation region. Optionally a liner can be formed in the trench prior to trench fill, a densification step can optionally be performed after the trench fill and a planarization process can follow the trench fill as well. The field oxide isolation region can be formed utilizing a so-called local oxidation of silicon process. The at least one isolation region provides electrical isolation between neighboring gates regions, typically, but not necessarily, required when the neighboring gates have opposite conductivities. The neighboring gate regions can have the same conductivity (i.e., both n- or p-type), or alternatively, they can have different conductivities (i.e., one n-type and the other p-type).
  • An interfacial layer (which will subsequently become modified interfacial layer 16) is formed after processing the semiconductor substrate 12. The interfacial layer can be formed utilizing a conventional thermal growing technique that is well known to those skilled in the art including, for example, oxidation or oxynitirdation. When the exposed semiconductor substrate is a Si-containing material, the interfacial layer is comprised of silicon oxide, silicon oxynitride, or a nitrided silicon oxide. When the exposed semiconductor substrate is other than a Si-containing semiconductor material, the interfacial layer may comprise a semiconductor oxide, a semiconducting oxynitride or a nitrided semiconducting oxide.
  • The thickness of the interfacial layer is typically from 0.1 nm to 1.5 nm, with a thickness from 0.5 to 1.2 nm being even more typical. The thickness, however, may be different after processing at higher temperatures, which are usually required during device fabrication.
  • In one embodiment of the invention, the interfacial layer is a silicon oxide layer having a thickness from 0.5 nm to 0.8 nm that is formed by a wet chemical oxidation. The process step for this wet chemical oxidation includes treating a cleaned surface of a semiconductor material (such as a HF-last semiconductor surface) with a mixture of ammonium hydroxide, hydrogen peroxide and water (in a 1:1:5 ratio) at 65° C. Alternatively, the interfacial layer can also be formed by treating the HF-last semiconductor surface in ozonated aqueous solutions, with the ozone concentration usually varying from, but not limited to 2 parts per million (ppm) to 40 ppm.
  • A high k gate dielectric 18 having a dielectric constant of greater than 4.0, typically greater than 10, as measured in vacuum, is formed atop the interfacial layer. Examples of such dielectric materials having a dielectric constant of greater than 4.0 include, but are not limited to silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides and/or metal silicates. In one embodiment, the high k gate dielectric 18 is comprised of HfO2, ZrO2, Al2O3, TiO2, La2O3 SrTiO3, LaAlO3 Y2O3 and multilayered stacks thereof. In another embodiment of the invention, the high k gate dielectric 18 is an Hf-based gate dielectric including HfO2, hafnium silicate and hafnium silicon oxynitride.
  • The high k gate dielectric 18 can be formed utilizing a thermal growth process such as, for example, oxidation, nitridation or oxynitridation. Alternatively, the high k gate dielectric 18 can be formed by a deposition process including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering and chemical solution deposition. The high k gate dielectric 18 may also be formed utilizing any combination of the aforementioned processes.
  • The thickness of the as deposited high k gate dielectric 18 may vary depending on the dielectric material employed as well as the process used to form the same. Typically, the thickness of the as deposited high k gate dielectric 18 is from 0.5 nm to 20 nm, with a thickness from 1 nm to 10 nm being even more typical.
  • In the illustrated embodiment, an interfacial scaling material layer 20 is formed atop the high k gate dielectric 18. The interfacial scaling material layer 20 can include an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material; these materials include a high k material dopant element that is subsequently introduced into the originally formed interfacial layer providing modified interfacial layer 16. The type of threshold voltage adjusting material employed is dependent on which conductivity type device, e.g., nFET or pFET, is being fabricated.
  • The nFET threshold voltage material that can be employed includes a rare earth metal, a rare earth metal-containing oxide, a rare earth metal-containing nitride, or any combination thereof.
  • A rare earth metal is a metal from Group IIIB of the Periodic Table of Elements (CAS version) including, for example, La, Ce, Pr, Nd, Pm, Sm, Eu, Ga, Tb, Dy, Ho, Er, Tm, Yb, Lu or mixtures thereof. Preferably, the rare earth metal or rare earth metal-containing composition (i.e., oxide or nitride) comprises at least one of La, Ce, Y, Sm, Er and Tb, with La being preferred.
  • The rare earth metal or rare earth metal-containing composition (i.e., oxide or nitride) is formed utilizing a conventional deposition process including, for example, evaporation, molecular beam deposition, metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and other like deposition processes. In some embodiments, ALD is highly preferred in forming the rare earth metal or rare earth metal-containing composition (i.e., oxide or nitride).
  • Another example of an nFET threshold voltage adjusting material that can be used in the present invention as the interfacial scaling material layer is an alkaline earth metal or alkaline earth metal composition (i.e., oxide or nitride) that includes an alkaline earth element, i.e., at least one of Be, Mg, Ca, Sr, and Ba. It is noted that the present invention contemplates a mixture of alkaline earth elements as well. In one embodiment of the present invention, the alkaline earth metal or alkaline earth metal-containing composition (i.e., oxide or nitride) includes Mg.
  • The alkaline earth metal or alkaline earth metal-containing composition (i.e., oxide or nitride) is formed utilizing a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, physical vapor deposition (PVD) and other like deposition processes.
  • In addition to nFET threshold voltage adjusting materials, the interfacial scaling material layer 20 can alternatively include a pFET threshold voltage adjusting material which can be in elemental form or in a composition including elements of O or N. Examples of pFET threshold voltage adjusting elements include Al, Ge, Ti, Ni, Co, Tl and Ta.
  • The pFET threshold voltage adjusting materials are formed utilizing conventional deposition processes well known to those skilled in the art including, but not limited to CVD, PECVD, ALD, PVD, sputtering and plating.
  • Notwithstanding the type of material used as the interfacial scaling material layer 20, the interfacial scaling material layer 20 typical has a thickness from 0.1 to 5.0 nm, with a thickness from 0.3 to 2.0 nm being even more typical.
  • Metallic electrode 22 is formed atop the interfacial scaling material layer 20. The metallic electrode 22 includes an elemental metal, an alloy including an elemental metal, a metal silicide, a metal nitride, a metal carbide or any combination thereof including multilayers. Examples of elemental metals that can be used as the metallic electrode 22 include metals from Group VIB, VIIB and VIII of the Periodic Table of Elements (CAS version). Preferred metallic electrodes are TaN for pFET devices, and TiN for nFET devices. The thickness of the metallic electrode 22 may vary, with typical thicknesses being from 2 nm to 200 nm. More typically, the thickness of the metallic electrode 22 is from 5 nm to 15 nm. The metallic electrode 22 can be formed utilizing any conventional process known to those skilled in the art. In one embodiment, metallic electrode 22 can be formed by a deposition process such as, for example, sputtering, chemical vapor deposition, evaporation, atomic layer deposition, and plating. When a silicide is formed, a conventional silicidation process can be used to form the same. The silicidation process can provide a fully silicided gate or a partially silicided gat including a top silicide layer and a bottom metal layer.
  • A Si-containing electrode 24 is formed atop the metallic electrode 22. The Si-containing electrode 24 can comprise polysilicon or silicon germanium. The Si-containing electrode 24 can be doped utilizing an in-situ doping deposition process. Alternatively, a Si-containing material is first formed by deposition, and thereafter dopants are introduced into the Si-containing material by ion implantation. The thickness of the Si-containing electrode 24 may vary, with typical thicknesses being from 25 nm to 150 nm. More typically, the thickness of the Si-containing electrode 24 is from 50 nm to 100 nm. The Si-containing electrode 24 can be formed utilizing any conventional process known to those skilled in the art. In one embodiment, the Si-containing electrode 24 can be formed by a deposition process such as, for example, CVD, PECD, ALD and evaporation.
  • In some embodiments and during formation of at least one of the metallic electrode 22 and the Si-containing electrode 24, high k material dopant elements (e.g., metallic species from the nFET or pFET threshold voltage adjusting materials) from the interfacial scaling material layer 20 can diffuse from layer 20, through the high k gate dielectric 18 into the interfacial layer forming modified interfacial layer 16. In another embodiment, the diffusion of the nFET threshold voltage adjusting element or the pFET threshold voltage adjusting element from the interfacial scaling material layer 20 occurs utilizing an annealing step that is performed after one of formation of layer 20, formation of metallic electrode 22, formation of Si-containing electrode 24, or after any combination of the aforementioned steps. When a separate anneal is performed, the annealing can be conducted at a temperature from 500° C. to 1100° C., with a temperature from 900° C. to 1080° C. being even more typical. Any type of anneal can be used including for example, rapid thermal anneal, a laser anneal, a spike anneal or a furnace anneal. The anneal could be used to activate source and drain dopants formed into the semiconductor substrate, or the anneal could be used as an annealing used in forming silicide contacts.
  • The term “modified interfacial layer” as used in the present disclosure denotes a reaction product that is formed between the diffused nFET threshold voltage adjusting element or the diffused pFET threshold voltage adjusting element and the original interfacial layer. One characteristic of the modified interfacial layer 16 is that it has a dielectric constant that is greater than the originally formed interfacial layer not including the high k material dopant element, e.g., the nFET or pFET threshold voltage adjusting element. Another characteristic of the modified interfacial layer 16 is that the diffused elements scavenge oxygen from the originally formed interfacial layer so as to form an oxygen deficient interfacial layer, i.e., the oxygen content in the modified interfacial layer is less than the oxygen content in the originally formed interfacial layer. A further characteristic is that the diffused element reduces the EOT thickness of the dielectric stack to a value that is less than the EOT obtained for a dielectric stack that does not include the modified interfacial layer 16. A yet further characteristic is that the EOT scaled high k dielectric/metal stack may have reduced electrical leakage as compared with a high k dielectric/metal stack that does not include the modified interfacial layer.
  • In one embodiment, the interfacial scaling material layer 20 remains within the structure and is partially consumed. In another embodiment, the interfacial scaling material layer 20 is completely consumed such that no interfacial scaling material layer 20 is present in the final gate stack. In such an embodiment, the metallic electrode 22 would be located on a surface of the high k gate dielectric 18. It is noted that after diffusion the metallic species of the interfacial scaling material layer 20 is present in both the high k gate dielectric 18 and the modified interfacial layer 16.
  • In one embodiment, the above can be implemented in a standard device process sequence in which the various material layers mentioned above are first deposited and then lithography and etching are used to provide a patterned gate stack as shown in FIG. 1. In yet another embodiment, the above can be implemented in a replacement gate process in which a dummy gate is first formed, removed and replaced with the various materials mentioned above.
  • In some embodiments, the various materials mentioned above can be formed without breaking vacuum between the various deposition steps. In yet another embodiment, the vacuum may be broken after any one, or all, of the deposition steps.
  • In addition to the embodiment mentioned above which is for planar logic applications, the above processing may be implemented for forming 3D semiconductor structures such as, for example, FinFETs, and/or trench capacitor structures.
  • Modification of the above embodiment also can be carried out by altering the location of the interfacial scaling material layer 20 within the high k/metal gate stack. For example, the present invention also includes an embodiment (See FIG. 2) in which the interfacial scaling material layer 20 is formed directly on an upper surface of the original interfacial layer, instead of the high k gate dielectric 18 as shown in FIG. 1. In yet another embodiment (See FIG. 3), the interfacial scaling material layer is formed directly on an upper surface of the metallic electrode 22, instead of the high k gate dielectric 18 as shown in FIG. 1. In still another embodiment (See FIG. 4) of the present invention, the interfacial scaling material layer 20 is eliminated and the metallic electrode 22 includes one of the above mentioned nFET or pFET threshold voltage adjusting elements. In FIG. 4, reference numeral 22′ denotes the metallic electrode that includes one of the mentioned nFET or pFET threshold voltage adjusting elements. In these modifications, the interfacial scaling material layer may remain and is partially consumed or, it can be completely consumed as described above. It is noted that the above processing steps can be used in any of the alternative embodiments of the invention. When the metallic electrode includes the nFET or pFET threshold voltage adjusting element, the metallic electrode is formed utilizing precursors of a metallic electrode and precursors including the nFET or pFET threshold voltage adjusting element. It is also noted that the location of the interfacial scaling material layer may vary in different device regions and that in some embodiments the interfacial scaling material layer can be located more than once in a single device region.
  • Reference is now made to FIG. 5 which illustrates another embodiment of the invention. In this embodiment, a CMOS structure is formed that includes an EOT scaled pFET high k/metal gate stack and an EOT scaled nFET high k/metal gate stack. In particular, FIG. 5 illustrates a CMOS structure 50 including a semiconductor substrate 12 that includes an nFET device region 52 and a pFET device region 54 that are isolated from each other by isolation region 13. As is illustrated in FIG. 5, at least one EOT scaled nFET high k/metal stack 56 is located within the nFET device region 52 and at least one EOT scaled pFET high gate/metal gate stack 58 is located within the pFET device region 54. The at least one EOT scaled nFET high k/metal stack 56 includes, from bottom to top, an nFET modified interfacial layer 16′, a high k gate dielectric 18, an optional nFET interfacial scaling material layer 20′, a metallic electrode 22 and a Si-containing electrode 24. The at least one EOT scaled pFET high k/metal gate stack includes, from bottom to top, a pFET modified interfacial layer 16″, a high k gate dielectric 18, an optional pFET interfacial scaling material layer 20″, a metallic electrode 22 and a Si-containing electrode 24.
  • The structure 50 shown in FIG. 5 is formed by first providing semiconductor substrate 12 which is processed to include isolation region 13 which separates the nFET device region 52 from the pFET device region 54. The types of materials for the semiconductor substrate 12 and the isolation region 13 as well as processing techniques that can be used in forming the isolation region 13 are the same as that described above for the embodiment illustrated within FIG. 1. After processing the semiconductor substrate 12, an interfacial layer is formed on the exposed semiconductor substrate surface utilizing one of the processes mentioned above within the first embodiment of the present invention. A high k gate dielectric 18 is formed atop the interfacial layer utilizing one of the dielectric materials and processes mentioned above for the gate dielectric material within the first embodiment.
  • A first interfacial scaling material layer (either an nFET interfacial scaling material layer 20′ or a pFET interfacial scaling material layer 20″) is formed on the high k gate dielectric. In one embodiment and as illustrated, the first interfacial scaling material layer is an nFET interfacial scaling material. In another embodiment (not shown), the first interfacial scaling material layer is a pFET interfacial scaling material layer 20″. The nFET interfacial scaling material layer 20′ includes one of the nFET threshold voltage adjusting elements described in the first embodiment of the invention, while the pFET interfacial scaling material layer 20″ includes one of the pFET threshold voltage adjusting elements described in the first embodiment of the invention. The first interfacial scaling material layer is formed utilizing one of the techniques described above for formation of the nFET threshold voltage adjusting materials and the pFET threshold voltage adjusting materials.
  • After formation of the first interfacial scaling material layer atop the high k gate dielectric 18 in both device regions, a portion of the first interfacial scaling material layer is removed from one of the device regions that would not benefit from the presence of the same, while leaving another portion of the first interfacial scaling material present in the other device region. For example, if the first interfacial scaling material includes an nFET threshold voltage adjusting material, the first interfacial scaling material layer would be removed from the pFET device region.
  • This ‘patterning’ step is achieved by forming a block mask over one of the device regions and then selectively removing via etching the first interfacial scaling material layer from one of the device regions. The selective removal of the first interfacial scaling material layer from unwanted device regions includes a wet chemical etching process which removes exposed portions of the first interfacial scaling material layer selective to the underlying layers including, for example, the high k gate dielectric 18. An example of a wet chemical etchant that can be used to selectively remove the first interfacial scaling material layer from one of the device regions includes HCl, ammonium hydroxide, HF and a mixture of ammonium hydroxide, hydrogen peroxide and water. After the selective removal step, the block mask is removed from the structure utilizing a conventional stripping process well known to those skilled in the art.
  • A second interfacial scaling material layer (the other of the pFET interfacial scaling material layer 20″ or the nFET interfacial scaling material layer 20′ not used as the first interfacial scaling material layer) is formed utilizing one of the deposition processes mentioned above in the first embodiment for forming interfacial scaling material layer 20. In the illustrated embodiment, the second interfacial scaling material layer is a pFET interfacial scaling material layer 20″.
  • After formation of the second interfacial scaling material layer within both device regions, a portion of the second interfacial scaling material layer that is located atop the first interfacial layer can be removed from one of the device regions that would not benefit from the presence of the same, while leaving another portion of the second interfacial scaling material layer present in the other device region. For example, if the first interfacial scaling material is an nFET threshold voltage adjusting material, the second interfacial scaling material layer would be a pFET threshold voltage material and the pFET threshold voltage material would be removed from the nFET device region.
  • This ‘patterning’ step is achieved by forming a block mask over one of the device regions and then selectively removing via etching the second interfacial scaling material layer from one of the device regions. The selective removal of the second interfacial scaling material layer from unwanted device regions includes a wet chemical etching process which removes exposed portions of the second interfacial scaling material selective to the underlying layers. An example of a wet chemical etchant that can be used to selectively remove the first interfacial scaling material layer from one of the device regions includes HCl, ammonium hydroxide, HF and a mixture of ammonium hydroxide, hydrogen peroxide and water. After the selective removal step, the block mask is removed from the structure utilizing a conventional stripping process well known to those skilled in the art.
  • After selectively removing the second interfacial scaling material layer from one of the device regions, a metallic electrode 22 and a Si-containing electrode 24 are formed. The metallic electrode 22 and the Si-containing electrode 24 include materials described above in the first embodiment, and they are formed utilizing the processing also described above.
  • As described in the first embodiment, elements (i.e., metallic species) from the first and second interfacial material layers can diffuse from the interfacial scaling material layers, through the high k gate dielectric 18 into the interfacial layer forming modified interfacial layers. In another embodiment, the diffusion of the nFET threshold voltage adjusting element and the pFET threshold voltage adjusting element from the interfacial scaling material layers occurs utilizing an annealing step that is performed after one of formation of the first and second interfacial scaling material layers, formation of metallic electrode 22, formation of Si-containing electrode 24, or after any combination of the aforementioned steps. When a separate anneal is performed, the annealing can be conducted within the temperature ranges mentioned above. Any type of anneal can be used including for example, rapid thermal anneal, a laser anneal, a spike anneal or a furnace anneal.
  • In one embodiment, one of, or both, the first and second interfacial scaling material layers remain within the structure and one of, or both, the remaining first and second interfacial scaling material layers is partially consumed. In an even further embodiment, one of, or both, the first and second interfacial scaling material layers is completely consumed such that no interfacial scaling material layers is present in the final gate stack. In such an embodiment, the metallic electrode 22 would be located on a surface of the high k gate dielectric 18.
  • In one embodiment, the above can be implemented in a standard device process sequence in which the various material layers mentioned above are first deposited and then lithography and etching are used to provide a patterned gate stack as shown in FIG. 5. In yet another embodiment, the above can be implemented in a replacement gate process in which a dummy gate is first formed, removed and replaced with the various materials mentioned above.
  • In some embodiments, the various materials mentioned above can be formed without breaking vacuum between the various deposition steps. In yet another embodiment, the vacuum may be broken after any one, or all, of the deposition steps.
  • In addition to the embodiment mentioned above which is for planar logic applications, the above processing may be implemented for forming 3D semiconductor structures such as, for example, FinFETs, and/or trench capacitor structures.
  • Modification of the above embodiment can be carried out be altering the location of the interfacial scaling material layers within the high k/metal gate stack. For example, the present invention also includes an embodiment (See FIG. 6) in which an appropriate interfacial scaling material layer is formed directly on an upper surface of the original interfacial layer in both device regions, instead of the high k gate dielectric 18 as shown in FIG. 5. In yet another embodiment (See FIG. 7), an appropriate interfacial scaling material layer is formed directly on an upper surface of the metallic electrode 22 in both device regions, instead of the high k gate dielectric 18 as shown in FIG. 5. In still another embodiment (See FIG. 8) of the present invention, the interfacial scaling material layers are eliminated and the metallic electrode in both device regions includes one of the above mentioned nFET or pFET threshold voltage adjusting elements. In FIG. 8, reference numeral 22″ denotes the metallic electrode that includes the mentioned nFET threshold voltage adjusting elements, and reference numeral 22′″ denotes the metallic electrode that includes the pFET threshold adjusting elements. In these modifications, the interfacial scaling material layers may remain and be partially consumed, or they can be completely consumed as described above. It is noted that is possible to provide a CMOS structure in which only one of the device regions is scaled, while the other is not scaled. It is also noted that the location of the interfacial scaling material layer may vary in different device regions and that in some embodiments the interfacial scaling material layer can be located more than once in a single device region.
  • In addition to the above modifications, the present invention also includes an embodiment (see FIG. 9) in which the first interfacial scaling material layer is selectively formed directly on the surface of the original interfacial layer in one device region, while the second interfacial scaling layer is selectively formed on a surface of the high k gate dielectric 18 in the other device region. In the illustrated embodiment, the first interfacial scaling material layer is an nFET interfacial scaling material layer 20′, while the second interfacial scaling material is a pFET interfacial scaling material layer 20″. This order may be reversed depending on the underlying device region.
  • FIG. 10 shows a modification to the embodiment illustrated in FIG. 9. Specifically, in FIG. 10, the second interfacial scaling material layer remains atop the high k gate dielectric 18 in both the device regions. In the illustrated embodiment, the first interfacial scaling material layer is an nFET interfacial scaling material layer 20′, while the second interfacial scaling material layer is a pFET interfacial scaling material layer 20″. This order may also be reversed depending on the underlying device region.
  • Example
  • MOSFETs were formed using a gate-first process flow, e.g., basically using the process flow described in the forming the structure shown in FIG. 1. After formation of an interfacial oxide on the surface of a silicon substrate, a HfO2 gate dielectric having a thickness of less than 3.0 nm was formed followed by the deposition of an interfacial scaling material layer. TiN films were deposited as metallic electrodes for devices, followed by deposition of a polysilicon electrode. All samples were annealed at 1077° C. for 5 seconds using a rapid thermal anneal process for dopant activation. In a comparative example, no interfacial scaling material layer is present between the TiN and HfO2 layers.
  • The C-V curves shown for the nFET and pFET devices in FIG. 11 and FIG. 12, respectively suggest that the optimized interfacial scaling material layer inserted between the TiN/HfO2 stack enables a dramatic equivalent oxide thickness (EOT) reduction scaling. The nFET devices result in EOT down to 0.55 nm. The VT shift is not visible in the figures since the capacitance is plotted with respect to gate overdrive. (VG-VT). TEM analysis of the EOT scaled gate stack indicated that the interfacial layer was not clearly distinguishable and the HfO2 layer was amorphous. Without wishing to be bound by any theory, applicants believe that the EOT scaling was attributed to the interfacial scaling material layer which intermixes with the dielectric stack and results in a modified interfacial layer that has a higher k than the originally formed interfacial layer. Studies also indicated that a low level of leakage of about 0.6 A/cm2 was achieved with the EOT scaled high k/metal stacks for nFETs, and the leakage level is reduced by more than 106 times with respect to a poly-Si/SiON model at 0.95 nm Tinv (0.55 nm EOT).
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (16)

What is claimed is:
1. A method of forming a semiconductor structure comprising:
providing a semiconductor substrate having an nFET device region and a pFET device region; and
providing an EOT scaled nFET in said nFET device region and an EOT scaled pFET in said pFET device region, said EOT scaled nFET including, from bottom to top, an nFET modified interfacial layer including an nFET threshold voltage adjusting element incorporated therein, a first high k gate dielectric portion, a first metallic electrode portion and a first Si-containing electrode portion, and said EOT scaled pFET including, from bottom to top, a pFET modified interfacial layer including a pFET threshold voltage adjusting element incorporated therein, a second high k gate dielectric portion, a second metallic electrode portion and a second Si-containing electrode portion.
2. The method of claim 1, wherein said nFET modified interfacial layer comprises a reaction product of a semiconductor material and said nFET threshold voltage adjusting element.
3. The method of claim 2, wherein said semiconductor material comprises a semiconductor oxide, a semiconductor nitride or a nitrided semiconductor oxide.
4. The method of claim 1, wherein said pFET modified interfacial layer comprises a reaction product of a semiconductor material and said pFET threshold voltage adjusting element.
5. The method of claim 4, wherein said semiconductor material comprises a semiconductor oxide, a semiconductor nitride or a nitrided semiconductor oxide.
6. The method of claim 2, wherein said nFET threshold voltage adjusting element is a rare earth metal.
7. The method of claim 2, wherein said nFET threshold adjusting voltage element is an alkaline earth metal.
8. The method of claim 4, wherein said pFET threshold voltage adjusting element comprises Al, Ge, Ti, Ni, Co, Tl or Ta.
9. The method of claim 1, wherein said providing said EOT scaled nFET and said EOT scaled pFET comprises:
forming an interfacial layer within said nFET device region and said pFET device region;
forming a high k gate dielectric layer atop said interfacial layer;
forming a patterned nFET threshold voltage adjusting material atop said high k dielectric layer in said nFET device region and a patterned pFET threshold adjusting material atop said high k dielectric layer in said pFET device region;
forming a metallic electrode layer atop said patterned nFET threshold voltage adjusting material and atop said patterned pFET threshold voltage adjusting material;
forming a Si-containing electrode layer atop said metallic electrode layer, wherein during said forming said metallic electrode layer or forming said Si-containing electrode layer metallic species from said patterned nFET threshold voltage adjusting material and said patterned pFET threshold voltage adjusting material diffuse into said interfacial layer forming said nFET modified interfacial layer and said pFET modified interfacial layer; and
patterning at least said Si-containing layer, said metallic electrode layer, said high gate dielectric layer to provide said EOT scaled nFET and said EOT scaled pFET.
10. The method of claim 9, wherein at least one of said patterned nFET voltage adjusting material and said patterned nFET voltage adjusting material is completely consumed after said diffusion of said metallic element from said nFET threshold voltage adjusting material and said pFET threshold voltage adjusting material.
11. The method of claim 9, wherein at least one of said patterned nFET voltage adjusting material and said patterned nFET voltage adjusting material is partially consumed after said diffusion of metallic element from said nFET threshold voltage adjusting material and said pFET threshold voltage adjusting material.
12. The method of claim 1, wherein said providing said EOT scaled nFET and said EOT scaled pFET comprises:
forming an interfacial layer in said nFET device region and said pFET device region;
forming a high k gate dielectric layer atop said interfacial layer;
forming a patterned nFET threshold voltage adjusting material atop said high k dielectric layer in said nFET device region and a patterned pFET threshold adjusting material atop said high k dielectric layer in said pFET device region;
annealing to cause diffusion of metallic species from said patterned nFET threshold voltage adjusting material and said patterned pFET threshold voltage adjusting material into said interfacial layer forming said nFET modified interfacial layer and said pFET modified interfacial layer;
forming a metallic electrode layer in both said nFET device region and said pFET device region;
forming a Si-containing electrode layer atop said metallic electrode layer; and
patterning at least said Si-containing layer, said metallic electrode layer, said high gate dielectric layer to provide said EOT scaled nFET and said EOT scaled pFET.
13. The method of claim 1, wherein said providing said EOT scaled nFET and said EOT scaled pFET comprises:
forming an interfacial layer in said nFET device region and said pFET device region;
forming a high k gate dielectric layer atop said interfacial layer;
forming a patterned nFET threshold voltage adjusting material atop said high k dielectric layer in said nFET device region and a patterned pFET threshold adjusting material atop said high k dielectric layer in said pFET device region;
forming a metallic electrode layer atop said patterned nFET threshold voltage adjusting material and atop said patterned pFET threshold voltage adjusting material;
annealing to cause diffusion of metallic species from said patterned nFET threshold voltage adjusting material and said patterned pFET threshold voltage adjusting material into said interfacial layer forming said nFET modified interfacial layer and said pFET modified interfacial layer;
forming a Si-containing electrode layer atop said metallic electrode layer; and
patterning at least said Si-containing layer, said metallic electrode layer, said high gate dielectric layer to provide said EOT scaled nFET and said EOT scaled pFET.
14. The method of claim 1, wherein said providing said EOT scaled nFET and said EOT scaled pFET comprises:
forming an interfacial layer in said nFET device region and said pFET device region;
forming a high k gate dielectric layer atop said interfacial layer;
forming a patterned nFET threshold voltage adjusting material atop said high k dielectric layer in said nFET device region and a patterned pFET threshold adjusting material atop said high k dielectric layer in said pFET device region;
forming a metallic electrode layer atop said patterned nFET threshold voltage adjusting material and atop said patterned pFET threshold voltage adjusting material;
forming a Si-containing electrode layer atop said metallic electrode layer;
annealing to cause diffusion of metallic species from said patterned nFET threshold voltage adjusting material and said patterned pFET threshold voltage adjusting material into said interfacial layer forming said nFET modified interfacial layer and said pFET modified interfacial layer; and
patterning at least said Si-containing layer, said metallic electrode layer, said high gate dielectric layer to provide said EOT scaled nFET and said EOT scaled pFET.
15. The method of claim 1, wherein said providing said EOT scaled nFET and said EOT scaled pFET comprises:
forming an interfacial layer in said nFET device region and said pFET device region;
forming a patterned nFET threshold voltage adjusting material atop said interfacial layer in said nFET device region and a patterned pFET threshold adjusting material atop said interfacial layer in said pFET device region;
forming a high k gate dielectric layer atop said patterned pFET threshold adjusting material and said nFET threshold voltage material;
forming a metallic electrode layer atop said high gate dielectric layer
forming a Si-containing electrode layer atop said metallic electrode layer; and
patterning at least said Si-containing layer, said metallic electrode layer, said high gate dielectric layer to provide said EOT scaled nFET and said EOT scaled pFET,
wherein after forming said patterned nFET threshold voltage adjusting material and said patterned pFET threshold adjusting material metallic species from said patterned nFET threshold voltage adjusting material and said patterned pFET threshold voltage adjusting material are diffused into said interfacial layer forming said nFET modified interfacial layer and said pFET modified interfacial layer.
16. The method of claim 1, wherein said providing said EOT scaled nFET and said EOT scaled pFET comprises:
forming an interfacial layer within said nFET device region and said pFET device region;
forming a high k gate dielectric layer atop interfacial layer;
forming a metallic electrode layer atop said high gate dielectric layer, wherein said metallic electrode layer includes a first portion located atop said nFET device region that includes an nFET threshold adjusting material and a second portion located atop said pFET device region that includes an pFET threshold adjusting material;
forming a Si-containing electrode layer atop said metallic electrode layer; and
patterning at least said Si-containing layer, said metallic electrode layer, said high gate dielectric layer to provide said EOT scaled nFET and said EOT scaled pFET,
wherein after forming said metallic electrode layer species from said first portion and said second portion of said metallic electrode layer are diffused into said interfacial layer forming said nFET modified interfacial layer and said pFET modified interfacial layer.
US14/739,562 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks Abandoned US20150279746A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/739,562 US20150279746A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/620,234 US8304836B2 (en) 2009-11-17 2009-11-17 Structure and method to obtain EOT scaled dielectric stacks
US13/602,118 US9059314B2 (en) 2009-11-17 2012-09-01 Structure and method to obtain EOT scaled dielectric stacks
US14/739,562 US20150279746A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/602,118 Division US9059314B2 (en) 2009-11-17 2012-09-01 Structure and method to obtain EOT scaled dielectric stacks

Publications (1)

Publication Number Publication Date
US20150279746A1 true US20150279746A1 (en) 2015-10-01

Family

ID=44010661

Family Applications (6)

Application Number Title Priority Date Filing Date
US12/620,234 Active 2030-08-21 US8304836B2 (en) 2009-11-17 2009-11-17 Structure and method to obtain EOT scaled dielectric stacks
US13/602,118 Expired - Fee Related US9059314B2 (en) 2009-11-17 2012-09-01 Structure and method to obtain EOT scaled dielectric stacks
US14/739,669 Abandoned US20150279937A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks
US14/739,686 Abandoned US20150311303A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks
US14/739,627 Abandoned US20150311127A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks
US14/739,562 Abandoned US20150279746A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US12/620,234 Active 2030-08-21 US8304836B2 (en) 2009-11-17 2009-11-17 Structure and method to obtain EOT scaled dielectric stacks
US13/602,118 Expired - Fee Related US9059314B2 (en) 2009-11-17 2012-09-01 Structure and method to obtain EOT scaled dielectric stacks
US14/739,669 Abandoned US20150279937A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks
US14/739,686 Abandoned US20150311303A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks
US14/739,627 Abandoned US20150311127A1 (en) 2009-11-17 2015-06-15 Structure and method to obtain eot scaled dielectric stacks

Country Status (1)

Country Link
US (6) US8304836B2 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8304836B2 (en) * 2009-11-17 2012-11-06 International Business Machines Corporation Structure and method to obtain EOT scaled dielectric stacks
JP5521726B2 (en) * 2010-04-16 2014-06-18 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US8343839B2 (en) 2010-05-27 2013-01-01 International Business Machines Corporation Scaled equivalent oxide thickness for field effect transistor devices
US20120139057A1 (en) * 2010-12-07 2012-06-07 Toshiba America Electronic Components, Inc. Semiconductor device and method of fabricating the same
US8766379B2 (en) * 2011-09-22 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer scavenging metal gate stack for ultra-thin interfacial dielectric layer
US9093558B2 (en) 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9647094B2 (en) 2013-08-02 2017-05-09 University Of Kentucky Research Foundation Method of manufacturing a semiconductor heteroepitaxy structure
US9343320B2 (en) 2013-12-06 2016-05-17 Globalfoundries Inc. Pattern factor dependency alleviation for eDRAM and logic devices with disposable fill to ease deep trench integration with fins
CN104900502B (en) * 2014-03-04 2017-11-28 中芯国际集成电路制造(上海)有限公司 The forming method of gate dielectric layer and the forming method of MOS transistor
US9418903B2 (en) * 2014-05-21 2016-08-16 Globalfoundries Inc. Structure and method for effective device width adjustment in finFET devices using gate workfunction shift
CN105513967A (en) * 2014-09-26 2016-04-20 中芯国际集成电路制造(上海)有限公司 Transistor forming method
US9418995B2 (en) * 2014-10-14 2016-08-16 Globalfoundries Inc. Method and structure for transistors using gate stack dopants with minimal nitrogen penetration
US9653534B2 (en) 2014-12-17 2017-05-16 International Business Machines Corporation Trench metal-insulator-metal capacitor with oxygen gettering layer
US10134871B2 (en) * 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
KR102434987B1 (en) 2015-04-23 2022-08-22 삼성전자주식회사 Method of forming a film and fabrication method of a semiconductor device
US9660025B2 (en) * 2015-08-31 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9646886B1 (en) 2015-12-30 2017-05-09 International Business Machines Corporation Tailored silicon layers for transistor multi-gate control
US11152214B2 (en) 2016-04-20 2021-10-19 International Business Machines Corporation Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device
KR102238444B1 (en) * 2017-03-13 2021-04-09 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN109087863B (en) * 2017-06-14 2022-02-15 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof
JP7397186B2 (en) * 2019-11-01 2023-12-12 アプライド マテリアルズ インコーポレイテッド Cap oxidation for FinFET formation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547951B2 (en) * 2005-06-30 2009-06-16 Samsung Electronics Co., Ltd. Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US9059314B2 (en) * 2009-11-17 2015-06-16 International Business Machines Corporation Structure and method to obtain EOT scaled dielectric stacks

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7271458B2 (en) * 2002-04-15 2007-09-18 The Board Of Trustees Of The Leland Stanford Junior University High-k dielectric for thermodynamically-stable substrate-type materials
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
TWI492367B (en) * 2007-12-03 2015-07-11 Renesas Electronics Corp Method of manufacturing cmos semiconductor device
US8450169B2 (en) * 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547951B2 (en) * 2005-06-30 2009-06-16 Samsung Electronics Co., Ltd. Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US9059314B2 (en) * 2009-11-17 2015-06-16 International Business Machines Corporation Structure and method to obtain EOT scaled dielectric stacks

Also Published As

Publication number Publication date
US20110115027A1 (en) 2011-05-19
US9059314B2 (en) 2015-06-16
US20150311303A1 (en) 2015-10-29
US8304836B2 (en) 2012-11-06
US20130005156A1 (en) 2013-01-03
US20150311127A1 (en) 2015-10-29
US20150279937A1 (en) 2015-10-01

Similar Documents

Publication Publication Date Title
US9059314B2 (en) Structure and method to obtain EOT scaled dielectric stacks
US7872317B2 (en) Dual metal gate self-aligned integration
US7446380B2 (en) Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US7709902B2 (en) Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7750418B2 (en) Introduction of metal impurity to change workfunction of conductive electrodes
US9252229B2 (en) Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
US7833849B2 (en) Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US20060289948A1 (en) Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US20090108294A1 (en) Scalable high-k dielectric gate stack
US20110193181A1 (en) Semiconductor device having different metal gate structures
US20100044805A1 (en) METAL GATES WITH LOW CHARGE TRAPPING AND ENHANCED DIELECTRIC RELIABILITY CHARACTERISTICS FOR HIGH-k GATE DIELECTRIC STACKS
CN101325203A (en) Semiconductor structure and forming method thereof
US7939396B2 (en) Base oxide engineering for high-K gate stacks
US7880241B2 (en) Low-temperature electrically activated gate electrode and method of fabricating same
US7998820B2 (en) High-k gate dielectric and method of manufacture
KR100843223B1 (en) Semiconductor device having different gate structures according to its channel type and method for manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JAGANNATHAN, HEMANTH;ANDO, TAKASHI;EDGE, LISA F.;AND OTHERS;SIGNING DATES FROM 20150506 TO 20150513;REEL/FRAME:035913/0027

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:037409/0869

Effective date: 20151028

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;SIGNING DATES FROM 20151208 TO 20151214;REEL/FRAME:037542/0087

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117