US20150040094A1 - Sequential delay analysis by placement engines - Google Patents

Sequential delay analysis by placement engines Download PDF

Info

Publication number
US20150040094A1
US20150040094A1 US14/461,290 US201414461290A US2015040094A1 US 20150040094 A1 US20150040094 A1 US 20150040094A1 US 201414461290 A US201414461290 A US 201414461290A US 2015040094 A1 US2015040094 A1 US 2015040094A1
Authority
US
United States
Prior art keywords
clocked
elements
delay
node
particular path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/461,290
Inventor
Andrew Caldwell
Steven Teig
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Tabula Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tabula Inc filed Critical Tabula Inc
Priority to US14/461,290 priority Critical patent/US20150040094A1/en
Publication of US20150040094A1 publication Critical patent/US20150040094A1/en
Assigned to TABULA (ASSIGNMENT FOR THE BENEFIT OF CREDITORS), LLC reassignment TABULA (ASSIGNMENT FOR THE BENEFIT OF CREDITORS), LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TABULA, INC.
Assigned to ALTERA CORPORATION reassignment ALTERA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TABULA (ASSIGNMENT FOR THE BENEFIT OF CREDITORS), LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Definitions

  • the present invention is directed towards placement engines for integrated circuits.
  • An IC is a device that includes numerous electronic components (e.g., transistors, resistors, diodes, etc.) that are embedded typically on the same substrate, such as a single piece of semiconductor wafer. These components are connected with one or more layers of wiring to form multiple circuits, such as Boolean gates, memory cells, arithmetic units, controllers, decoders, etc.
  • An IC is often packaged as a single IC chip in one IC package, although some IC chip packages can include multiple pieces of substrate or wafer.
  • EDA Electronic Design Automation
  • Placement and routing are steps in automatic design of ICs in which a layout of a larger block of the circuit or the whole circuit is created from layouts of smaller sub-blocks. During placement, the positions of the sub-blocks in the design area are determined. These sub-blocks are interconnected during routing.
  • a placer assigns exact locations for circuit components within the IC chip's core area.
  • a placer typically has several objectives such as minimizing total wire length, timing optimization, reducing congestion, and minimizing power.
  • the placer takes a given synthesized circuit netlist with a technology library and produces a placement layout. The layout is optimized according to a set of placer objectives.
  • the maximum delay through the critical path of a chip determines the clock cycle and, therefore, the speed of the chip.
  • the timing optimization is performed to ensure that no path exists with delay exceeding a maximum specified delay.
  • Some embodiments provide a method of designing an integrated circuit (IC).
  • the design is expressed as a graph that includes several nodes that represent several IC components.
  • the nodes include a first set of nodes that represent a set of clocked elements.
  • the method creates a second set of nodes by removing all nodes in the first set from the nodes that represent the IC components.
  • the method identifies a set of edges that connect two nodes in the second set without encompassing a third node in the second set.
  • the method assigns an event time to each node in the second set.
  • the method assigns a cost function based on the event times of the nodes connected by each edge and the number of nodes in the first set encompassed by each edge.
  • the method optimizes the cost function and places the components based on the cost function optimization.
  • the cost function is further based on horizontal and vertical coordinates of the nodes on the graph. In some embodiments, the cost function is optimized by changing at least one of the event time and a coordinate of a node. In some embodiments, all clocked elements in the first set of nodes are retimable clocked elements. In some embodiments, the nodes in the second set include clocked elements that cannot be retimed. In some embodiments, the nodes in the second set include input and out nodes of the graph. In some embodiments, the nodes in the second set include any nodes with timing constraints. In some embodiments, the nodes in the second set include storage elements.
  • the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), or system on chip (SOC), or system-in-package (SIP).
  • ASIC application-specific integrated circuit
  • FPGA field-programmable gate arrays
  • PLD programmable logic devices
  • CPLD complex programmable logic devices
  • SOC system on chip
  • SIP system-in-package
  • the IC is a reconfigurable IC that includes at least one reconfigurable circuit that reconfigures during an operation of the IC.
  • at least one reconfigurable circuit can reconfigure at a first clock rate that is faster than a second clock rate which is specified for a particular design of the IC.
  • the second clock has a clock cycle that includes several sub-cycles.
  • placing the IC components includes assigning each node in the second set of nodes to a particular sub-cycle of the second clock.
  • Some embodiments provide a method of designing an integrated circuit (IC).
  • the method optimizes a cost function that includes at least one time variable.
  • the method places the IC components based on the cost function optimization. The placing is performed only once after optimizing the cost function.
  • the time variable includes several event times that are assigned to the components in the IC design.
  • the cost function further includes horizontal and vertical coordinates of each component. The cost function is optimized by changing at least one of the event time and a coordinate of a component.
  • Some embodiments provide a method of designing an integrated circuit (IC).
  • the IC design is expressed as a graph that includes several edges and several nodes that represent several IC components. Each edge connects two nodes without encompassing a third node.
  • the method assigns an event time to each node in the graph.
  • the method assigns a cost function for each edge based on the event times of the nodes connected by each edge.
  • the method optimizes the cost function and places the IC components based on the optimized cost function.
  • the cost function is further based on horizontal and vertical coordinates of the nodes on the graph.
  • the cost function is optimized by changing at least one of the event time and a coordinate of a node.
  • the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), system on chip (SOC), system-in-package (SIP), or reconfigurable IC.
  • ASIC application-specific integrated circuit
  • FPGA field-programmable gate arrays
  • PLD programmable logic devices
  • CPLD complex programmable logic devices
  • SOC system on chip
  • SIP system-in-package
  • reconfigurable IC reconfigurable IC
  • FIG. 1 illustrates a path that includes computational and clocked elements between a source node and a target node in some embodiments.
  • FIG. 2 conceptually illustrates an example of a sub-cycle reconfigurable IC.
  • FIG. 3 illustrates an example of combinational delay computation in some embodiments.
  • FIG. 4 conceptually illustrates a processed to compute accumulated delays in some embodiments.
  • FIG. 5 conceptually illustrates a process that utilizes combinational delay computation to determine whether a path has met its timing requirements in some embodiments.
  • FIG. 6 illustrates a path in which clocked elements are conceptually replaced with non-computational elements with negative delays.
  • FIG. 7 illustrates an example of sequential delay computation in some embodiments.
  • FIG. 8 conceptually illustrates a process that utilizes sequential delay computation to determine whether a path has met its timing requirements in some embodiments.
  • FIG. 9 illustrates retiming clocked elements across a path in some embodiments to meet timing in all timed paths.
  • FIG. 10 conceptually illustrates a process performed by a placement engine to do timing analysis using sequential delay computations.
  • FIG. 11 illustrates an example of problems with calculation of sequential delay in a circuit with a failing loop.
  • FIG. 12 illustrates a table that shows the results of several iterations of sequential delay calculations for FIG. 11 .
  • FIG. 13 illustrates a path with a source and a target node in some embodiments.
  • FIG. 14 conceptually illustrates a process performed by a placement engine to do timing analysis in some embodiments.
  • FIG. 15 illustrates a process that conceptually shows timing analysis performed by a placement engine in some embodiment using sequential delay calculation.
  • FIG. 16 illustrates conceptual removal of clocked elements from sequential edges in some embodiments to facilitate sequential delay calculation.
  • FIG. 17 conceptually illustrates a process that identifies a sub-cycle for performing each computational element in a netlist in some embodiments.
  • FIG. 18 illustrates a path with event times assigned to computational elements of a reconfigurable IC in some embodiments.
  • FIG. 19 illustrates the path of FIG. 18 after certain computational elements are moved to other operational cycles.
  • FIG. 20 illustrates a timeline for performing computational elements of FIG. 19 .
  • FIG. 21 illustrates a timeline for performing computational elements of FIG. 19 .
  • FIG. 22 illustrates an electronics system with which some embodiments of the invention are implemented.
  • Some embodiments provide a method of designing an integrated circuit (IC).
  • the design is expressed as a graph that includes several nodes that represent several IC components.
  • the nodes include a first set of nodes that represent a set of clocked elements.
  • the method creates a second set of nodes by removing all nodes in the first set from the nodes that represent the IC components.
  • the method identifies a set of edges that connect two nodes in the second set without encompassing a third node in the second set.
  • the method assigns an event time to each node in the second set.
  • the method assigns a cost function based on the event times of the nodes connected by each edge and the number of nodes in the first set encompassed by each edge.
  • the method optimizes the cost function and places the components based on the cost function optimization.
  • the cost function is further based on horizontal and vertical coordinates of the nodes on the graph. In some embodiments, the cost function is optimized by changing at least one of the event time and a coordinate of a node. In some embodiments, all clocked elements in the first set of nodes are retimable clocked elements. In some embodiments, the nodes in the second set include clocked elements that cannot be retimed. In some embodiments, the nodes in the second set include input and out nodes of the graph. In some embodiments, the nodes in the second set include any nodes with timing constraints. In some embodiments, the nodes in the second set include storage elements.
  • the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), or system on chip (SOC), or system-in-package (SIP).
  • ASIC application-specific integrated circuit
  • FPGA field-programmable gate arrays
  • PLD programmable logic devices
  • CPLD complex programmable logic devices
  • SOC system on chip
  • SIP system-in-package
  • the IC is a reconfigurable IC that includes at least one reconfigurable circuit that reconfigures during an operation of the IC.
  • at least one reconfigurable circuit can reconfigure at a first clock rate that is faster than a second clock rate which is specified for a particular design of the IC.
  • the second clock has a clock cycle that includes several sub-cycles.
  • placing the IC components includes assigning each node in the second set of nodes to a particular sub-cycle of the second clock.
  • Some embodiments provide a method of designing an integrated circuit (IC).
  • the method optimizes a cost function that includes at least one time variable.
  • the method places the IC components based on the cost function optimization. The placing is performed only once after optimizing the cost function.
  • the time variable includes several event times that are assigned to the components in the IC design.
  • the cost function further includes horizontal and vertical coordinates of each component. The cost function is optimized by changing at least one of the event time and a coordinate of a component.
  • Some embodiments provide a method of designing an integrated circuit (IC).
  • the IC design is expressed as a graph that includes several edges and several nodes that represent several IC components. Each edge connects two nodes without encompassing a third node.
  • the method assigns an event time to each node in the graph.
  • the method assigns a cost function for each edge based on the event times of the nodes connected by each edge.
  • the method optimizes the cost function and places the IC components based on the optimized cost function.
  • the cost function is further based on horizontal and vertical coordinates of the nodes on the graph.
  • the cost function is optimized by changing at least one of the event time and a coordinate of a node.
  • the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), system on chip (SOC), system-in-package (SIP), or reconfigurable IC.
  • ASIC application-specific integrated circuit
  • FPGA field-programmable gate arrays
  • PLD programmable logic devices
  • CPLD complex programmable logic devices
  • SOC system on chip
  • SIP system-in-package
  • reconfigurable IC reconfigurable IC
  • Section II describes several terms and concepts used in the disclosure. This discussion is followed by the discussion in Section III of combinational and sequential delays.
  • Section IV describes several embodiments of placement engines that also perform timing analysis.
  • Section V describes an electronics system with which some of the embodiments of the invention are implemented.
  • a netlist is a graph representation of an IC design.
  • the graph is represented by a collection of node and edges.
  • the nodes represent components of the IC and the edges represent connections between these components. The edges connect the nodes but do not go through (i.e., do not encompass) any nodes.
  • each component lies on one or more signal paths (“paths”).
  • a path is a sequence of nodes and edges in a netlist.
  • the starting node is referred to as the source node and the end node is referred to as the sink or target node.
  • the source and target nodes are also referred to as endpoints of a path.
  • the source and target designations of the endpoints are based on the direction of the signal flow through the path.
  • a timed path is a path whose both endpoints are timed elements.
  • Timed elements include primary inputs (through which the circuit receives external input), primary outputs (through which the circuit sends outputs to external circuits), clocked elements, storage elements, or any node with timing constraints (e.g., a node with a fixed time, either because the node cannot be retimed or the node is specified as when it should occur).
  • FIG. 1 illustrates a timed path 100 between a source node 105 and a target node 110 in some embodiments.
  • the path 100 includes six computational elements 115 (shown as circles), three clocked elements 120 (shown as rectangles), and ten edges 125 . Some embodiments utilize registers or latches as clocked elements.
  • FIG. 1 also shows other smaller timed paths. For instances the path starting from the source node 105 and ending to clocked element 120 is also a timed path. In order for an IC design to meet timing requirements, total delay (including computation delays and signal propagation delays) on each timed path must be less than or equal to one clock period.
  • the arrival time of a signal is the time elapsed for a signal to arrive at a certain point.
  • the reference, or time 0, is taken from a source node. In some embodiments, when the source node is a primary input, the reference time is taken as the arrival time of a signal received at the primary input. Also, when the source node is a clocked element, the reference time is taken as the time a clock signal is received at the clocked element.
  • the required time is the latest time at which a signal can arrive without making the clock cycle longer than desired.
  • the time difference between the arrival time of a signal and the required arrival time of the signal is referred to as slack.
  • the slack for a node is expressed by the following equation (A):
  • a positive (or zero) slack at a node indicates that the node has met its timing requirements.
  • a positive slack also implies that the arrival time of the signal at that node may be increased by the value of the slack without affecting the overall delay of the circuit.
  • a negative slack implies that a path is too slow. Therefore, the path must sped up (or the reference signal delayed) if the whole circuit is to work at the desired speed.
  • a critical path is defined as a timed path with largest negative slack.
  • a configurable IC is a circuit that can “configurably” perform a set of operations. Specifically, a configurable circuit receives “configuration data” that specifies the operation that the configurable circuit has to perform from the set of operations that it can perform. In some embodiments, the configuration data is generated outside of the configurable IC. In these embodiments, a set of software tools converts a high-level IC design description (e.g., a circuit representation or a hardware description language design) into a set of configuration data that can configure the configurable IC (or more accurately, the configurable circuits of the configurable IC) to implement the IC design.
  • a high-level IC design description e.g., a circuit representation or a hardware description language design
  • a reconfigurable IC is a configurable IC that has at least one circuit that reconfigures during runtime.
  • a reconfigurable IC is an IC that has reconfigurable logic circuits and/or reconfigurable interconnect circuits, where the reconfigurable logic and/or interconnect circuits are configurable logic and/or interconnect circuits that can “reconfigure” more than once at runtime.
  • a configurable logic or interconnect circuit reconfigures when it receives a different set of configuration data.
  • Some embodiments of the invention are implemented in reconfigurable ICs that are sub-cycle reconfigurable (i.e., can reconfigure circuits on a sub-cycle basis).
  • a reconfigurable IC has a large number of logic and interconnect circuits (e.g., hundreds, thousands, etc. of such circuits). Some or all of these circuits can be reconfigurable.
  • runtime reconfigurability means reconfiguring without resetting the reconfigurable IC.
  • Resetting a reconfigurable IC entails in some cases resetting the values stored in the state elements of the IC, where state elements are elements like latches, registers, and non-configuration memories (e.g., memories that store the user signals as opposed to the memories that store the configuration data of the configurable circuits).
  • runtime reconfigurability means reconfiguring after the reconfigurable IC has started processing of the user data.
  • runtime reconfigurability means reconfiguring after the reconfigurable IC has powered up.
  • FIG. 2 conceptually illustrates an example of a sub-cycle reconfigurable IC. Specifically, in its top left hand corner, this figure illustrates an IC design 205 that operates at a clock speed of X MHz.
  • an IC design is initially specified in a hardware description language (HDL), and a synthesis operation is used to convert this HDL representation into a circuit representation. After the synthesis operation, the IC design includes numerous electronic circuits, which are referred to below as “components.”
  • HDL hardware description language
  • the operations performed by the components in the IC design 205 can be partitioned into four sets of operations 210 - 225 , with each set of operations being performed at a clock speed of X MHz.
  • FIG. 2 then illustrates that these four sets of operations 210 - 225 can be performed by one sub-cycle reconfigurable IC 230 that operates at 4 ⁇ MHz.
  • four cycles of the 4 ⁇ MHz clock correspond to four sub-cycles within a cycle of the X MHz clock.
  • this figure illustrates the reconfigurable IC 230 reconfiguring four times during four cycles of the 4 ⁇ MHz clock (i.e., during four sub-cycles of the X MHz clock).
  • the reconfigurable IC 230 performs one of the identified four sets of operations.
  • the faster operational speed of the reconfigurable IC 230 allows this IC to reconfigure four times during each cycle of the X MHz clock, in order to perform the four sets of operations sequentially at a 4 ⁇ MHz rate instead of performing the four sets of operations in parallel at an X MHz rate.
  • Combinational delay computation is performed on a path that starts from a clocked element source node and ends to a clocked element target node without encompassing any other clocked elements.
  • the path can either start with any timed element and end to a clocked element or start with a clocked element and end to a timed element without encompassing any other clocked elements.
  • the delay starts at zero and is accumulated as the path is traversed in the signal direction from a source node to a target node.
  • FIG. 3 illustrates a path 300 in some embodiments.
  • path 300 starts from a primary input node 305 and ends to a primary output node 310 .
  • the path includes six computational elements (shown as circles) and three clocked elements (shown as rectangles).
  • the clock period is assumed to be four time units. Also, for simplicity it is assumed that each computational element takes two time units to perform its operation.
  • path 300 includes four smaller paths that either (1) start from a clocked element source node and end to a clocked element target node, (2) start from a timed element source node and end to a clocked element target node, or (3) start from a clocked element source node and end to a timed element target node. None of these paths encompass any other clocked elements.
  • These four paths are the paths between (1) timed element 305 and clocked element 315 , (2) clocked element 315 and clocked element 320 , (3) clocked element 320 and clocked element 325 , and (4) clocked element 325 and timed element 310 .
  • the combinational delay for each of these paths is the accumulated delays between the source and target nodes and is calculated by adding all computation and propagation delays between the source and target nodes.
  • FIG. 4 conceptually illustrates a process 400 for calculating accumulated delays for a path that starts with a source node and ends with a target node.
  • the process identifies (at 405 ) the source and target nodes of the path.
  • the process sets (at 410 ) the accumulated delay at the source node to zero.
  • the process accumulates delays from the source node to the target node by adding the delays for each computational element. If the delays caused by interconnect wire lengths are not negligible, the process also adds (at 415 ) these delays to the accumulated delays. Also, when a node has more than one input, the delay of the input path with maximum delay is considered in computation of the sequential delay.
  • FIG. 5 conceptually illustrates a process 500 that utilizes combinational delay computation to determine whether a path has met its timing requirements in some embodiments.
  • the process determines (at 505 ) whether the accumulated delay is more than the required time for the signal to get from the source node to the target node.
  • the required time for each of the four identified paths is one clock period (or four time units).
  • the process determines (at 510 ) that the path does not meet its timing requirements.
  • the delay is less than or equal to the required time
  • the process determines (at 515 ) that the path meets its timing requirements.
  • the combinational delays for the elements of the four paths identified on FIG. 3 are computed and the results are displayed on top of each element.
  • process 500 it is determined whether each path meets its timing requirements. As shown, when the combinational delay computations are compared with the required times for each target node, the timing requirements for the first target node ( 315 ) fails and the timing requirement for the other three target nodes ( 320 , 325 , and 310 ) pass. Since the path between 305 and 315 fails the timing requirement, the overall path 300 , which includes the failed path, also fails the timing requirements.
  • Sequential delay computation is similar to combinational delay computation, except sequential delay computation accounts for paths that can go through clocked elements.
  • FIG. 6 illustrates a path 600 in some embodiments. This path is similar to path 300 .
  • the clocked elements 605 are conceptually replaced by non-computational elements 610 with a negative delay equal to one clock period which accounts for the fact that the required time between two adjacent clocked elements (or a clocked element and an adjacent primary input or output) is one clock period.
  • the delays associated with each node are shown under each node.
  • a path can also start from or end to a clocked element that cannot be retimed. In these embodiments, the outputs of the clocked elements that cannot be retimed are considered to occur at time zero.
  • FIG. 7 illustrates the results of sequential delay computation for path 600 shown in FIG. 6 .
  • Process 400 shown in FIG. 4 (which was discussed in reference to computing combinational delays) is also utilized to compute sequential delays.
  • process 400 identifies (at 400 ) node 705 as the source node and node 710 as the target node.
  • the process does not identify any of the clocked elements as source or target nodes. In other words, the delays are not reset to zero after each clocked element. Instead a delay equal to one clock period is subtracted from the accumulated delay to account for each clocked element.
  • process 400 sets (at 410 ) the accumulated delay for the source node 705 to 0.
  • the delays are accumulated (at 415 ) through the clocked elements. Since clocked elements are assigned negative delays, the effect of each clocked element is subtraction of one clock period from the accumulated delay. The delay is accumulated until the target node 710 is reached. The results of these computations for each node are shown on top of the nodes in FIG. 7 .
  • FIG. 8 illustrates a process 800 that utilizes sequential delay computation to determine whether a path meets its timing requirements.
  • the process determines (at 805 ) whether the accumulated delay of the target node is more than one clock period. When the accumulated delay of the target node is more than one clock period, the process determines (at 810 ) that the path from the source node to the target node cannot meet its timing requirements with the given clock period. On the other hand, when the accumulated delay of the target node is less than or equal to one clock period, the process determines (at 815 ) that the path can meet its timing requirements.
  • the accumulated delay of the target node 710 is zero.
  • This accumulated delay is the sequential delay of path 700 that starts from the source node 705 and ends to the target node 710 .
  • the sequential delay being less than one clock period indicates that there exists a retiming of the clocked elements such that all elements meet their required timing.
  • the path 700 can be retimed by moving the clocked elements across the path until the path meets its timing requirement.
  • FIG. 9 illustrates the retiming of path 700 shown in FIG. 7 to make the path meet its timing requirements.
  • the timing requirements are met when every path that either (1) starts from a clocked element and ends to a clocked element, (2) starts from a timed element and ends to a clocked element, or (3) starts from a clocked element ends to a timed element, without encompassing any other clocked elements meets its timing requirements (i.e., the arrival time of a signal at a target node is less than or equal to its required time).
  • clocked element 725 which was originally between computational elements 725 and 730 is retimed to be between computational elements 715 and 720 .
  • this clocked element is moved to an earlier point in time.
  • the path between the source node 705 and clocked element 725 will have one computational element.
  • clocked element 740 that was originally between computational elements 735 and 745 is moved between computational elements 725 and 730 .
  • the path between clocked elements 725 and 740 includes two computational elements.
  • clocked element 750 that was between computational element 745 and the target node 710 is moved between computational elements 730 and 735 .
  • the path between clocked element 750 and the target node 710 includes two computational elements.
  • the combinational delays for the elements of the four paths are computed and the results are displayed on top of each element.
  • These four paths are the paths between two clocked elements or a clocked element and a non-clock timed element. None of the paths encompasses another clocked element other than the source and/or the target nodes.
  • combinational delays are compared with required times for the signals to get from source to target nodes in each path.
  • the timing requirements for all target nodes 725 , 740 , 750 , and 710 . Since every path between two clocked elements or between one clocked element and the source or target nodes meets its timing requirement, the overall path 700 also passes the timing requirements (i.e., the path can be performed using the current clock period).
  • the timing analysis is performed by the placement engine while the placement engine is optimizing other costs (such as wiring lengths and congestion) of the netlist.
  • calculating sequential delays can be very time consuming.
  • FIG. 10 illustrates a process 1000 that computes sequential delays and performs timing analysis for the netlist.
  • the process identifies (at 1005 ) a cost function to optimize during placement operation.
  • the cost function includes a time variable to optimize.
  • the cost function also includes one or more other variables such as wiring length and congestion to optimize.
  • the process optimizes (at 1010 ) the cost function by changing one or more of the variables.
  • the process performs (at 1015 ) sequential delay timing analysis for each path from a source node to a target node in the netlist. These paths can go through clocked elements.
  • the process determines (at 1020 ) whether the target nodes in each path meet their timing requirements. When at least one target does not meet the timing requirements, the process proceeds to 1040 which is described below.
  • the process determines (at 1025 ) whether a shorter clock period can be examined to further improve the clock period.
  • the process may utilize a binary search to find shorter values for clock period until a clock period acceptable by the circuit design is reached or the clock period cannot be improved any further.
  • the process proceeds to 1065 which is described below. Otherwise, the process saves the current clock period as a clock period that has met the timing requirements.
  • the process decreases (at 1035 ) the clock period and proceeds to 1010 which was described above.
  • the process determines (at 1040 ) whether all target nodes had met their timing requirements in a previous iteration. If not, the process increases (at 1040 ) the clock period and proceeds to 1010 that was described above. The process may utilize a binary search to find the next value for the clock period.
  • the process determines (at 1050 ) whether a different clock period can be examined to further improve the clock period.
  • some circuit designs may set a goal of further improving the clock period until a certain number of iterations are performed, the clock period becomes smaller than a certain value, the improvement in the clock period becomes negligible after certain number of iterations, or other criteria is met.
  • the process restores (at 1060 ) the best value of the clock period that met the timing requirements in a previous iteration.
  • the process analyzes (at 1065 ) each path in the netlist and retimes the clocked elements between computational elements to make the delays between each two adjacent clocked elements or between each timed element and its adjacent clocked elements less than or equal to a clock period. As shown in FIG. 10 , the process has to repeatedly calculate sequential timing analysis for each path in the netlist to determine whether the timing requirements are met or whether a shorter clock period can be identified.
  • Sequential delay computation is an expensive computation.
  • a netlist includes a loop and the clock period is relatively small, the sequential delay computation for the given clock period may not converge.
  • FIG. 11 illustrates an example of a netlist 1100 with such a failing loop.
  • computational element 1115 receives two inputs. One input from computational element 1110 and another input from clocked element 1145 .
  • the sequential delay of node 1115 is the maximum sequential delay of its inputs plus the computational delay of computational element 1115 .
  • the clock period is assumed to be four time units and all computational elements are assumed to have a delay of two time units.
  • FIG. 12 illustrates a Table 1200 that shows that results of sequential delay computations after several iterations.
  • the sequential delay of node 1145 is not known. Therefore, the sequential delay for node 1115 is computed as the total of two time units for node 1110 delay and two time units for node 1115 delay.
  • the results of the initial computation for sequential delays of the nodes in netlist 1100 are shown in Table 1200 .
  • the sequential delay of node 1145 is computed to be four time units.
  • the sequential delay of node 1115 can now be updated to be four time units (which is the maximum delay of its input paths) plus two time units (which is the delay attributed to the node itself and its associated wiring).
  • node 1145 After all sequential delays are updated node 1145 will have a sequential delay of six time units as shown for the second iteration in Table 1200 . This new value of delay for 1145 results in an updated value of eight time units for the sequential delay of node 1115 in the third iteration. As shown in Table 1200 , the sequential delay values do not converge for the given clock period. The value of the clock period has to be increased in order for the sequential delay values to converge. In a complicated netlist in which loops are not easily detectable, the sequential delay computation will be very time consuming and will take a long time to find an appropriate value of clock period for which all sequential delays converge.
  • placement engines model the netlist by assigning a horizontal and a vertical coordinate (x and y location) to each node in the graph.
  • a new dimension is added to the placement engine by assigning an event time to each node in the netlist.
  • the placement engine performs a three dimensional placement.
  • FIG. 13 illustrates an edge 1300 between a source node 1305 and a target node 1310 .
  • the placement engine has assigned an event time to each node.
  • the event time of a node is the arrival time of the signal to the node.
  • the event time of a node is the time a stable output signal is available at the output of the node.
  • the source node 1305 is represented by three space-time values (x 0 , y 0 , t 0 ) and the target node 1310 is represented by three space-time values (x 1 , y 1 , t 1 ).
  • the placement engine will optimize the functions of ⁇ x, ⁇ y, and ⁇ t.
  • FIG. 14 conceptually illustrates a process 1400 utilized by a placement engine of some embodiments to perform timing analysis using assigned event times.
  • the process assigns (at 1410 ) an event time to the source and target nodes of each edge in the netlist.
  • each node will be represented by three variables x, y, and t representing horizontal coordinate, vertical coordinate, and time respectively.
  • the process defines a delay function that produces estimated interconnect delays.
  • the delay function for an edge is represented as d( ⁇ x, ⁇ y), where ⁇ x and ⁇ y are the differences between the horizontal and vertical coordinates of the target and source nodes of the edge as shown in FIG. 13 .
  • the process defines (at 1420 ) a cost function for the netlist.
  • the cost function is a function of the interconnect delays and the event times of the source and target nodes.
  • the cost function is expressed by the following equation (B):
  • ⁇ t i is the difference between the event times of the target and the source nodes
  • ⁇ x i is the difference between the x coordinates of the target and the source nodes
  • ⁇ y i is the difference between the y coordinates of the target and the source nodes.
  • the process optimizes (at 1425 ) the cost function based on given criteria for clock period, interconnect wiring length, congestion, etc.
  • the process places (at 1430 ) the IC components after timing requirements are met. The placement meets timing requirements when:
  • ⁇ t i is the difference between the event times of the target and the source nodes and d( ⁇ x i , ⁇ y i ) is the delay function for edge i.
  • Equation (B) Since the cost function in equation (B) is based on edges of the graph, when the placement engine changes the event time of a particular node to optimize the cost function, only the time difference, ⁇ t, for the edges that start or end on that particular node are affected. The placement engine does not have to recalculate the delays throughout the netlist.
  • FIG. 15 conceptually illustrates a process 1500 utilized by placement engine of some embodiments for performing sequential delays computation for a netlist.
  • the process identifies (at 1505 ) source nodes and target nodes in the netlist.
  • the process selects computational elements and timed elements as source and target nodes.
  • the process also identifies clocked elements that cannot be retimed as the source and target nodes. However, the process does not consider retimable clocked elements as source or target nodes.
  • the process identifies “sequential edges” which are paths that go from a source, through these unconsidered clocked elements, ending at a target. The sequential edges do not include any other intervening source or target nodes.
  • each node is represented by three variables x, y, and t representing horizontal coordinate, vertical coordinate, and time respectively.
  • the event times are absolute values given from a time when the execution of the netlist will start during runtime.
  • FIG. 16 illustrates a technique that some embodiments employ to count the number of clocked elements on each sequential edge.
  • path 1600 starts from a source node 1605 and ends at a target node 1610 .
  • Path 1600 has four computational elements 1615 - 1630 and three clocked elements 1635 - 1645 .
  • the source and target nodes are a primary input 1605 and a primary output 1610 respectively.
  • path 1600 includes several smaller paths. Each one of these paths starts from a timed element or a computational element as the source node and ends to the next immediate timed element or computational element as the target node. For instance, the path from 1605 to 1615 is between a primary input and a computational element and the path from 1625 to 1640 is between a computational element and a clocked element.
  • a placement engine conceptually transforms a path such as 1600 to a path such as 1650 in which the clocked elements are not considered as source or target nodes of the smaller paths.
  • the smaller paths are sequential edges that start from either timed elements (other than retimable clocked element) or computational elements as source nodes and end to the next timed element (other than a retimable clocked element) or computational element.
  • the sequential edges are allowed to go through the retimable clocked elements.
  • the number of clocked elements on each sequential edge is counted and is used in computation of sequential delay as indicated further below.
  • the sequential edge between computational elements 1620 and 1625 goes through one clocked element while the sequential edge between computational elements 1625 and 1630 goes through two clocked elements.
  • the number of clocked elements (when more than zero) are shown on top of each node in FIG. 16 .
  • the process defines (at 1520 ) a delay function that produces estimated interconnect delays.
  • the delay function for a sequential edge is represented as d( ⁇ x, ⁇ y), where ⁇ x and ⁇ y are the difference between the horizontal and vertical coordinates of the target and source nodes of the sequential edge.
  • the process defines (at 1525 ) a cost function for all sequential edges in the netlist.
  • the cost function for a sequential edge is a function of (1) the interconnect delay, d( ⁇ x, ⁇ y), of the sequential edge and (2) the difference between the event times of the source and target nodes of the sequential edge.
  • the cost function is expressed by the following equation (D):
  • ⁇ x i is the difference between the x coordinates of the target and the source nodes
  • ⁇ y i is the difference between the y coordinates of the target and the source nodes
  • ⁇ t i is the difference between the event times of the target and the source nodes.
  • the process optimizes (at 1530 ) the cost function based on given criteria for clock period, interconnect wiring length, congestion, and other optimization criteria.
  • the process analyzes (at 1535 ) the netlist and moves the clocked elements (if necessary) between the computational elements to make the delays between each two adjacent clocked elements less than or equal to a clock period. Finally, the process places the IC components.
  • ASICs application-specific integrated circuits
  • FPGAs field-programmable gate arrays
  • PLDs programmable logic devices
  • CPLDs complex programmable logic devices
  • SOCs system on chips
  • SIPs system-in-packages
  • reconfigurable ICs e.g., space-time machines
  • ASICs application-specific integrated circuits
  • FPGAs field-programmable gate arrays
  • PLDs programmable logic devices
  • CPLDs complex programmable logic devices
  • SOCs system on chips
  • SIPs system-in-packages
  • reconfigurable ICs e.g., space-time machines
  • FIG. 17 conceptually illustrates a process 1700 that determines which sub-cycle a computational element falls into. As shown, the process retimes (at 1705 ) the netlist by retiming clocked elements until all nodes meet their timing requirements. In some embodiments, the process uses one of techniques described above to perform the retiming operation.
  • the process determines (at 1710 ) the user clock cycle in which the element will be executed during the operation of the IC.
  • the placement engine determines the cycle for the node by performing the following equation (F):
  • the process determines (at 1720 ) the particular sub-cycle in which the node will be executed by determining the relative time from the beginning the user cycle and the time the node is executed.
  • the placement engine determines the relative time from the beginning of the user cycle by performing the following equation (G):
  • equations (F) and (G) are part of the same integer division operation.
  • the operation divides the event time of the node by the clock period where the quotient is shown in equation (F) and the remainder is shown in equation (G).
  • FIGS. 18-21 illustrate an example of assignment of sub-cycles to computational elements of a netlist in some embodiments.
  • the clock period is assumed to be eight time units.
  • the event time of node 1805 - 1820 are assigned by the placement engine to be 38.2, 42.5, 45, and 50.6 units of time.
  • the event times are given in absolute values starting from a time when the execution of the netlist will begin during runtime.
  • FIG. 19 illustrates the user cycles to which each one of nodes 1805 - 1820 are assigned after performing equation operations (F) and (G).
  • the execution time of each node is normalized relative to the beginning of the user cycle.
  • the placement engine assigns node 1805 to 6 . 4 time units from the start of user cycle four (integer division of 38.2 by 8 results in a quotient of 4 and a remainder of 6.2).
  • the placement engine assigns nodes 1810 and 1815 to 2.5 and 5 time units from the start of user cycle five (integer division of 42.5 and 45 by 8 results in a quotient of 5 and remainders of 2.5 for 1810 and 5 for 1815 ).
  • node 1820 is assigned to 2.6 time units from the start of user cycle six (integer division of 50.6 by 8 results in a quotient of 6 and a remainder of 2.6).
  • FIG. 20 illustrates a time line that shows placements of nodes 1805 - 1820 .
  • node 1805 is placed in user cycle four 2005
  • nodes 1810 - 1815 are placed in user cycle five 2010
  • node 1820 is placed in user cycle six 2015 .
  • FIG. 21 illustrates a time line that shows the assignment of nodes 1805 - 1820 to sub-cycles for a reconfigurable IC in which the user clock has four sub-cycles.
  • the four sub-cycles of user cycle five are sub-cycles 2105 - 2120 .
  • This user cycle precedes by another cycle which also has four sub-cycles (only the last sub-cycle 2125 is shown).
  • user cycle five is followed by another cycle that also has four sub-cycles (the first two sub-cycles 2130 - 2135 are shown).
  • node 1805 is assigned to the last sub-cycle 2125 of the user cycle four.
  • node 1820 is assigned to the second sub-cycle 2135 of the user cycle six, as shown in FIG. 21 .
  • the other two nodes 1810 and 1815 are assigned to user cycle five. The relative times of these nodes from the start of user cycle five places node 1810 in sub-cycle two 2110 and node 1815 in sub-cycle three 2115 of user cycle five, as shown.
  • FIG. 22 conceptually illustrates a more detailed example of an electronics system 2200 that implements some of the above described inventions.
  • the system 2200 can be a stand-alone computing device, or it can be part of another electronic device.
  • the system 2200 includes a processor 2205 , a bus 2210 , a system memory 2215 , a non-volatile memory 2220 , a storage device 2225 , input devices 2230 , output devices 2235 , and communication interface 2240 .
  • the non-volatile memory 2220 stores configuration data and re-loads it at power-up.
  • the bus 2210 collectively represents all system, peripheral, and chipset interconnects (including bus and non-bus interconnect structures) that communicatively connect the numerous internal devices of the system 2200 .
  • the bus 2210 communicatively connects the processor 2205 with the non-volatile memory 2220 , the system memory 2215 , and the permanent storage device 2225 .
  • the processor 2205 receives data for processing and retrieves from the various memory units, instructions to execute.
  • the non-volatile memory 2220 stores static data and instructions that are needed by the processor 2205 and other modules of the system 2200 .
  • the storage device 2225 is read-and-write memory device. This device is a non-volatile memory unit that stores instruction and/or data even when the system 2200 is off.
  • the system memory 2215 is a read-and-write memory device.
  • the system memory is a volatile read-and-write memory, such as a random access memory.
  • the system memory stores some of the instructions and/or data that the processor 2205 needs at runtime.
  • the bus 2210 also connects to the input and output devices 2230 and 2235 .
  • the input devices enable the user to enter information into the system 2200 .
  • the input devices 2230 can include touch-sensitive screens, keys, buttons, keyboards, cursor-controllers, microphone, etc.
  • the output devices 2235 display the output of the system 2200 .
  • bus 2210 also couples system 2200 to other devices through a communication interface 2240 .
  • Examples of the communication interface include network adapters that connect to a network of computers, or wired or wireless transceivers for communicating with other devices.
  • network adapters that connect to a network of computers, or wired or wireless transceivers for communicating with other devices.
  • wired or wireless transceivers for communicating with other devices.
  • any other system configuration may also be used in conjunction with the invention, and these system configurations might have fewer or additional components.
  • Some embodiments include electronic components, such as microprocessors, storage, and memory that store computer program instructions (such as instructions for performing operations of a placement engine) in a machine-readable or computer-readable medium.
  • machine-readable media or computer-readable media include, but are not limited to magnetic media such as hard disks, memory modules, magnetic tape, optical media such as CD-ROMS and holographic devices, magneto-optical media such as optical disks, and hardware devices that are specially configured to store and execute program code, such as application specific integrated circuits (ASICs), field-programmable gate arrays (FPGA), programmable logic devices (PLDs), ROM, and RAM devices.
  • Examples of computer programs or computer code include machine code, such as produced by a compiler, and files including higher-level code that are executed by a computer, an electronic component, or a microprocessor using an interpreter.

Abstract

Some embodiments provide a method of designing an integrated circuit (IC). The design is expressed as a graph that includes several nodes that represent several IC components. The nodes include a first set of nodes that represent a set of clocked elements. The method creates a second set of nodes by removing all nodes in the first set from the nodes that represent the IC components. The method identifies a set of edges that connect two nodes in the second set without encompassing a third node in the second set. The method assigns an event time to each node in the second set. The method assigns a cost function based on the event times of the nodes connected by each edge and the number of nodes in the first set encompassed by each edge. The method optimizes the cost function and places the components based on the cost function optimization.

Description

    FIELD OF THE INVENTION
  • The present invention is directed towards placement engines for integrated circuits.
  • BACKGROUND OF THE INVENTION
  • An IC is a device that includes numerous electronic components (e.g., transistors, resistors, diodes, etc.) that are embedded typically on the same substrate, such as a single piece of semiconductor wafer. These components are connected with one or more layers of wiring to form multiple circuits, such as Boolean gates, memory cells, arithmetic units, controllers, decoders, etc. An IC is often packaged as a single IC chip in one IC package, although some IC chip packages can include multiple pieces of substrate or wafer.
  • Electronic Design Automation (EDA) tools are automated tools used in IC design. Placement and routing are steps in automatic design of ICs in which a layout of a larger block of the circuit or the whole circuit is created from layouts of smaller sub-blocks. During placement, the positions of the sub-blocks in the design area are determined. These sub-blocks are interconnected during routing. A placer assigns exact locations for circuit components within the IC chip's core area. A placer typically has several objectives such as minimizing total wire length, timing optimization, reducing congestion, and minimizing power. The placer takes a given synthesized circuit netlist with a technology library and produces a placement layout. The layout is optimized according to a set of placer objectives.
  • The maximum delay through the critical path of a chip determines the clock cycle and, therefore, the speed of the chip. The timing optimization is performed to ensure that no path exists with delay exceeding a maximum specified delay.
  • SUMMARY OF THE INVENTION
  • Some embodiments provide a method of designing an integrated circuit (IC). The design is expressed as a graph that includes several nodes that represent several IC components. The nodes include a first set of nodes that represent a set of clocked elements. The method creates a second set of nodes by removing all nodes in the first set from the nodes that represent the IC components. The method identifies a set of edges that connect two nodes in the second set without encompassing a third node in the second set. The method assigns an event time to each node in the second set. The method assigns a cost function based on the event times of the nodes connected by each edge and the number of nodes in the first set encompassed by each edge. The method optimizes the cost function and places the components based on the cost function optimization.
  • In some embodiments, the cost function is further based on horizontal and vertical coordinates of the nodes on the graph. In some embodiments, the cost function is optimized by changing at least one of the event time and a coordinate of a node. In some embodiments, all clocked elements in the first set of nodes are retimable clocked elements. In some embodiments, the nodes in the second set include clocked elements that cannot be retimed. In some embodiments, the nodes in the second set include input and out nodes of the graph. In some embodiments, the nodes in the second set include any nodes with timing constraints. In some embodiments, the nodes in the second set include storage elements. In some embodiments, the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), or system on chip (SOC), or system-in-package (SIP).
  • In some embodiments, the IC is a reconfigurable IC that includes at least one reconfigurable circuit that reconfigures during an operation of the IC. In some embodiments, at least one reconfigurable circuit can reconfigure at a first clock rate that is faster than a second clock rate which is specified for a particular design of the IC. In some embodiments, the second clock has a clock cycle that includes several sub-cycles. In these embodiments, placing the IC components includes assigning each node in the second set of nodes to a particular sub-cycle of the second clock.
  • Some embodiments provide a method of designing an integrated circuit (IC). The method optimizes a cost function that includes at least one time variable. The method places the IC components based on the cost function optimization. The placing is performed only once after optimizing the cost function. In some embodiments, the time variable includes several event times that are assigned to the components in the IC design. In some embodiments, the cost function further includes horizontal and vertical coordinates of each component. The cost function is optimized by changing at least one of the event time and a coordinate of a component.
  • Some embodiments provide a method of designing an integrated circuit (IC). The IC design is expressed as a graph that includes several edges and several nodes that represent several IC components. Each edge connects two nodes without encompassing a third node. The method assigns an event time to each node in the graph. The method assigns a cost function for each edge based on the event times of the nodes connected by each edge. The method optimizes the cost function and places the IC components based on the optimized cost function. In some embodiments, the cost function is further based on horizontal and vertical coordinates of the nodes on the graph. In some embodiments, the cost function is optimized by changing at least one of the event time and a coordinate of a node. In some embodiments, the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), system on chip (SOC), system-in-package (SIP), or reconfigurable IC.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The novel features of the invention are set forth in the appended claims. However, for purpose of explanation, several embodiments of the invention are set forth in the following figures.
  • FIG. 1 illustrates a path that includes computational and clocked elements between a source node and a target node in some embodiments.
  • FIG. 2 conceptually illustrates an example of a sub-cycle reconfigurable IC.
  • FIG. 3 illustrates an example of combinational delay computation in some embodiments.
  • FIG. 4 conceptually illustrates a processed to compute accumulated delays in some embodiments.
  • FIG. 5 conceptually illustrates a process that utilizes combinational delay computation to determine whether a path has met its timing requirements in some embodiments.
  • FIG. 6 illustrates a path in which clocked elements are conceptually replaced with non-computational elements with negative delays.
  • FIG. 7 illustrates an example of sequential delay computation in some embodiments.
  • FIG. 8 conceptually illustrates a process that utilizes sequential delay computation to determine whether a path has met its timing requirements in some embodiments.
  • FIG. 9 illustrates retiming clocked elements across a path in some embodiments to meet timing in all timed paths.
  • FIG. 10 conceptually illustrates a process performed by a placement engine to do timing analysis using sequential delay computations.
  • FIG. 11 illustrates an example of problems with calculation of sequential delay in a circuit with a failing loop.
  • FIG. 12 illustrates a table that shows the results of several iterations of sequential delay calculations for FIG. 11.
  • FIG. 13 illustrates a path with a source and a target node in some embodiments.
  • FIG. 14 conceptually illustrates a process performed by a placement engine to do timing analysis in some embodiments.
  • FIG. 15 illustrates a process that conceptually shows timing analysis performed by a placement engine in some embodiment using sequential delay calculation.
  • FIG. 16 illustrates conceptual removal of clocked elements from sequential edges in some embodiments to facilitate sequential delay calculation.
  • FIG. 17 conceptually illustrates a process that identifies a sub-cycle for performing each computational element in a netlist in some embodiments.
  • FIG. 18 illustrates a path with event times assigned to computational elements of a reconfigurable IC in some embodiments.
  • FIG. 19 illustrates the path of FIG. 18 after certain computational elements are moved to other operational cycles.
  • FIG. 20 illustrates a timeline for performing computational elements of FIG. 19.
  • FIG. 21 illustrates a timeline for performing computational elements of FIG. 19.
  • FIG. 22 illustrates an electronics system with which some embodiments of the invention are implemented.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the following description, numerous details are set forth for purpose of explanation. However, one of ordinary skill in the art will realize that the invention may be practiced without the use of these specific details. For instance, not all embodiments of the invention need to be practiced with the specific devices referred to below. In other instances, well-known structures and devices are shown in block diagram form in order not to obscure the description of the invention with unnecessary detail.
  • I. Overview
  • Some embodiments provide a method of designing an integrated circuit (IC). The design is expressed as a graph that includes several nodes that represent several IC components. The nodes include a first set of nodes that represent a set of clocked elements. The method creates a second set of nodes by removing all nodes in the first set from the nodes that represent the IC components. The method identifies a set of edges that connect two nodes in the second set without encompassing a third node in the second set. The method assigns an event time to each node in the second set. The method assigns a cost function based on the event times of the nodes connected by each edge and the number of nodes in the first set encompassed by each edge. The method optimizes the cost function and places the components based on the cost function optimization.
  • In some embodiments, the cost function is further based on horizontal and vertical coordinates of the nodes on the graph. In some embodiments, the cost function is optimized by changing at least one of the event time and a coordinate of a node. In some embodiments, all clocked elements in the first set of nodes are retimable clocked elements. In some embodiments, the nodes in the second set include clocked elements that cannot be retimed. In some embodiments, the nodes in the second set include input and out nodes of the graph. In some embodiments, the nodes in the second set include any nodes with timing constraints. In some embodiments, the nodes in the second set include storage elements. In some embodiments, the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), or system on chip (SOC), or system-in-package (SIP).
  • In some embodiments, the IC is a reconfigurable IC that includes at least one reconfigurable circuit that reconfigures during an operation of the IC. In some embodiments, at least one reconfigurable circuit can reconfigure at a first clock rate that is faster than a second clock rate which is specified for a particular design of the IC. In some embodiments, the second clock has a clock cycle that includes several sub-cycles. In these embodiments, placing the IC components includes assigning each node in the second set of nodes to a particular sub-cycle of the second clock.
  • Some embodiments provide a method of designing an integrated circuit (IC). The method optimizes a cost function that includes at least one time variable. The method places the IC components based on the cost function optimization. The placing is performed only once after optimizing the cost function. In some embodiments, the time variable includes several event times that are assigned to the components in the IC design. In some embodiments, the cost function further includes horizontal and vertical coordinates of each component. The cost function is optimized by changing at least one of the event time and a coordinate of a component.
  • Some embodiments provide a method of designing an integrated circuit (IC). The IC design is expressed as a graph that includes several edges and several nodes that represent several IC components. Each edge connects two nodes without encompassing a third node. The method assigns an event time to each node in the graph. The method assigns a cost function for each edge based on the event times of the nodes connected by each edge. The method optimizes the cost function and places the IC components based on the optimized cost function. In some embodiments, the cost function is further based on horizontal and vertical coordinates of the nodes on the graph. In some embodiments, the cost function is optimized by changing at least one of the event time and a coordinate of a node. In some embodiments, the IC is either an application-specific integrated circuit (ASIC), structured ASIC, field-programmable gate arrays (FPGA), programmable logic devices (PLD), complex programmable logic devices (CPLD), system on chip (SOC), system-in-package (SIP), or reconfigurable IC.
  • Several more detailed embodiments of the invention are described in sections below. Before describing these embodiments further, several terms and concepts used in the disclosure is described below in Section II. This discussion is followed by the discussion in Section III of combinational and sequential delays. Next, Section IV describes several embodiments of placement engines that also perform timing analysis. Last, Section V describes an electronics system with which some of the embodiments of the invention are implemented.
  • II. Terms and Concepts
  • A. Graph Representation of IC Designs
  • A netlist is a graph representation of an IC design. The graph is represented by a collection of node and edges. The nodes represent components of the IC and the edges represent connections between these components. The edges connect the nodes but do not go through (i.e., do not encompass) any nodes. In an IC design, each component lies on one or more signal paths (“paths”). A path is a sequence of nodes and edges in a netlist. The starting node is referred to as the source node and the end node is referred to as the sink or target node. The source and target nodes are also referred to as endpoints of a path. The source and target designations of the endpoints are based on the direction of the signal flow through the path.
  • A timed path is a path whose both endpoints are timed elements. Timed elements include primary inputs (through which the circuit receives external input), primary outputs (through which the circuit sends outputs to external circuits), clocked elements, storage elements, or any node with timing constraints (e.g., a node with a fixed time, either because the node cannot be retimed or the node is specified as when it should occur).
  • FIG. 1 illustrates a timed path 100 between a source node 105 and a target node 110 in some embodiments. The path 100 includes six computational elements 115 (shown as circles), three clocked elements 120 (shown as rectangles), and ten edges 125. Some embodiments utilize registers or latches as clocked elements. FIG. 1 also shows other smaller timed paths. For instances the path starting from the source node 105 and ending to clocked element 120 is also a timed path. In order for an IC design to meet timing requirements, total delay (including computation delays and signal propagation delays) on each timed path must be less than or equal to one clock period.
  • The arrival time of a signal is the time elapsed for a signal to arrive at a certain point. The reference, or time 0, is taken from a source node. In some embodiments, when the source node is a primary input, the reference time is taken as the arrival time of a signal received at the primary input. Also, when the source node is a clocked element, the reference time is taken as the time a clock signal is received at the clocked element.
  • To calculate the arrival time of a signal at a node, delay calculation for all components and edges on the path are required. The required time is the latest time at which a signal can arrive without making the clock cycle longer than desired.
  • The time difference between the arrival time of a signal and the required arrival time of the signal is referred to as slack. The slack for a node is expressed by the following equation (A):

  • slack=required arrival time−arrival time  (A)
  • A positive (or zero) slack at a node indicates that the node has met its timing requirements. A positive slack also implies that the arrival time of the signal at that node may be increased by the value of the slack without affecting the overall delay of the circuit. Conversely, a negative slack implies that a path is too slow. Therefore, the path must sped up (or the reference signal delayed) if the whole circuit is to work at the desired speed. A critical path is defined as a timed path with largest negative slack.
  • B. Configurable IC's
  • A configurable IC is a circuit that can “configurably” perform a set of operations. Specifically, a configurable circuit receives “configuration data” that specifies the operation that the configurable circuit has to perform from the set of operations that it can perform. In some embodiments, the configuration data is generated outside of the configurable IC. In these embodiments, a set of software tools converts a high-level IC design description (e.g., a circuit representation or a hardware description language design) into a set of configuration data that can configure the configurable IC (or more accurately, the configurable circuits of the configurable IC) to implement the IC design.
  • C. Reconfigurable IC's
  • A reconfigurable IC is a configurable IC that has at least one circuit that reconfigures during runtime. In other words, a reconfigurable IC is an IC that has reconfigurable logic circuits and/or reconfigurable interconnect circuits, where the reconfigurable logic and/or interconnect circuits are configurable logic and/or interconnect circuits that can “reconfigure” more than once at runtime. A configurable logic or interconnect circuit reconfigures when it receives a different set of configuration data. Some embodiments of the invention are implemented in reconfigurable ICs that are sub-cycle reconfigurable (i.e., can reconfigure circuits on a sub-cycle basis). In some embodiments, a reconfigurable IC has a large number of logic and interconnect circuits (e.g., hundreds, thousands, etc. of such circuits). Some or all of these circuits can be reconfigurable.
  • In some embodiments, runtime reconfigurability means reconfiguring without resetting the reconfigurable IC. Resetting a reconfigurable IC entails in some cases resetting the values stored in the state elements of the IC, where state elements are elements like latches, registers, and non-configuration memories (e.g., memories that store the user signals as opposed to the memories that store the configuration data of the configurable circuits). In some embodiments, runtime reconfigurability means reconfiguring after the reconfigurable IC has started processing of the user data. Also, in some embodiments, runtime reconfigurability means reconfiguring after the reconfigurable IC has powered up. These definitions of runtime reconfigurability are not mutually exclusive. Examples of configurable and reconfigurable ICs are described in detail in U.S. patent application Ser. No. 11/081,859, “Configurable IC with Interconnect Circuits that also Perform Storage Operations”, filed on Mar. 15, 2005.
  • D. Sub-Cycle Reconfigurable IC
  • FIG. 2 conceptually illustrates an example of a sub-cycle reconfigurable IC. Specifically, in its top left hand corner, this figure illustrates an IC design 205 that operates at a clock speed of X MHz. Typically, an IC design is initially specified in a hardware description language (HDL), and a synthesis operation is used to convert this HDL representation into a circuit representation. After the synthesis operation, the IC design includes numerous electronic circuits, which are referred to below as “components.”
  • As further illustrated in FIG. 2, the operations performed by the components in the IC design 205 can be partitioned into four sets of operations 210-225, with each set of operations being performed at a clock speed of X MHz. FIG. 2 then illustrates that these four sets of operations 210-225 can be performed by one sub-cycle reconfigurable IC 230 that operates at 4× MHz. In some embodiments, four cycles of the 4× MHz clock correspond to four sub-cycles within a cycle of the X MHz clock. Accordingly, this figure illustrates the reconfigurable IC 230 reconfiguring four times during four cycles of the 4× MHz clock (i.e., during four sub-cycles of the X MHz clock). During each of these reconfigurations (i.e., during each sub-cycle), the reconfigurable IC 230 performs one of the identified four sets of operations. In other words, the faster operational speed of the reconfigurable IC 230 allows this IC to reconfigure four times during each cycle of the X MHz clock, in order to perform the four sets of operations sequentially at a 4× MHz rate instead of performing the four sets of operations in parallel at an X MHz rate.
  • III. Combinational and Sequential Delays
  • A. Combinational Delay
  • Combinational delay computation is performed on a path that starts from a clocked element source node and ends to a clocked element target node without encompassing any other clocked elements. Alternatively, the path can either start with any timed element and end to a clocked element or start with a clocked element and end to a timed element without encompassing any other clocked elements. The delay starts at zero and is accumulated as the path is traversed in the signal direction from a source node to a target node.
  • FIG. 3 illustrates a path 300 in some embodiments. As shown, path 300 starts from a primary input node 305 and ends to a primary output node 310. The path includes six computational elements (shown as circles) and three clocked elements (shown as rectangles). For this example, the clock period is assumed to be four time units. Also, for simplicity it is assumed that each computational element takes two time units to perform its operation.
  • Furthermore, for simplicity, it is assumed that there are no delays attributed to wiring lengths in this example. Alternatively, the delays attributed to wiring lengths between two endpoints can be added to the delay of the target node. As shown in FIG. 3, path 300 includes four smaller paths that either (1) start from a clocked element source node and end to a clocked element target node, (2) start from a timed element source node and end to a clocked element target node, or (3) start from a clocked element source node and end to a timed element target node. None of these paths encompass any other clocked elements. These four paths are the paths between (1) timed element 305 and clocked element 315, (2) clocked element 315 and clocked element 320, (3) clocked element 320 and clocked element 325, and (4) clocked element 325 and timed element 310. The combinational delay for each of these paths is the accumulated delays between the source and target nodes and is calculated by adding all computation and propagation delays between the source and target nodes.
  • Calculation of accumulated delays for each path is described by reference to FIGS. 4 and 5. FIG. 4 conceptually illustrates a process 400 for calculating accumulated delays for a path that starts with a source node and ends with a target node. As shown in FIG. 4, the process identifies (at 405) the source and target nodes of the path. Next, the process sets (at 410) the accumulated delay at the source node to zero.
  • Next, the process accumulates delays from the source node to the target node by adding the delays for each computational element. If the delays caused by interconnect wire lengths are not negligible, the process also adds (at 415) these delays to the accumulated delays. Also, when a node has more than one input, the delay of the input path with maximum delay is considered in computation of the sequential delay.
  • FIG. 5 conceptually illustrates a process 500 that utilizes combinational delay computation to determine whether a path has met its timing requirements in some embodiments. As shown, the process determines (at 505) whether the accumulated delay is more than the required time for the signal to get from the source node to the target node. In the example of FIG. 3, the required time for each of the four identified paths is one clock period (or four time units). When the accumulated delay is more than the required time, the process determines (at 510) that the path does not meet its timing requirements. On the other hand, when the delay is less than or equal to the required time, the process determines (at 515) that the path meets its timing requirements.
  • Utilizing process 400, the combinational delays for the elements of the four paths identified on FIG. 3 are computed and the results are displayed on top of each element. Utilizing process 500, it is determined whether each path meets its timing requirements. As shown, when the combinational delay computations are compared with the required times for each target node, the timing requirements for the first target node (315) fails and the timing requirement for the other three target nodes (320, 325, and 310) pass. Since the path between 305 and 315 fails the timing requirement, the overall path 300, which includes the failed path, also fails the timing requirements.
  • B. Sequential Delay
  • Sequential delay computation is similar to combinational delay computation, except sequential delay computation accounts for paths that can go through clocked elements. FIG. 6 illustrates a path 600 in some embodiments. This path is similar to path 300. As shown in FIG. 6, the clocked elements 605 are conceptually replaced by non-computational elements 610 with a negative delay equal to one clock period which accounts for the fact that the required time between two adjacent clocked elements (or a clocked element and an adjacent primary input or output) is one clock period. In FIG. 6, the delays associated with each node are shown under each node. In some embodiments, a path can also start from or end to a clocked element that cannot be retimed. In these embodiments, the outputs of the clocked elements that cannot be retimed are considered to occur at time zero.
  • FIG. 7 illustrates the results of sequential delay computation for path 600 shown in FIG. 6. Process 400, shown in FIG. 4 (which was discussed in reference to computing combinational delays) is also utilized to compute sequential delays. For the example of FIG. 7, process 400 identifies (at 400) node 705 as the source node and node 710 as the target node. The process does not identify any of the clocked elements as source or target nodes. In other words, the delays are not reset to zero after each clocked element. Instead a delay equal to one clock period is subtracted from the accumulated delay to account for each clocked element. Specifically, process 400 sets (at 410) the accumulated delay for the source node 705 to 0.
  • Next, the delays are accumulated (at 415) through the clocked elements. Since clocked elements are assigned negative delays, the effect of each clocked element is subtraction of one clock period from the accumulated delay. The delay is accumulated until the target node 710 is reached. The results of these computations for each node are shown on top of the nodes in FIG. 7.
  • FIG. 8 illustrates a process 800 that utilizes sequential delay computation to determine whether a path meets its timing requirements. As shown, the process determines (at 805) whether the accumulated delay of the target node is more than one clock period. When the accumulated delay of the target node is more than one clock period, the process determines (at 810) that the path from the source node to the target node cannot meet its timing requirements with the given clock period. On the other hand, when the accumulated delay of the target node is less than or equal to one clock period, the process determines (at 815) that the path can meet its timing requirements.
  • For instance, in FIG. 7, the accumulated delay of the target node 710 is zero. This accumulated delay is the sequential delay of path 700 that starts from the source node 705 and ends to the target node 710. The sequential delay being less than one clock period indicates that there exists a retiming of the clocked elements such that all elements meet their required timing. In the Example of FIG. 7, since the accumulated delay for the target node is zero and the clock period is 4 time units, the path 700 can be retimed by moving the clocked elements across the path until the path meets its timing requirement.
  • C. Retiming
  • FIG. 9 illustrates the retiming of path 700 shown in FIG. 7 to make the path meet its timing requirements. In this example, the timing requirements are met when every path that either (1) starts from a clocked element and ends to a clocked element, (2) starts from a timed element and ends to a clocked element, or (3) starts from a clocked element ends to a timed element, without encompassing any other clocked elements meets its timing requirements (i.e., the arrival time of a signal at a target node is less than or equal to its required time).
  • As shown in FIG. 9, clocked element 725 which was originally between computational elements 725 and 730 is retimed to be between computational elements 715 and 720. In effect this clocked element is moved to an earlier point in time. As a result, the path between the source node 705 and clocked element 725 will have one computational element. Similarly, clocked element 740 that was originally between computational elements 735 and 745 is moved between computational elements 725 and 730. As a result the path between clocked elements 725 and 740 includes two computational elements. Further, clocked element 750 that was between computational element 745 and the target node 710 is moved between computational elements 730 and 735. As a result, the path between clocked element 750 and the target node 710 includes two computational elements.
  • Utilizing process 400, the combinational delays for the elements of the four paths (705 to 725, 725 to 740, 740 to 750, and 750 to 710) are computed and the results are displayed on top of each element. These four paths are the paths between two clocked elements or a clocked element and a non-clock timed element. None of the paths encompasses another clocked element other than the source and/or the target nodes.
  • Utilizing process 500, combinational delays are compared with required times for the signals to get from source to target nodes in each path. As shown in FIG. 9, when the combinational delay computations are compared with the required times for each target node, the timing requirements for all target nodes (725, 740, 750, and 710) are met. Since every path between two clocked elements or between one clocked element and the source or target nodes meets its timing requirement, the overall path 700 also passes the timing requirements (i.e., the path can be performed using the current clock period).
  • IV. Placement Engines that Perform Timing Analysis
  • In some embodiments, the timing analysis is performed by the placement engine while the placement engine is optimizing other costs (such as wiring lengths and congestion) of the netlist. However, calculating sequential delays can be very time consuming. FIG. 10 illustrates a process 1000 that computes sequential delays and performs timing analysis for the netlist. As shown, the process identifies (at 1005) a cost function to optimize during placement operation. The cost function includes a time variable to optimize. The cost function also includes one or more other variables such as wiring length and congestion to optimize.
  • Next, the process optimizes (at 1010) the cost function by changing one or more of the variables. Next, the process performs (at 1015) sequential delay timing analysis for each path from a source node to a target node in the netlist. These paths can go through clocked elements. The process then determines (at 1020) whether the target nodes in each path meet their timing requirements. When at least one target does not meet the timing requirements, the process proceeds to 1040 which is described below.
  • Otherwise, the process determines (at 1025) whether a shorter clock period can be examined to further improve the clock period. The process may utilize a binary search to find shorter values for clock period until a clock period acceptable by the circuit design is reached or the clock period cannot be improved any further. When the process determines that the clock period cannot be improved any further, the process proceeds to 1065 which is described below. Otherwise, the process saves the current clock period as a clock period that has met the timing requirements. Next, the process decreases (at 1035) the clock period and proceeds to 1010 which was described above.
  • When the test at 1020 fails, the process determines (at 1040) whether all target nodes had met their timing requirements in a previous iteration. If not, the process increases (at 1040) the clock period and proceeds to 1010 that was described above. The process may utilize a binary search to find the next value for the clock period.
  • After 1040, when the process determines that all target nodes have met the timing requirements, the process determines (at 1050) whether a different clock period can be examined to further improve the clock period. Although the current clock period satisfies the timing requirements of all target nodes, some circuit designs may set a goal of further improving the clock period until a certain number of iterations are performed, the clock period becomes smaller than a certain value, the improvement in the clock period becomes negligible after certain number of iterations, or other criteria is met.
  • When (after 1050) the process determines that the clock period can be further improved, a new clock period which is longer than the current clock period but shorter than the previously acceptable period is selected (at 1055). The process then proceeds to 1010 that was described above.
  • On the other hand, when (after 1045) the process determines that the clock period cannot be improved any further, the process restores (at 1060) the best value of the clock period that met the timing requirements in a previous iteration. Finally, the process analyzes (at 1065) each path in the netlist and retimes the clocked elements between computational elements to make the delays between each two adjacent clocked elements or between each timed element and its adjacent clocked elements less than or equal to a clock period. As shown in FIG. 10, the process has to repeatedly calculate sequential timing analysis for each path in the netlist to determine whether the timing requirements are met or whether a shorter clock period can be identified.
  • A. Failing Loops
  • Sequential delay computation is an expensive computation. When a netlist includes a loop and the clock period is relatively small, the sequential delay computation for the given clock period may not converge. FIG. 11 illustrates an example of a netlist 1100 with such a failing loop. As shown, computational element 1115 receives two inputs. One input from computational element 1110 and another input from clocked element 1145. The sequential delay of node 1115 is the maximum sequential delay of its inputs plus the computational delay of computational element 1115. In the example of FIG. 11, the clock period is assumed to be four time units and all computational elements are assumed to have a delay of two time units.
  • Utilizing process 400, an initial value for the sequential delays of the nodes in netlist 1100 is computed. FIG. 12 illustrates a Table 1200 that shows that results of sequential delay computations after several iterations. Initially, the sequential delay of node 1145 is not known. Therefore, the sequential delay for node 1115 is computed as the total of two time units for node 1110 delay and two time units for node 1115 delay. The results of the initial computation for sequential delays of the nodes in netlist 1100 are shown in Table 1200. As shown, after the initial iteration, the sequential delay of node 1145 is computed to be four time units. The sequential delay of node 1115 can now be updated to be four time units (which is the maximum delay of its input paths) plus two time units (which is the delay attributed to the node itself and its associated wiring).
  • After all sequential delays are updated node 1145 will have a sequential delay of six time units as shown for the second iteration in Table 1200. This new value of delay for 1145 results in an updated value of eight time units for the sequential delay of node 1115 in the third iteration. As shown in Table 1200, the sequential delay values do not converge for the given clock period. The value of the clock period has to be increased in order for the sequential delay values to converge. In a complicated netlist in which loops are not easily detectable, the sequential delay computation will be very time consuming and will take a long time to find an appropriate value of clock period for which all sequential delays converge.
  • B. Timing Driven Placement Engines that Assign Event Times to Nodes in the Netlist
  • Typically, placement engines model the netlist by assigning a horizontal and a vertical coordinate (x and y location) to each node in the graph. In some embodiments, a new dimension is added to the placement engine by assigning an event time to each node in the netlist. In these embodiments, the placement engine performs a three dimensional placement.
  • FIG. 13 illustrates an edge 1300 between a source node 1305 and a target node 1310. In FIG. 13, in addition to the horizontal and vertical coordinates of a node, the placement engine has assigned an event time to each node. In some embodiments, the event time of a node is the arrival time of the signal to the node. In some embodiments, the event time of a node is the time a stable output signal is available at the output of the node. As shown, the source node 1305 is represented by three space-time values (x0, y0, t0) and the target node 1310 is represented by three space-time values (x1, y1, t1). The placement engine will optimize the functions of Δx, Δy, and Δt.
  • FIG. 14 conceptually illustrates a process 1400 utilized by a placement engine of some embodiments to perform timing analysis using assigned event times. As shown, the process assigns (at 1410) an event time to the source and target nodes of each edge in the netlist. As described above, each node will be represented by three variables x, y, and t representing horizontal coordinate, vertical coordinate, and time respectively. Next, the process defines a delay function that produces estimated interconnect delays. In some embodiments, the delay function for an edge is represented as d(Δx, Δy), where Δx and Δy are the differences between the horizontal and vertical coordinates of the target and source nodes of the edge as shown in FIG. 13.
  • Next, the process defines (at 1420) a cost function for the netlist. In some embodiments, the cost function is a function of the interconnect delays and the event times of the source and target nodes. In these embodiments, the cost function is expressed by the following equation (B):

  • Σedges,i fnt i ,dx i ,Δy i))  (B)
  • where for each edge, i, Δti is the difference between the event times of the target and the source nodes; Δxi is the difference between the x coordinates of the target and the source nodes; and Δyi is the difference between the y coordinates of the target and the source nodes.
  • Finally, the process optimizes (at 1425) the cost function based on given criteria for clock period, interconnect wiring length, congestion, etc. The process places (at 1430) the IC components after timing requirements are met. The placement meets timing requirements when:

  • Σedges,it i ≧dx i ,Δy i))  (C)
  • where for each edge, i, Δti is the difference between the event times of the target and the source nodes and d(Δxi,Δyi) is the delay function for edge i.
  • Since the cost function in equation (B) is based on edges of the graph, when the placement engine changes the event time of a particular node to optimize the cost function, only the time difference, Δt, for the edges that start or end on that particular node are affected. The placement engine does not have to recalculate the delays throughout the netlist.
  • C. Timing Driven Placement Engines that Compute Sequential Delays
  • In some embodiments, the placement engine performs sequential delay computation as a part of its timing analysis. FIG. 15 conceptually illustrates a process 1500 utilized by placement engine of some embodiments for performing sequential delays computation for a netlist. As shown, the process identifies (at 1505) source nodes and target nodes in the netlist. The process selects computational elements and timed elements as source and target nodes. In some embodiments, the process also identifies clocked elements that cannot be retimed as the source and target nodes. However, the process does not consider retimable clocked elements as source or target nodes. The process then identifies “sequential edges” which are paths that go from a source, through these unconsidered clocked elements, ending at a target. The sequential edges do not include any other intervening source or target nodes.
  • Next, the process assigns (at 1510) an event time to each source and target node which is on a sequential edge. As described above, in some embodiments each node is represented by three variables x, y, and t representing horizontal coordinate, vertical coordinate, and time respectively. In some embodiments, the event times are absolute values given from a time when the execution of the netlist will start during runtime.
  • Next, the process counts (at 1515) the number of clocked elements located on each sequential edge. FIG. 16 illustrates a technique that some embodiments employ to count the number of clocked elements on each sequential edge. As shown, path 1600 starts from a source node 1605 and ends at a target node 1610. Path 1600 has four computational elements 1615-1630 and three clocked elements 1635-1645. In this example, the source and target nodes are a primary input 1605 and a primary output 1610 respectively. As shown, path 1600 includes several smaller paths. Each one of these paths starts from a timed element or a computational element as the source node and ends to the next immediate timed element or computational element as the target node. For instance, the path from 1605 to 1615 is between a primary input and a computational element and the path from 1625 to 1640 is between a computational element and a clocked element.
  • In some embodiments, a placement engine conceptually transforms a path such as 1600 to a path such as 1650 in which the clocked elements are not considered as source or target nodes of the smaller paths. Instead, in path 1650, the smaller paths are sequential edges that start from either timed elements (other than retimable clocked element) or computational elements as source nodes and end to the next timed element (other than a retimable clocked element) or computational element. In other words, the sequential edges are allowed to go through the retimable clocked elements. The number of clocked elements on each sequential edge is counted and is used in computation of sequential delay as indicated further below. For example, the sequential edge between computational elements 1620 and 1625 goes through one clocked element while the sequential edge between computational elements 1625 and 1630 goes through two clocked elements. The number of clocked elements (when more than zero) are shown on top of each node in FIG. 16.
  • Referring back to FIG. 15, the process defines (at 1520) a delay function that produces estimated interconnect delays. In some embodiments, the delay function for a sequential edge is represented as d(Δx, Δy), where Δx and Δy are the difference between the horizontal and vertical coordinates of the target and source nodes of the sequential edge.
  • Next, the process defines (at 1525) a cost function for all sequential edges in the netlist. In some embodiments, the cost function for a sequential edge is a function of (1) the interconnect delay, d(Δx, Δy), of the sequential edge and (2) the difference between the event times of the source and target nodes of the sequential edge. In these embodiments, the cost function is expressed by the following equation (D):

  • Σsequential edges,i fnt i ,dx i ,Δy i))  (D)
  • where Δxi is the difference between the x coordinates of the target and the source nodes, Δyi is the difference between the y coordinates of the target and the source nodes, and Δti is the difference between the event times of the target and the source nodes.
  • However, when there are retimable clocked elements on a sequential edge, the difference between the event times of the target node and the source node of the edge is increased by the number of clocked elements on the edge multiplied by the clock period. The cost function is, therefore, expressed by the following equation (E):

  • Σsequential edges,i fn((Δt i+(# of clock elements on the sequential edges*clock period)),dx i ,Δy i))  (E)
  • Next, the process optimizes (at 1530) the cost function based on given criteria for clock period, interconnect wiring length, congestion, and other optimization criteria. The process analyzes (at 1535) the netlist and moves the clocked elements (if necessary) between the computational elements to make the delays between each two adjacent clocked elements less than or equal to a clock period. Finally, the process places the IC components.
  • D. Placement Engines for Reconfigurable ICs
  • The embodiments disclosed in previous sections are applicable to any kind of ICs such as application-specific integrated circuits (ASICs), structured ASICs, field-programmable gate arrays (FPGAs), programmable logic devices (PLDs), complex programmable logic devices (CPLDs), system on chips (SOCs), system-in-packages (SIPs), reconfigurable ICs (e.g., space-time machines), etc. The embodiments disclosed in this section are applicable to reconfigurable ICs and the reconfigurable portions of SOCs, SIPs, etc. As described below, some embodiments are implemented to perform placement for reconfigurable ICs. In some embodiments with a sub-cycle reconfigurable IC, the placement engine determines which sub-cycle each computational element falls into.
  • FIG. 17 conceptually illustrates a process 1700 that determines which sub-cycle a computational element falls into. As shown, the process retimes (at 1705) the netlist by retiming clocked elements until all nodes meet their timing requirements. In some embodiments, the process uses one of techniques described above to perform the retiming operation.
  • Next, for each node other than a retimable clocked element, the process determines (at 1710) the user clock cycle in which the element will be executed during the operation of the IC. In some embodiments, the placement engine determines the cycle for the node by performing the following equation (F):
  • user cycle in which a node is executed=event time of the node \ clock period (F) where \ denotes an integer division and the event time of the node is measured in absolute time. Next, the process determines (at 1720) the particular sub-cycle in which the node will be executed by determining the relative time from the beginning the user cycle and the time the node is executed. In some embodiments, the placement engine determines the relative time from the beginning of the user cycle by performing the following equation (G):

  • execution time of the node relative to the beginning of the user clock cycle=the event time of the node Modulo clock period  (G)
  • In some embodiments equations (F) and (G) are part of the same integer division operation. The operation divides the event time of the node by the clock period where the quotient is shown in equation (F) and the remainder is shown in equation (G).
  • FIGS. 18-21 illustrate an example of assignment of sub-cycles to computational elements of a netlist in some embodiments. The clock period is assumed to be eight time units. As shown, the event time of node 1805-1820 are assigned by the placement engine to be 38.2, 42.5, 45, and 50.6 units of time. The event times are given in absolute values starting from a time when the execution of the netlist will begin during runtime.
  • FIG. 19 illustrates the user cycles to which each one of nodes 1805-1820 are assigned after performing equation operations (F) and (G). The execution time of each node is normalized relative to the beginning of the user cycle. The placement engine assigns node 1805 to 6.4 time units from the start of user cycle four (integer division of 38.2 by 8 results in a quotient of 4 and a remainder of 6.2). The placement engine assigns nodes 1810 and 1815 to 2.5 and 5 time units from the start of user cycle five (integer division of 42.5 and 45 by 8 results in a quotient of 5 and remainders of 2.5 for 1810 and 5 for 1815). Finally, node 1820 is assigned to 2.6 time units from the start of user cycle six (integer division of 50.6 by 8 results in a quotient of 6 and a remainder of 2.6).
  • FIG. 20 illustrates a time line that shows placements of nodes 1805-1820. As shown, node 1805 is placed in user cycle four 2005, nodes 1810-1815 are placed in user cycle five 2010, and node 1820 is placed in user cycle six 2015.
  • FIG. 21 illustrates a time line that shows the assignment of nodes 1805-1820 to sub-cycles for a reconfigurable IC in which the user clock has four sub-cycles. The four sub-cycles of user cycle five are sub-cycles 2105-2120. This user cycle precedes by another cycle which also has four sub-cycles (only the last sub-cycle 2125 is shown). Also as shown, user cycle five is followed by another cycle that also has four sub-cycles (the first two sub-cycles 2130-2135 are shown). As shown, node 1805 is assigned to the last sub-cycle 2125 of the user cycle four.
  • Similarly, node 1820 is assigned to the second sub-cycle 2135 of the user cycle six, as shown in FIG. 21. The other two nodes 1810 and 1815 are assigned to user cycle five. The relative times of these nodes from the start of user cycle five places node 1810 in sub-cycle two 2110 and node 1815 in sub-cycle three 2115 of user cycle five, as shown.
  • V. Electronics System
  • FIG. 22 conceptually illustrates a more detailed example of an electronics system 2200 that implements some of the above described inventions. The system 2200 can be a stand-alone computing device, or it can be part of another electronic device. As shown in FIG. 22, the system 2200 includes a processor 2205, a bus 2210, a system memory 2215, a non-volatile memory 2220, a storage device 2225, input devices 2230, output devices 2235, and communication interface 2240. In some embodiments, the non-volatile memory 2220 stores configuration data and re-loads it at power-up.
  • The bus 2210 collectively represents all system, peripheral, and chipset interconnects (including bus and non-bus interconnect structures) that communicatively connect the numerous internal devices of the system 2200. For instance, the bus 2210 communicatively connects the processor 2205 with the non-volatile memory 2220, the system memory 2215, and the permanent storage device 2225.
  • From these various memory units, the processor 2205 receives data for processing and retrieves from the various memory units, instructions to execute. The non-volatile memory 2220 stores static data and instructions that are needed by the processor 2205 and other modules of the system 2200. The storage device 2225 is read-and-write memory device. This device is a non-volatile memory unit that stores instruction and/or data even when the system 2200 is off. Like the storage device 2225, the system memory 2215 is a read-and-write memory device. However, unlike storage device 2225, the system memory is a volatile read-and-write memory, such as a random access memory. The system memory stores some of the instructions and/or data that the processor 2205 needs at runtime.
  • The bus 2210 also connects to the input and output devices 2230 and 2235. The input devices enable the user to enter information into the system 2200. The input devices 2230 can include touch-sensitive screens, keys, buttons, keyboards, cursor-controllers, microphone, etc. The output devices 2235 display the output of the system 2200.
  • Finally, as shown in FIG. 22, bus 2210 also couples system 2200 to other devices through a communication interface 2240. Examples of the communication interface include network adapters that connect to a network of computers, or wired or wireless transceivers for communicating with other devices. One of ordinary skill in the art would appreciate that any other system configuration may also be used in conjunction with the invention, and these system configurations might have fewer or additional components.
  • Some embodiments include electronic components, such as microprocessors, storage, and memory that store computer program instructions (such as instructions for performing operations of a placement engine) in a machine-readable or computer-readable medium. Examples of machine-readable media or computer-readable media include, but are not limited to magnetic media such as hard disks, memory modules, magnetic tape, optical media such as CD-ROMS and holographic devices, magneto-optical media such as optical disks, and hardware devices that are specially configured to store and execute program code, such as application specific integrated circuits (ASICs), field-programmable gate arrays (FPGA), programmable logic devices (PLDs), ROM, and RAM devices. Examples of computer programs or computer code include machine code, such as produced by a compiler, and files including higher-level code that are executed by a computer, an electronic component, or a microprocessor using an interpreter.
  • While the invention has been described with reference to numerous specific details, one of ordinary skill in the art will recognize that the invention can be embodied in other specific forms without departing from the spirit of the invention. Thus, one of ordinary skill in the art would understand that the invention is not to be limited by the foregoing illustrative details, but rather is to be defined by the appended claims.

Claims (21)

1-19. (canceled)
20. A method for designing an integrated circuit (IC), the method comprising:
receiving a specification of a particular path between a source node and a target node in the IC, the particular path comprises a set of computational elements and a set of clocked elements;
attributing a positive combinational delay to each of the computational elements and a negative combinational delay to each of the clocked elements, wherein the negative combinational delay attributed to each clocked element is based on a clock period for operating the clocked element;
computing a sequential delay for the particular path by adding the combinational delays attributed to the clocked elements and the computational elements; and
determining whether the sequential delay of the particular path meets a timing requirement.
21. The method of claim 20 further comprising retiming the clocked elements between computation elements to make the delays between each two adjacent clocked element less than or equal to the clock period.
22. The method of claim 21, wherein the cumulative delay being less than one clock period indicates that there exists a retiming of the clocked elements such that all elements in the particular path meet their required timing.
23. The method of claim 20, wherein each clocked element in the set of clocked elements is a retimable clocked element.
24. The method of claim 20, wherein the IC comprises a plurality of reconfigurable circuits, each reconfigurable circuit reconfigurable each cycle to implement a computational element.
25. The method of claim 20 further comprising increasing the clock period when the particular path fails to meet the timing requirement.
26. The method of claim 20 further comprising decreasing the clock period when the particular path successfully meets the timing requirement.
27. The method of claim 20, wherein the set of clocked elements in the particular path comprises a latch.
28. The method of claim 20, wherein the specification of the particular path is provided by a netlist.
29. A method for designing an integrated circuit (IC), the method comprising:
receiving a specification of a particular path between a source node and a target node in the IC, the particular path comprises a set of computational elements and a set of clocked elements, wherein each computational elements is attributed a positive timing delay and each clocked element is attributed a negative delay that is based on a clock period for operating the clocked element;
computing a cumulative timing delay from the source node to the target node by adding the timing delays attributed to the set of computational elements and the set of clocked elements; and
determining whether the particular path meets a timing requirement at the target node based on the computed cumulative timing delay.
30. The method of claim 29 further comprising retiming the clocked elements between computation elements to make the delays between each two adjacent clocked element less than or equal to the clock period.
31. The method of claim 30, wherein the cumulative delay being less than one clock period indicates that there exists a retiming of the clocked elements such that all elements in the particular path meet their required timing.
32. The method of claim 29, wherein each clocked element in the set of clocked elements is a retimable clocked element.
33. The method of claim 29, wherein the IC comprises a plurality of reconfigurable circuits, each reconfigurable circuit reconfigurable each cycle to implement a computational element.
34. The method of claim 29 further comprising increasing the clock period when the particular path fails to meet the timing requirement.
35. The method of claim 29 further comprising decreasing the clock period when the particular path successfully meets the timing requirement.
36. The method of claim 29, wherein the set of clocked elements in the particular path comprises a latch.
37. The method of claim 29, wherein the specification of the particular path is provided by a netlist.
38. A non-transitory computer readable medium storing a program for execution by one or more processing units, the program comprising sets of instructions for:
receiving a specification of a particular path between a source node and a target node in the IC, the particular path comprises a set of computational elements and a set of clocked elements;
attributing a positive combinational delay to each of the computational elements and a negative combinational delay to each of the clocked elements, wherein the negative combinational delay attributed to each clocked element is based on a clock period for operating the clocked element;
computing a sequential delay for the particular path by adding the combinational delays attributed to the clocked elements and the computational elements; and
determining whether the sequential delay of the particular path meets a timing requirement.
39. The non-transitory computer readable medium of claim 39, wherein the program further comprising a set of instructions for retiming the clocked elements between computation elements to make the delays between each two adjacent clocked element less than or equal to the clock period.
US14/461,290 2008-02-06 2014-08-15 Sequential delay analysis by placement engines Abandoned US20150040094A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/461,290 US20150040094A1 (en) 2008-02-06 2014-08-15 Sequential delay analysis by placement engines

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/027,260 US8863067B1 (en) 2008-02-06 2008-02-06 Sequential delay analysis by placement engines
US14/461,290 US20150040094A1 (en) 2008-02-06 2014-08-15 Sequential delay analysis by placement engines

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/027,260 Continuation US8863067B1 (en) 2008-02-06 2008-02-06 Sequential delay analysis by placement engines

Publications (1)

Publication Number Publication Date
US20150040094A1 true US20150040094A1 (en) 2015-02-05

Family

ID=51661291

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/027,260 Active 2030-05-02 US8863067B1 (en) 2008-02-06 2008-02-06 Sequential delay analysis by placement engines
US14/461,290 Abandoned US20150040094A1 (en) 2008-02-06 2014-08-15 Sequential delay analysis by placement engines

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/027,260 Active 2030-05-02 US8863067B1 (en) 2008-02-06 2008-02-06 Sequential delay analysis by placement engines

Country Status (1)

Country Link
US (2) US8863067B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10387600B2 (en) * 2016-09-15 2019-08-20 Xilinx, Inc. Dynamic power reduction in circuit designs and circuits
US10417374B1 (en) * 2016-05-09 2019-09-17 Altera Corporation Method and apparatus for performing register retiming by utilizing native timing-driven constraints

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8863067B1 (en) * 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US11468218B2 (en) * 2012-08-28 2022-10-11 Synopsys, Inc. Information theoretic subgraph caching
US8789001B1 (en) 2013-02-20 2014-07-22 Tabula, Inc. System and method for using fabric-graph flow to determine resource costs
US9582635B2 (en) * 2013-12-30 2017-02-28 Altera Coroporation Optimizing IC performance using sequential timing
US9996652B2 (en) * 2015-09-04 2018-06-12 Altera Corporation Incremental register retiming of an integrated circuit design
US10169518B1 (en) 2016-11-03 2019-01-01 Intel Corporation Methods for delaying register reset for retimed circuits
US10354038B1 (en) 2016-11-15 2019-07-16 Intel Corporation Methods for bounding the number of delayed reset clock cycles for retimed circuits
US10372850B2 (en) 2016-11-17 2019-08-06 Intel Corporation Methods for verifying retimed circuits with delayed initialization
US10181001B2 (en) 2017-02-02 2019-01-15 Intel Corporation Methods and apparatus for automatically implementing a compensating reset for retimed circuitry
US11176293B1 (en) * 2018-03-07 2021-11-16 Synopsys, Inc. Method and system for emulation clock tree reduction

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070245281A1 (en) * 2006-04-14 2007-10-18 Riepe Michael A Placement-Driven Physical-Hierarchy Generation
US8863067B1 (en) * 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4128890A (en) 1977-06-29 1978-12-05 General Electric Company Integrated arithmetic unit and digital networks using the unit
US4135249A (en) 1977-06-29 1979-01-16 General Electric Company Signed double precision multiplication logic
NL7809398A (en) 1978-09-15 1980-03-18 Philips Nv MULTIPLICATOR FOR BINARY NUMBERS IN TWO-COMPLEMENT NOTATION.
JPS57141753A (en) 1981-02-25 1982-09-02 Nec Corp Multiplication circuit
US4594661A (en) 1982-02-22 1986-06-10 International Business Machines Corp. Microword control system utilizing multiplexed programmable logic arrays
US4758745B1 (en) 1986-09-19 1994-11-15 Actel Corp User programmable integrated circuit interconnect architecture and test method
US5488404A (en) 1988-03-11 1996-01-30 Siemens Aktiengesellschaft Optical character generator for an electro photographic printer
US5532958A (en) 1990-06-25 1996-07-02 Dallas Semiconductor Corp. Dual storage cell memory
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5191241A (en) 1990-08-01 1993-03-02 Actel Corporation Programmable interconnect architecture
EP0474253B1 (en) 1990-09-07 1998-12-02 Nec Corporation Register circuit for copying contents of one register into another register
JP2724932B2 (en) 1991-12-03 1998-03-09 三菱電機株式会社 Dual port memory
US5475830A (en) 1992-01-31 1995-12-12 Quickturn Design Systems, Inc. Structure and method for providing a reconfigurable emulation circuit without hold time violations
US5521835A (en) 1992-03-27 1996-05-28 Xilinx, Inc. Method for programming an FPLD using a library-based technology mapping algorithm
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5581784A (en) 1992-11-17 1996-12-03 Starlight Networks Method for performing I/O's in a storage system to maintain the continuity of a plurality of video streams
US5357153A (en) 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
US5648913A (en) 1993-03-29 1997-07-15 Xilinx, Inc. Frequency driven layout system and method for field programmable gate arrays
US5369622A (en) 1993-04-20 1994-11-29 Micron Semiconductor, Inc. Memory with isolated digit lines
DE69427758T2 (en) 1993-05-28 2001-10-31 Univ California FIELD-PROGRAMMABLE LOGICAL DEVICE WITH DYNAMIC CONNECTING ARRANGEMENT TO A DYNAMIC LOGICAL CORE
US5457410A (en) 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
EP0665998A4 (en) 1993-08-03 1996-06-12 Xilinx Inc Microprocessor-based fpga.
US6462578B2 (en) 1993-08-03 2002-10-08 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5386156A (en) 1993-08-27 1995-01-31 At&T Corp. Programmable function unit with programmable fast ripple logic
US5349250A (en) 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry
US5546018A (en) 1993-09-02 1996-08-13 Xilinx, Inc. Fast carry structure with synchronous input
US5764525A (en) 1994-01-28 1998-06-09 Vlsi Technology, Inc. Method for improving the operation of a circuit through iterative substitutions and performance analyses of datapath cells
US5682107A (en) 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
US5732239A (en) 1994-05-19 1998-03-24 Starlight Networks Method for operating a disk storage system which stores video data so as to maintain the continuity of a plurality of video streams
US5568636A (en) * 1994-09-13 1996-10-22 Lsi Logic Corporation Method and system for improving a placement of cells using energetic placement with alternating contraction and expansion operations
US5777360A (en) 1994-11-02 1998-07-07 Lsi Logic Corporation Hexagonal field programmable gate array architecture
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
US5847577A (en) 1995-02-24 1998-12-08 Xilinx, Inc. DRAM memory cell for programmable logic devices
JP3351651B2 (en) 1995-04-07 2002-12-03 富士通株式会社 Interactive circuit design equipment
GB9508932D0 (en) 1995-05-02 1995-06-21 Xilinx Inc FPGA with parallel and serial user interfaces
US5640106A (en) 1995-05-26 1997-06-17 Xilinx, Inc. Method and structure for loading data into several IC devices
US5589782A (en) 1995-06-02 1996-12-31 Advanced Micro Devices, Inc. Macrocell and clock signal allocation circuit for a programmable logic device (PLD) enabling PLD resources to provide multiple functions
US5631578A (en) 1995-06-02 1997-05-20 International Business Machines Corporation Programmable array interconnect network
US5552721A (en) 1995-06-05 1996-09-03 International Business Machines Corporation Method and system for enhanced drive in programmmable gate arrays
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5692147A (en) 1995-06-07 1997-11-25 International Business Machines Corporation Memory mapping method and apparatus to fold sparsely populated structures into densely populated memory columns or rows by selectively transposing X and Y address portions, and programmable gate array applications thereof
US5732246A (en) 1995-06-07 1998-03-24 International Business Machines Corporation Programmable array interconnect latch
US5657266A (en) 1995-06-30 1997-08-12 Micron Technology, Inc. Single ended transfer circuit
US5701441A (en) 1995-08-18 1997-12-23 Xilinx, Inc. Computer-implemented method of optimizing a design in a time multiplexed programmable logic device
US5600263A (en) 1995-08-18 1997-02-04 Xilinx, Inc. Configuration modes for a time multiplexed programmable logic device
US5629637A (en) 1995-08-18 1997-05-13 Xilinx, Inc. Method of time multiplexing a programmable logic device
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5761483A (en) 1995-08-18 1998-06-02 Xilinx, Inc. Optimizing and operating a time multiplexed programmable logic device
US5764954A (en) 1995-08-23 1998-06-09 International Business Machines Corporation Method and system for optimizing a critical path in a field programmable gate array configuration
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5640107A (en) 1995-10-24 1997-06-17 Northrop Grumman Corporation Method for in-circuit programming of a field-programmable gate array configuration memory
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5822217A (en) 1995-12-13 1998-10-13 Synopsys, Inc. Method and apparatus for improving circuit retiming
JPH09231788A (en) 1995-12-19 1997-09-05 Fujitsu Ltd Shift register and programmable logic circuit and programmable logic circuit system
US5914906A (en) 1995-12-20 1999-06-22 International Business Machines Corporation Field programmable memory array
US5802003A (en) 1995-12-20 1998-09-01 International Business Machines Corporation System for implementing write, initialization, and reset in a memory array using a single cell write port
US5719889A (en) 1995-12-20 1998-02-17 International Business Machines Corporation Programmable parity checking and comparison circuit
US6515505B1 (en) 1995-12-26 2003-02-04 Cypress Semiconductor Corp. Functionality change by bond optioning decoding
US6184709B1 (en) 1996-04-09 2001-02-06 Xilinx, Inc. Programmable logic device having a composable memory array overlaying a CLB array
US6346824B1 (en) 1996-04-09 2002-02-12 Xilinx, Inc. Dedicated function fabric for use in field programmable gate arrays
US6066178A (en) 1996-04-10 2000-05-23 Lsi Logic Corporation Automated design method and system for synthesizing digital multipliers
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US6173379B1 (en) 1996-05-14 2001-01-09 Intel Corporation Memory device for a microprocessor register file having a power management scheme and method for copying information between memory sub-cells in a single clock cycle
US5795068A (en) 1996-08-30 1998-08-18 Xilinx, Inc. Method and apparatus for measuring localized temperatures and voltages on integrated circuits
US5805477A (en) 1996-09-26 1998-09-08 Hewlett-Packard Company Arithmetic cell for field programmable devices
JPH10222374A (en) 1996-10-28 1998-08-21 Altera Corp Method for providing remote software technological support
US5745422A (en) 1996-11-12 1998-04-28 International Business Machines Corporation Cross-coupled bitline segments for generalized data propagation
US5958000A (en) 1996-11-15 1999-09-28 Samsung Electronics, Co. Ltd. Two-bit booth multiplier with reduced data path width
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19704742A1 (en) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internal bus system for DFPs, as well as modules with two- or multi-dimensional programmable cell structures, for coping with large amounts of data with high networking effort
US5914616A (en) 1997-02-26 1999-06-22 Xilinx, Inc. FPGA repeatable interconnect structure with hierarchical interconnect lines
US5963050A (en) 1997-02-26 1999-10-05 Xilinx, Inc. Configurable logic element with fast feedback paths
US5942913A (en) 1997-03-20 1999-08-24 Xilinx, Inc. FPGA repeatable interconnect structure with bidirectional and unidirectional interconnect lines
US5889411A (en) 1997-02-26 1999-03-30 Xilinx, Inc. FPGA having logic element carry chains capable of generating wide XOR functions
JP3856892B2 (en) 1997-03-03 2006-12-13 日本電信電話株式会社 Self-synchronous pipeline data path circuit and asynchronous signal control circuit
US6160419A (en) 1997-11-03 2000-12-12 Altera Corporation Programmable logic architecture incorporating a content addressable embedded array block
JP3106998B2 (en) 1997-04-11 2000-11-06 日本電気株式会社 Programmable logic LSI with additional memory
US5960191A (en) 1997-05-30 1999-09-28 Quickturn Design Systems, Inc. Emulation system with time-multiplexed interconnect
US6152612A (en) 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++
US6545505B1 (en) 1997-09-30 2003-04-08 Cypress Semiconductor Corporation Hybrid routing architecture for high density complex programmable logic devices
US6191611B1 (en) 1997-10-16 2001-02-20 Altera Corporation Driver circuitry for programmable logic devices with hierarchical interconnection resources
US6069490A (en) 1997-12-02 2000-05-30 Xilinx, Inc. Routing architecture using a direct connect routing mesh
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
US6275064B1 (en) 1997-12-22 2001-08-14 Vantis Corporation Symmetrical, extended and fast direct connections between variable grain blocks in FPGA integrated circuits
GB9727414D0 (en) 1997-12-29 1998-02-25 Imperial College Logic circuit
US6286128B1 (en) * 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
KR100329024B1 (en) 1998-03-27 2002-03-18 아끼구사 나오유끼 Destructive read type memory circuit, restoring circuit for the same and sense amplifier
JP3223964B2 (en) 1998-04-03 2001-10-29 日本電気株式会社 Semiconductor storage device
US6086631A (en) 1998-04-08 2000-07-11 Xilinx, Inc. Post-placement residual overlap removal method for core-based PLD programming process
US6175247B1 (en) 1998-04-14 2001-01-16 Lockheed Martin Corporation Context switchable field programmable gate array with public-private addressable sharing of intermediate data
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6140839A (en) 1998-05-13 2000-10-31 Kaviani; Alireza S. Computational field programmable architecture
US6038392A (en) 1998-05-27 2000-03-14 Nec Usa, Inc. Implementation of boolean satisfiability with non-chronological backtracking in reconfigurable hardware
JP3123977B2 (en) 1998-06-04 2001-01-15 日本電気株式会社 Programmable function block
US6184707B1 (en) 1998-10-07 2001-02-06 Altera Corporation Look-up table based logic element with complete permutability of the inputs to the secondary signals
US6163168A (en) 1998-12-09 2000-12-19 Vantis Corporation Efficient interconnect network for use in FPGA device having variable grain architecture
US6460166B1 (en) 1998-12-16 2002-10-01 International Business Machines Corporation System and method for restructuring of logic circuitry
US6218876B1 (en) 1999-01-08 2001-04-17 Altera Corporation Phase-locked loop circuitry for programmable logic devices
US6324676B1 (en) 1999-01-14 2001-11-27 Xilinx, Inc. FPGA customizable to accept selected macros
US6107821A (en) 1999-02-08 2000-08-22 Xilinx, Inc. On-chip logic analysis and method for using the same
US6150838A (en) 1999-02-25 2000-11-21 Xilinx, Inc. FPGA configurable logic block with multi-purpose logic/memory circuit
US6396302B2 (en) 1999-02-25 2002-05-28 Xilinx, Inc. Configurable logic element with expander structures
US6430736B1 (en) 1999-02-26 2002-08-06 Xilinx, Inc. Method and apparatus for evolving configuration bitstreams
US6292019B1 (en) 1999-05-07 2001-09-18 Xilinx Inc. Programmable logic device having configurable logic blocks with user-accessible input multiplexers
US6184713B1 (en) 1999-06-06 2001-02-06 Lattice Semiconductor Corporation Scalable architecture for high density CPLDS having two-level hierarchy of routing resources
US6229337B1 (en) 1999-06-15 2001-05-08 Ict Acquisition, Inc. High-density programmable logic device with flexible local connections and multiplexer based global interconnections
US6204687B1 (en) 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6874135B2 (en) 1999-09-24 2005-03-29 Nec Corporation Method for design validation using retiming
US6745160B1 (en) 1999-10-08 2004-06-01 Nec Corporation Verification of scheduling in the presence of loops using uninterpreted symbolic simulation
JP3471268B2 (en) 1999-12-10 2003-12-02 日本電気株式会社 Logic circuit
US6614703B2 (en) 2000-01-13 2003-09-02 Texas Instruments Incorporated Method and system for configuring integrated systems on a chip
US6255849B1 (en) 2000-02-04 2001-07-03 Xilinx, Inc. On-chip self-modification for PLDs
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6515506B1 (en) 2000-05-03 2003-02-04 Marvell International, Ltd. Circuit for reducing pin count of a semiconductor chip and method for configuring the chip
US6529040B1 (en) 2000-05-05 2003-03-04 Xilinx, Inc. FPGA lookup table with speed read decoder
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6469540B2 (en) 2000-06-15 2002-10-22 Nec Corporation Reconfigurable device having programmable interconnect network suitable for implementing data paths
US6490707B1 (en) 2000-07-13 2002-12-03 Xilinx, Inc. Method for converting programmable logic devices into standard cell devices
US6675309B1 (en) 2000-07-13 2004-01-06 Xilinx, Inc. Method for controlling timing in reduced programmable logic devices
US6629308B1 (en) 2000-07-13 2003-09-30 Xilinx, Inc. Method for managing database models for reduced programmable logic device components
US6515509B1 (en) 2000-07-13 2003-02-04 Xilinx, Inc. Programmable logic device structures in standard cell devices
US6693456B2 (en) 2000-08-04 2004-02-17 Leopard Logic Inc. Interconnection network for a field programmable gate array
US6937063B1 (en) 2000-09-02 2005-08-30 Actel Corporation Method and apparatus of memory clearing with monitoring RAM memory cells in a field programmable gated array
US6603330B1 (en) 2000-10-26 2003-08-05 Cypress Semiconductor Corporation Configuring digital functions in a digital configurable macro architecture
US6711729B1 (en) 2000-12-05 2004-03-23 Synplicity, Inc. Methods and apparatuses for designing integrated circuits using automatic reallocation techniques
US6826737B2 (en) * 2000-12-06 2004-11-30 Cadence Design Systems, Inc. Recursive partitioning placement method and apparatus
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6691301B2 (en) 2001-01-29 2004-02-10 Celoxica Ltd. System, method and article of manufacture for signal constructs in a programming language capable of programming hardware architectures
US7111224B1 (en) 2001-02-28 2006-09-19 Xilinx, Inc. FPGA configuration memory with built-in error correction mechanism
KR20020072049A (en) 2001-03-08 2002-09-14 엘지전자 주식회사 Apparatus for removal glitch
US6526559B2 (en) 2001-04-13 2003-02-25 Interface & Control Systems, Inc. Method for creating circuit redundancy in programmable logic devices
US6601227B1 (en) 2001-06-27 2003-07-29 Xilinx, Inc. Method for making large-scale ASIC using pre-engineered long distance routing structure
US6931616B2 (en) 2001-08-23 2005-08-16 Cadence Design Systems, Inc. Routing method and apparatus
US6501297B1 (en) 2001-09-05 2002-12-31 Xilinx, Inc. Resource cost assignment in programmable logic device routing
US6578183B2 (en) 2001-10-22 2003-06-10 Silicon Perspective Corporation Method for generating a partitioned IC layout
US6593771B2 (en) 2001-12-10 2003-07-15 International Business Machines Corporation Method and system for use of a field programmable interconnect within an ASIC for configuring the ASIC
US6806730B2 (en) 2001-12-10 2004-10-19 International Business Machines Corporation Method and system for use of an embedded field programmable gate array interconnect for flexible I/O connectivity
US6668361B2 (en) 2001-12-10 2003-12-23 International Business Machines Corporation Method and system for use of a field programmable function within a chip to enable configurable I/O signal timing characteristics
US6545501B1 (en) 2001-12-10 2003-04-08 International Business Machines Corporation Method and system for use of a field programmable function within a standard cell chip for repair of logic circuits
US20030110430A1 (en) 2001-12-10 2003-06-12 International Business Machines Corporation Method and system for use of a field programmable gate array (FPGA) function within an application specific integrated circuit (ASIC) to enable creation of a debugger client within the ASIC
US6686769B1 (en) 2001-12-14 2004-02-03 Altera Corporation Programmable I/O element circuit for high speed logic devices
US6674303B1 (en) 2001-12-14 2004-01-06 Lattice Semiconductor Corporation Programmable input/output cell with bidirectional and shift register capabilities
US7154298B1 (en) 2001-12-14 2006-12-26 Lattice Semiconductor Corporation Block-oriented architecture for a programmable interconnect circuit
EP1324495B1 (en) 2001-12-28 2011-03-30 Fujitsu Semiconductor Limited Programmable logic device with ferrroelectric configuration memories
US6817001B1 (en) 2002-03-20 2004-11-09 Kudlugi Muralidhar R Functional verification of logic and memory circuits with multiple asynchronous domains
US7154299B2 (en) 2002-04-05 2006-12-26 Stmicroelectronics Pvt. Ltd. Architecture for programmable logic device
US6701494B2 (en) 2002-05-01 2004-03-02 Adc Dsl Systems, Inc. Method of using testbench tests to avoid task collisions in hardware description language
US7073158B2 (en) 2002-05-17 2006-07-04 Pixel Velocity, Inc. Automated system for designing and developing field programmable gate arrays
US7109749B2 (en) 2002-05-29 2006-09-19 Stmicroelectronics, Pvt. Ltd. Programmable logic devices providing reduced power consumption
US6970012B2 (en) 2002-06-10 2005-11-29 Xilinx, Inc. Programmable logic device having heterogeneous programmable logic blocks
US7350173B1 (en) 2002-06-11 2008-03-25 Synplicity, Inc. Method and apparatus for placement and routing cells on integrated circuit chips
US6851101B1 (en) 2002-06-20 2005-02-01 Xilinx, Inc. Method for computing and using future costing data in signal routing
US7064579B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US7028281B1 (en) 2002-07-12 2006-04-11 Lattice Semiconductor Corporation FPGA with register-intensive architecture
US6650142B1 (en) 2002-08-13 2003-11-18 Lattice Semiconductor Corporation Enhanced CPLD macrocell module having selectable bypass of steering-based resource allocation and methods of use
AU2003259919A1 (en) * 2002-08-21 2004-03-11 California Institute Of Technology Element placement method and apparatus
US7096378B2 (en) 2002-08-29 2006-08-22 Freescale Semiconductor, Inc. Data storage system having a non-volatile IC based memory for storing user data
US6714041B1 (en) 2002-08-30 2004-03-30 Xilinx, Inc. Programming on-the-fly (OTF)
US6938225B2 (en) 2002-09-04 2005-08-30 Intel Corporation Scan design for double-edge-triggered flip-flops
US6667635B1 (en) 2002-09-10 2003-12-23 Xilinx, Inc. FPGA lookup table with transmission gate structure for reliable low-voltage operation
US6829756B1 (en) 2002-09-23 2004-12-07 Xilinx, Inc. Programmable logic device with time-multiplexed interconnect
US6807660B1 (en) 2002-10-01 2004-10-19 Sequence Design, Inc. Vectorless instantaneous current estimation
US7107568B2 (en) 2002-10-07 2006-09-12 Hewlett-Packard Development Company, Lp. System and method for reducing wire delay or congestion during synthesis of hardware solvers
US7571303B2 (en) 2002-10-16 2009-08-04 Akya (Holdings) Limited Reconfigurable integrated circuit
GB0224023D0 (en) 2002-10-16 2002-11-27 Roysmith Graeme Reconfigurable integrated circuit
US6842039B1 (en) 2002-10-21 2005-01-11 Altera Corporation Configuration shift register
US7084666B2 (en) 2002-10-21 2006-08-01 Viciciv Technology Programmable interconnect structures
KR100472726B1 (en) 2002-10-29 2005-03-10 주식회사 하이닉스반도체 Semiconductor memory device for high speed data access and method for operating the same
US6964029B2 (en) 2002-10-31 2005-11-08 Src Computers, Inc. System and method for partitioning control-dataflow graph representations
US7480885B2 (en) 2002-11-18 2009-01-20 Cadence Design Systems, Inc. Method and apparatus for routing with independent goals on different layers
US6892369B2 (en) 2002-11-18 2005-05-10 Cadence Design Systems, Inc. Method and apparatus for costing routes of nets
US6927601B1 (en) 2002-11-21 2005-08-09 Altera Corporation Flexible macrocell interconnect
US6920627B2 (en) 2002-12-13 2005-07-19 Xilinx, Inc. Reconfiguration of a programmable logic device using internal control
US6809979B1 (en) 2003-03-04 2004-10-26 Fernandez & Associates, Llp Complete refresh scheme for 3T dynamic random access memory cells
US6720813B1 (en) 2003-03-17 2004-04-13 Sun Microsystems, Inc. Dual edge-triggered flip-flop design with asynchronous programmable reset
US7203919B2 (en) 2003-03-19 2007-04-10 Peter Suaris Retiming circuits using a cut-based approach
WO2004090759A2 (en) 2003-04-03 2004-10-21 Tufts University Circuit having hardware threading
US7162704B2 (en) 2003-05-09 2007-01-09 Synplicity, Inc. Method and apparatus for circuit design and retiming
US6894527B1 (en) 2003-05-12 2005-05-17 Xilinx, Inc. Evolved circuits for bitstream protection
KR100525460B1 (en) 2003-05-23 2005-10-31 (주)실리콘세븐 SRAM compatable memory having three SAs between two memory blocks and performing REFRESH operation in which the inducing and the rewriting operation are performed seperately and Operating Method thereof
US7120883B1 (en) 2003-05-27 2006-10-10 Altera Corporation Register retiming technique
US6838902B1 (en) 2003-05-28 2005-01-04 Actel Corporation Synchronous first-in/first-out block memory for a field programmable gate array
US7003745B2 (en) 2003-05-30 2006-02-21 Cadence Design Systems, Inc. Performance modeling for circuit design
US7170315B2 (en) 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US7088134B1 (en) 2003-11-06 2006-08-08 Lattice Semiconductor Corporation Programmable logic device with flexible memory allocation and routing
US7088136B1 (en) 2003-11-06 2006-08-08 Altera Corporation Programmable logic device latch circuits
US7308470B2 (en) 2003-12-05 2007-12-11 Intel Corporation Smaller and lower power static mux circuitry in generating multiplier partial product signals
JP4104538B2 (en) 2003-12-22 2008-06-18 三洋電機株式会社 Reconfigurable circuit, processing device provided with reconfigurable circuit, function determination method of logic circuit in reconfigurable circuit, circuit generation method, and circuit
US6956399B1 (en) 2004-02-05 2005-10-18 Xilinx, Inc. High-speed lookup table circuits and methods for programmable logic devices
US7383529B2 (en) 2004-02-13 2008-06-03 The Regents Of The University Of California Method and apparatus for designing circuits using high-level synthesis
US7109752B1 (en) 2004-02-14 2006-09-19 Herman Schmit Configurable circuits, IC's, and systems
US7157933B1 (en) 2004-02-14 2007-01-02 Herman Schmit Configurable circuits, IC's, and systems
US7193440B1 (en) 2004-02-14 2007-03-20 Herman Schmit Configurable circuits, IC's, and systems
US6992505B1 (en) 2004-03-09 2006-01-31 Xilinx, Inc. Structures and methods of implementing a pass gate multiplexer with pseudo-differential input signals
US7098707B2 (en) 2004-03-09 2006-08-29 Altera Corporation Highly configurable PLL architecture for programmable logic
US7205791B1 (en) 2004-03-12 2007-04-17 Altera Corporation Bypass-able carry chain in a programmable logic device
US7126372B2 (en) 2004-04-30 2006-10-24 Xilinx, Inc. Reconfiguration port for dynamic reconfiguration—sub-frame access for reconfiguration
US7353489B2 (en) 2004-05-28 2008-04-01 Synopsys, Inc. Determining hardware parameters specified when configurable IP is synthesized
US6998872B1 (en) 2004-06-02 2006-02-14 Xilinx, Inc. Lookup table circuit optionally configurable as two or more smaller lookup tables with independent inputs
US7120888B2 (en) 2004-07-12 2006-10-10 International Business Machines Corporation Method, system and storage medium for determining circuit placement
US7075333B1 (en) 2004-08-24 2006-07-11 Xilinx, Inc. Programmable circuit optionally configurable as a lookup table or a wide multiplexer
JP4553185B2 (en) 2004-09-15 2010-09-29 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device
US7116131B1 (en) 2004-09-15 2006-10-03 Xilinx, Inc. High performance programmable logic devices utilizing dynamic circuitry
US7779380B2 (en) 2004-10-28 2010-08-17 Ipflex Inc. Data processing apparatus including reconfigurable logic circuit
US7295037B2 (en) 2004-11-08 2007-11-13 Tabula, Inc. Configurable IC with routing circuits with offset connections
US7242216B1 (en) 2004-11-08 2007-07-10 Herman Schmit Embedding memory between tile arrangement of a configurable IC
US7342415B2 (en) 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7496879B2 (en) 2004-12-01 2009-02-24 Tabula, Inc. Concurrent optimization of physical design and operational cycle assignment
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7428721B2 (en) 2004-12-01 2008-09-23 Tabula, Inc. Operational cycle assignment in a configurable IC
US7224182B1 (en) 2005-03-15 2007-05-29 Brad Hutchings Hybrid configurable circuit for a configurable IC
US7530033B2 (en) 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US7724027B2 (en) 2005-03-31 2010-05-25 Rozas Guillermo J Method and system for elastic signal pipelining
FR2884080B1 (en) 2005-04-05 2007-05-25 Iroc Technologies Sa ELECTRONIC CIRCUIT ASSEMBLY PROTECTS FROM TRANSIENT DISTURBANCES
US7818705B1 (en) 2005-04-08 2010-10-19 Altera Corporation Method and apparatus for implementing a field programmable gate array architecture with programmable clock skew
WO2007002799A1 (en) 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7484194B2 (en) 2005-07-18 2009-01-27 Synopsys, Inc. Automation method and system for assessing timing based on Gaussian slack
US7212448B1 (en) 2005-07-19 2007-05-01 Xilinx, Inc. Method and apparatus for multiple context and high reliability operation of programmable logic devices
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7489162B1 (en) 2005-12-01 2009-02-10 Tabula, Inc. Users registers in a reconfigurable IC
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US8332793B2 (en) 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
US8453083B2 (en) 2006-07-28 2013-05-28 Synopsys, Inc. Transformation of IC designs for formal verification
US7514957B2 (en) 2007-03-20 2009-04-07 Tabula, Inc Configurable IC having a routing fabric with storage elements
US8089882B2 (en) 2007-03-23 2012-01-03 Hewlett-Packard Development Company, L.P. Load-aware network path configuration
US7904867B2 (en) 2007-04-04 2011-03-08 Synopsys, Inc. Integrating a boolean SAT solver into a router
US7743354B2 (en) 2007-05-02 2010-06-22 Cadence Design Systems, Inc. Optimizing integrated circuit design through use of sequential timing information
US7624364B2 (en) * 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US7657855B1 (en) 2007-05-25 2010-02-02 Xilinx, Inc. Efficient timing graph update for dynamic netlist changes
US20090249276A1 (en) 2008-02-25 2009-10-01 The Chinese University Of Hong Kong Methods and systems for fpga rewiring and routing in eda designs
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
WO2010033263A1 (en) 2008-09-17 2010-03-25 Tabula, Inc. Controllable storage elements for an ic
US8112731B1 (en) 2008-11-24 2012-02-07 Lattice Semiconductor Corporation Congestion-driven placement systems and methods for programmable logic devices
US8136077B2 (en) 2009-02-26 2012-03-13 Synopsys, Inc. Timing-optimal placement, pin assignment, and routing for integrated circuits
US8336017B2 (en) 2011-01-19 2012-12-18 Algotochip Corporation Architecture optimizer
US8743893B2 (en) 2012-05-18 2014-06-03 Renesys Path reconstruction and interconnection modeling (PRIM)

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070245281A1 (en) * 2006-04-14 2007-10-18 Riepe Michael A Placement-Driven Physical-Hierarchy Generation
US8863067B1 (en) * 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10417374B1 (en) * 2016-05-09 2019-09-17 Altera Corporation Method and apparatus for performing register retiming by utilizing native timing-driven constraints
US10387600B2 (en) * 2016-09-15 2019-08-20 Xilinx, Inc. Dynamic power reduction in circuit designs and circuits

Also Published As

Publication number Publication date
US8863067B1 (en) 2014-10-14

Similar Documents

Publication Publication Date Title
US8863067B1 (en) Sequential delay analysis by placement engines
US7337100B1 (en) Physical resynthesis of a logic design
US9852253B2 (en) Automated layout for integrated circuits with nonstandard cells
JP3331968B2 (en) Register transfer level power consumption optimization circuit, method and recording medium with emphasis on glitch analysis and reduction
US9582635B2 (en) Optimizing IC performance using sequential timing
US8893071B1 (en) Methods of pipelining a data path in an integrated circuit
Raghunathan et al. Register transfer level power optimization with emphasis on glitch analysis and reduction
JP5401376B2 (en) Method for designing semiconductor integrated circuit device
EP3343413A2 (en) Methods for reducing delay on integrated circuits
Lee et al. Contango: Integrated optimization of SoC clock networks
Chen et al. LOPASS: A low-power architectural synthesis system for FPGAs with interconnect estimation and optimization
US9842187B1 (en) Representation of complex timing characteristics of startpoint-endpoint pairs in a circuit design
Kitahara et al. A clock-gating method for low-power LSI design
US7814452B1 (en) Function symmetry-based optimization for physical synthesis of programmable integrated circuits
Sun et al. Survey of FPGA low power design
Balachandran et al. A-priori wirelength and interconnect estimation based on circuit characteristics
US7171633B1 (en) Estimating quality during early synthesis
US7861190B1 (en) Power-driven timing analysis and placement for programmable logic
US7093219B1 (en) Techniques for using edge masks to perform timing analysis
US10302698B1 (en) Estimation of power consumed by combinatorial circuitry
Garg et al. A Study of Floorplanning Challenges and Analysis of macro placement approaches in Physical Aware Synthesis
Lamoureux et al. On the interaction between power-aware computer-aided design algorithms for field-programmable gate arrays
Xu et al. ChipEst-FPGA: a tool for chip level area and timing estimation of lookup table based FPGAs for high level applications
Lalgudi et al. Retiming edge-triggered circuits under general delay models
Singhal et al. Physically-aware exploitation of component reuse in a partially reconfigurable architecture

Legal Events

Date Code Title Description
AS Assignment

Owner name: TABULA (ASSIGNMENT FOR THE BENEFIT OF CREDITORS),

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TABULA, INC.;REEL/FRAME:035783/0055

Effective date: 20150427

AS Assignment

Owner name: ALTERA CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TABULA (ASSIGNMENT FOR THE BENEFIT OF CREDITORS), LLC;REEL/FRAME:036050/0792

Effective date: 20150622

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION