US20150035112A1 - Segmented guard ring structures with electrically insulated gap structures and design structures thereof - Google Patents

Segmented guard ring structures with electrically insulated gap structures and design structures thereof Download PDF

Info

Publication number
US20150035112A1
US20150035112A1 US14/520,648 US201414520648A US2015035112A1 US 20150035112 A1 US20150035112 A1 US 20150035112A1 US 201414520648 A US201414520648 A US 201414520648A US 2015035112 A1 US2015035112 A1 US 2015035112A1
Authority
US
United States
Prior art keywords
guard ring
diffusion regions
design
substrate
ring structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/520,648
Inventor
Robert L. Barry
Phillip F. Chapman
Jeffrey P. Gambino
Michael L. Gautsch
Mark D. Jaffe
Kevin N. Ogg
Bradley A. Orner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/520,648 priority Critical patent/US20150035112A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BARRY, ROBERT L., CHAPMAN, PHILLIP F., GAMBINO, JEFFREY P., GAUTSCH, MICHAEL L., JAFFE, MARK D., OGG, KEVIN N., ORNER, BRADLEY A.
Publication of US20150035112A1 publication Critical patent/US20150035112A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76267Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • G06F17/5045
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention relates to semiconductor structures and methods of manufacture and, more particularly, to guard ring structures with an electrically insulated gap located in a substrate to reduce or eliminate device coupling of integrated circuit chips, methods of manufacture and design structures.
  • Guard rings are structures that prevent delamination of various layers of the integrated circuit chip and other edge damage which may occur during “dicing” operations, as well as protect the integrated circuit from moisture and ionic contamination. For example, during dicing operations, cracks that extend inwardly from the edges of a chip are halted by the guard ring, which is typically in the form of a metallic ring-like structure positioned between the active region of the chip and the edge of the chip.
  • the wafer is cut or diced into individual integrated circuit chips, either by sawing or by scribing and breaking.
  • the wafer is subjected to high shear stresses which can cause cracks to form, which extend inwardly from the sawn or scribed and broken edges of each chip. Due to the stresses encountered when dicing the chip or even later during use, cracks can propagate inward from the edges of the chip and eventually reach the active portion of the chip, damaging semiconductor devices within the active portion.
  • the guard ring structure though, will prevent propagation of the crack into the active region.
  • the continuous ring of metal can couple to the circuits, creating noise and degrading performance.
  • the gap in the guard ring is small enough, cracks will not propagate into the active device.
  • having a gap in the guard ring is not sufficient to eliminate device coupling, because of the conductivity of the silicon substrate.
  • a method comprises forming a guard ring structure comprising a plurality of metal layers within dielectric layers. The method further comprises forming diffusion regions to electrically insulate a gap in a substrate formed by segmented portions of the guard ring structure.
  • a method comprises forming a discontinuous guard ring structure in dielectric layers by alternately depositing metal wirings and via structures surrounding an active area of a chip.
  • the method further comprises forming diffusion regions in an underlying substrate layer to electrically insulate a gap formed in the substrate layer by segmented portions of the discontinuous guard ring structure.
  • a structure comprises a discontinuous guard ring structure in dielectric layers comprising a plurality of metal layers surrounding an active area of a chip.
  • the structure further comprises a gap in an underlying substrate formed between segments of the discontinuous guard ring structure.
  • the structure further comprises an electrically insulating structure within the gap to reduce or eliminate device coupling of integrated circuit chips.
  • a design structure tangibly embodied in a machine readable storage medium for designing, manufacturing, or testing an integrated circuit comprises the structures of the present invention.
  • a hardware description language (HDL) design structure encoded on a machine-readable data storage medium comprises elements that when processed in a computer-aided design system generates a machine-executable representation of the guard ring structures, which comprises the structures of the present invention.
  • a method in a computer-aided design system is provided for generating a functional design model of the guard ring structures. The method comprises generating a functional representation of the structural elements of the guard ring structures.
  • a hardware description language (HDL) design structure is encoded on a machine-readable data storage medium.
  • the HDL design structure comprises elements that when processed in a computer-aided design system generates a machine-executable representation of a guard ring structure with an electrically insulated gap.
  • the guard ring structure with the electrically insulated gap comprises: a discontinuous guard ring structure in dielectric layers comprising a plurality of metal layers surrounding an active area of a chip; a gap in an underlying substrate formed between segments of the discontinuous guard ring structure; and an electrically insulating structure within the gap to reduce or eliminate device coupling of integrated circuit chips.
  • FIG. 1 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps, in accordance with aspects of the present invention
  • FIG. 2 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 1 , along line A-A;
  • FIG. 3 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a low resistivity substrate, in accordance with additional aspects of the present invention
  • FIG. 4 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 3 , along line B-B;
  • FIG. 5 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 3 , along line C-C;
  • FIG. 6 shows an alternative or additional guard ring structure, in accordance with aspects of the present invention.
  • FIG. 7 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a high resistivity substrate, in accordance with additional aspects of the present invention
  • FIG. 8 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 7 , along line D-D;
  • FIG. 9 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test.
  • the invention relates to semiconductor structures and methods of manufacture and, more particularly, to guard ring structures with an electrically insulated gap located in a substrate to reduce or eliminate device coupling of integrated circuit chips, methods of manufacture and design structures.
  • the present invention relates to segmented or discontinuous guard ring structures with an electrically insulated gap located in a substrate (e.g., silicon).
  • a substrate e.g., silicon
  • the guard ring structure will prevent the propagation of cracks into active regions of integrated circuit chips.
  • the electrically insulated gap of the metal guard ring structure will reduce and/or eliminate coupling through the substrate.
  • the segmented guard ring structure comprises different configurations for different technologies.
  • the segmented guard ring structures can be implemented in SOI technologies, low resistivity bulk Si technologies and high resistivity Si technologies.
  • SOI technologies the isolation is provided by diffusion regions in a substrate above the BOX layer.
  • low resistivity bulk Si technologies the isolation is provided by p-n junctions.
  • high resistivity Si technologies the isolation is provided by diffusions and an Ar (or other noble gas) implant in an epi layer.
  • the electrically insulated gap is part of the structure of the segmented guard ring.
  • the electrically insulated gap can be perpendicular to the direction of the guard ring (which is parallel to the substrate surface).
  • FIG. 1 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in accordance with aspects of the present invention. More specifically, FIG. 1 shows a structure 5 comprising a segmented guard ring structure 100 with an electrically insulated gap 105 in Silicon-On-Insulator (SOI) technology.
  • the substrate is an SOI substrate which can be fabricated using any conventional processes such as, for example, SiMOX or other known bonding techniques.
  • the substrate includes a buried oxide layer 15 sandwiched between a Si wafer 10 and a semiconductor layer 20 .
  • the semiconductor layer 20 can be any semiconductor material such as, for example, Si, SiGe, Ge, GaAs, as well as other III/V or II/IV compound semiconductors or any combinations thereof.
  • a substrate contact 25 can optionally be formed in the buried oxide layer 20 , using conventional drilling, deposition and polishing processes. For example, a hole can be drilled in the buried oxide layer 15 (through the layer 20 ), and then filled with a poly material. The surface of the structure can then undergo conventional polishing processes, e.g., chemical mechanical polishing (CMP). The poly material can be doped during the formation of P+ or N+ doped regions 35 .
  • CMP chemical mechanical polishing
  • a shallow trench isolation structure (STI) 30 is formed in the semiconductor layer 20 , using conventional lithography, etching and deposition methods.
  • a resist can be formed on the semiconductor layer 20 , and exposed to energy to form a pattern (opening).
  • the semiconductor layer 20 can then be etched through the pattern to form a trench, using conventional reactive ion etching (RIE) processes.
  • RIE reactive ion etching
  • An insulator material is deposited within the trench to form the STI 30 .
  • the insulator material can be, for example, oxide or other insulator material.
  • the surface of the structure can then be subjected to polishing processes, e.g., CMP.
  • the P+ or N+ doped regions 35 are formed on the sides of the STI 30 , in the semiconductor layer 20 .
  • a resist is formed over the STI 30 and patterned to form openings over the underlying semiconductor layer 20 , using conventional lithography processes.
  • the exposed areas of the underlying semiconductor layer 20 then undergo an ion implantation and anneal process to form the P+ or N+ doped regions 35 .
  • any known dopant can be used to form the P+ or N+ doped regions 35 , e.g., boron, arsenic or phosphorous.
  • Annealing can be performed at any known temperature for any known time, e.g., annealing at 800° C. to 1150° C. for a few minutes.
  • the P+ or N+ doped regions 35 will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the silicon substrate 10 .
  • the guard ring structure is represented generally by reference numeral 100 , and comprises, for example, the P+ or N+ diffusion regions 35 , in addition to the subsequently formed contact structure 50 , metal wirings 60 and vias 65 formed in a plurality of wiring levels depicted as M1 to Mx. It should be understood by those of skill in the art that any number of wiring levels are contemplated by the present invention.
  • a barrier layer 40 is formed over the P+ or N+ diffusion regions 35 and STI 30 .
  • the barrier layer 40 can be a Si 3 N 4 , which is blanket deposited using a plasma enhanced chemical vapor deposition (PECVD) process.
  • PECVD plasma enhanced chemical vapor deposition
  • a BSPG layer 45 is then deposited on the Si 3 N 4 .
  • the BSPG layer 45 provides a mobile ion barrier, e.g., blocks diffusions into any underlying layers.
  • the contact structure 50 is formed in the BSPG layer 45 and the barrier layer 40 , contacting the underlying P+ or N+ diffusion regions 35 .
  • the P+ or N+ diffusion regions 35 are formed using conventional lithography, etching and deposition processes, as already discussed herein.
  • the contact structure 50 comprises tungsten; although other materials are also contemplated by the present invention.
  • the contact structure 50 can be aluminum or copper.
  • the contact structure 50 will form a ground for the structure of the present invention.
  • additional barrier layers 40 and interlevel dielectric layers 55 are alternatively deposited using conventional deposition methods, e.g., CVD.
  • alternating metal wirings 60 and vias 65 are formed using conventional lithography, etching and deposition methods.
  • the metal wirings 60 can be formed using a conventional dual damascene process; although, the metal wirings 60 can also be formed using a conventional single damascene process.
  • the metal wirings 60 can be formed from aluminum or copper, for example.
  • the vias 65 can be formed from aluminum, copper or tungsten.
  • the vias 65 can be aluminum or copper when the metal wirings 60 are aluminum or copper, respectively.
  • the vias 65 can be tungsten, when an upper metal wiring 60 a is aluminum or copper.
  • the last metal wiring 60 b is preferably aluminum.
  • a polyimide layer 70 is deposited on the uppermost barrier layer 40 a .
  • back end of line (BEOL) processes can continue after deposition of the polyimide layer 70 .
  • FIG. 2 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 1 . More specifically, FIG. 2 shows a cross-sectional top view of the segmented guard ring structure, along line A-A of FIG. 1 .
  • the guard ring structure 100 is shown surrounding an active region 300 .
  • the active region 300 can include an array of semiconductor devices and wiring levels, separated from a kerf region 200 by the guard ring structure 100 .
  • the guard ring structure 100 includes a gap (represented as reference numeral 105 ) at the STI regions 30 , thus making it a discontinuous guard ring structure 100 .
  • the discontinuous (or segmented) guard ring structure 100 includes the P+ or N+ diffusion regions 35 .
  • the optional substrate contacts 25 are also shown, in contact with the P+ or N+ diffusion regions 35 .
  • FIG. 3 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a bulk substrate, in accordance with additional aspects of the present invention. More specifically, FIG. 3 shows a structure 5 ′ comprising a segmented guard ring structure 100 ′ with an electrically insulated gap 105 used in combination with a low resistivity a substrate 10 ′, e.g., 50 ohm-cm resistivity or less.
  • the substrate 10 ′ may be a semiconductor layer of Si; although other BULK materials are also contemplated by the present invention.
  • the guard ring structure 100 ′ comprises, for example, diffusion regions 75 , 80 and 90 , in addition to subsequently formed contact structure 50 , metal wirings 60 and vias 65 formed in a plurality of wiring levels depicted as M1 to Mx. It should be understood by those of skill in the art that any number of wiring levels are contemplated by the present invention.
  • the STI region 30 is formed in the substrate 10 ′ using the processes as already described herein.
  • the well diffusion regions 75 and well diffusion regions 80 are formed in the substrate 10 ′ using deep well implants formed using known implantation processes.
  • the well diffusion regions 80 are optional.
  • the well diffusion regions 75 can be either n-wells or p-wells, and the well diffusion regions 80 would be an oppositely charged well region.
  • the well diffusion regions 75 can be n-wells formed using phosphorous or arsenic, in which case the well diffusion regions 80 are p-wells formed using arsenic.
  • the well diffusion regions 75 can be p-wells formed using boron, in which case the well diffusion regions 80 are n-wells formed using arsenic.
  • diffusion regions 90 are formed in an upper portion of the substrate 10 ′ using a shallow implant process.
  • the diffusion regions 90 are P+ diffusion regions in contact with the underlying n-wells 75 , or alternatively the diffusion regions 90 are an N+ diffusion regions in contact with underlying p-wells 75 .
  • the diffusion regions 75 , 80 and 90 will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the substrate 10 ′.
  • the barrier layer 40 can be a Si 3 N 4 , which is blanket deposited using a chemical vapor deposition (CVD) process.
  • a BSPG layer 45 is deposited on the Si 3 N 4 .
  • a contact structure 50 is formed in the BSPG layer 45 and the barrier layer 40 , contacting the underlying diffusion regions 90 .
  • the contact structure 50 comprises tungsten; although other materials are also contemplated by the present invention, e.g., aluminum or copper.
  • additional barrier layers 40 and interlevel dielectric layers 55 are alternatively deposited using conventional deposition methods, e.g., CVD.
  • alternating metal wirings 60 and vias 65 are formed using conventional lithography, etching and deposition methods.
  • the metal wirings 60 can be formed using a conventional dual damascene process; although, the metal wirings 60 can also be formed using a conventional single damascene process.
  • the metal wirings 60 can be formed from aluminum or copper, for example.
  • the vias 65 on the other hand, can be formed from aluminum, copper or tungsten as already described herein.
  • the last wiring layer 60 b is preferably aluminum.
  • a polyimide layer 70 can be deposited on the upper most barrier layer 40 a.
  • FIG. 4 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 3 , along line B-B.
  • the guard ring structure 100 ′ is shown surrounding an active region 300 .
  • the active region 300 can include an array of semiconductor devices and wiring levels, separated from a kerf region 200 by the guard ring structure 100 ′.
  • the guard ring structure 100 ′ includes a gap (represented as reference numeral 105 ) under the diffusion regions 80 (formed below the STI regions 30 ), thus making it a discontinuous (or segmented) guard ring structure 100 ′.
  • one or more of the diffusion regions 80 can be extended to an edge of the kerf 200 .
  • the discontinuous guard ring structure 100 includes the diffusion regions 75 , 80 and 90 , which will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the substrate.
  • FIG. 5 shows a top view of the structure of FIG. 3 , along line C-C.
  • the guard ring structure 100 ′ is shown separating the active region 300 from the kerf region 200 .
  • the guard ring structure 100 ′ includes a gap (represented as reference numeral 105 ) under the STI regions 30 , thus making it a discontinuous (or segmented) guard ring structure 100 ′.
  • the diffusion regions 90 are shown abutting directly against the STI regions 30 . As should be understood, the diffusion regions 90 are provided directly on top of the diffusion regions 75 (not shown).
  • FIG. 6 shows an alternative or additional structure in accordance with aspects of the present invention.
  • the diffusion regions 90 e.g., p+ regions
  • the p-wells provide a stable ground potential across the chip.
  • the structure shown in FIG. 6 can be combined with the structure shown in FIG. 4 (shown in another cross-sectional view).
  • FIG. 7 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a high resistivity substrate, in accordance with additional aspects of the present invention. More specifically, FIG. 7 shows a structure 5 ′′ comprising a segmented guard ring structure 100 ′′ with an electrically insulated gap 105 in a substrate 10 ′′ of high resistivity substrate, with resistivity much greater than 50 ohm-cm resistivity, e.g., P-type high resistivity.
  • the structure of FIG. 7 can be implemented in BULK technologies using an epi substrate 20 ′′.
  • the epi substrate 20 ′′ can be a Si substrate; although other high resistivity materials are also contemplated by the present invention.
  • the guard ring structure 100 ′′ comprises, for example, diffusion regions 75 ′ and 90 ′ with a noble gas, e.g., argon, implant region 85 , under an STI region 30 , in addition to contact structure 50 , metal wirings 60 and vias 65 formed in a plurality of wiring levels depicted as M1 to Mx. As with other embodiments, any number of wiring levels is contemplated by the present invention.
  • a noble gas e.g., argon
  • the well diffusion regions 75 and 90 are formed in the epi layer of substrate material 20 ′′ formed on the substrate 10 ′′.
  • the epi layer of substrate material 20 ′′ can be a semiconductor material, e.g., Si, epitaxially grown on the substrate 10 ′′.
  • the well diffusion regions 75 ′ are preferably p-wells, and the well diffusion regions 90 are preferably P+ regions, both of which are formed in the substrate material 20 ′′.
  • the diffusion regions 75 ′ and 90 ′ can be formed using conventional ion implantation processes as described herein, and will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the substrate 10 ′.
  • argon or other noble gas can be implanted below the STI region 30 , between the diffusion regions 75 ′, to form implant region 85 within the gap 105 .
  • the barrier layer 40 can be a Si 3 N 4 , which is blanket deposited using a chemical vapor deposition (CVD) process.
  • a BSPG layer 45 is then deposited on the Si 3 N 4 .
  • a contact structure 50 is formed in the BSPG layer 45 and the barrier layer 40 , contacting the underlying diffusion region 90 .
  • the contact structure 50 comprises tungsten; although other materials are also contemplated by the present invention, e.g., aluminum or copper.
  • additional barrier layers 40 and interlevel dielectric layers 55 are alternatively deposited using conventional deposition methods, e.g., CVD.
  • alternating metal wirings 60 and vias 65 are formed using conventional lithography, etching and deposition methods as already described herein.
  • the metal wirings 60 can be formed from aluminum or copper, for example; whereas, the vias 65 , on the other hand, can be formed from aluminum, copper or tungsten as already described herein.
  • the last wiring layer 60 b is preferably aluminum.
  • a polyimide layer 70 can be deposited on the upper most barrier layer 40 a.
  • FIG. 8 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 7 , along line D-D.
  • the guard ring structure 100 ′ is shown surrounding an active region 300 .
  • the active region 300 can include an array of semiconductor devices and wiring levels, separated from a kerf region 200 by the guard ring structure 100 ′′.
  • the guard ring structure 100 ′′ includes a gap (represented as reference numeral 105 ) at the implant regions 85 (formed below the STI regions 30 ), thus making it a discontinuous (or segmented) guard ring structure 100 ′′.
  • one or more of the implant regions 85 can be extended to an edge of the kerf 200 .
  • the implant regions 85 can be formed in direct contact with the substrate 10 ′′, while surrounding the p-well regions 75 ′′.
  • FIG. 9 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test.
  • FIG. 9 shows a block diagram of an exemplary design flow 900 used for example, in semiconductor IC logic design, simulation, test, layout, and manufacture.
  • Design flow 900 includes processes, machines and/or mechanisms for processing design structures or devices to generate logically or otherwise functionally equivalent representations of the design structures and/or devices described above and shown in FIGS. 1-8 .
  • the design structures processed and/or generated by design flow 900 may be encoded on machine-readable transmission or storage media to include data and/or instructions that when executed or otherwise processed on a data processing system generate a logically, structurally, mechanically, or otherwise functionally equivalent representation of hardware components, circuits, devices, or systems.
  • Machines include, but are not limited to, any machine used in an IC design process, such as designing, manufacturing, or simulating a circuit, component, device, or system.
  • machines may include: lithography machines, machines and/or equipment for generating masks (e.g. e-beam writers), computers or equipment for simulating design structures, any apparatus used in the manufacturing or test process, or any machines for programming functionally equivalent representations of the design structures into any medium (e.g. a machine for programming a programmable gate array).
  • Design flow 900 may vary depending on the type of representation being designed. For example, a design flow 900 for building an application specific IC (ASIC) may differ from a design flow 900 for designing a standard component or from a design flow 900 for instantiating the design into a programmable array, for example a programmable gate array (PGA) or a field programmable gate array (FPGA) offered by Altera® Inc. or Xilinx® Inc.
  • ASIC application specific IC
  • PGA programmable gate array
  • FPGA field programmable gate array
  • FIG. 9 illustrates multiple such design structures including an input design structure 920 that is preferably processed by a design process 910 .
  • Design structure 920 may be a logical simulation design structure generated and processed by design process 910 to produce a logically equivalent functional representation of a hardware device.
  • Design structure 920 may also or alternatively comprise data and/or program instructions that when processed by design process 910 , generate a functional representation of the physical structure of a hardware device. Whether representing functional and/or structural design features, design structure 920 may be generated using electronic computer-aided design (ECAD) such as implemented by a core developer/designer.
  • ECAD electronic computer-aided design
  • design structure 920 When encoded on a machine-readable data transmission, gate array, or storage medium, design structure 920 may be accessed and processed by one or more hardware and/or software modules within design process 910 to simulate or otherwise functionally represent an electronic component, circuit, electronic or logic module, apparatus, device, or system such as those shown in FIGS. 1-8 .
  • design structure 920 may comprise files or other data structures including human and/or machine-readable source code, compiled structures, and computer-executable code structures that when processed by a design or simulation data processing system, functionally simulate or otherwise represent circuits or other levels of hardware logic design.
  • Such data structures may include hardware-description language (HDL) design entities or other data structures conforming to and/or compatible with lower-level HDL design languages such as Verilog and VHDL, and/or higher level design languages such as C or C++.
  • HDL hardware-description language
  • Design process 910 preferably employs and incorporates hardware and/or software modules for synthesizing, translating, or otherwise processing a design/simulation functional equivalent of the components, circuits, devices, or logic structures shown in FIGS. 1-8 to generate a netlist 980 which may contain design structures such as design structure 920 .
  • Netlist 980 may comprise, for example, compiled or otherwise processed data structures representing a list of wires, discrete components, logic gates, control circuits, I/O devices, models, etc. that describes the connections to other elements and circuits in an integrated circuit design.
  • Netlist 980 may be synthesized using an iterative process in which netlist 980 is resynthesized one or more times depending on design specifications and parameters for the device.
  • netlist 980 may be recorded on a machine-readable data storage medium or programmed into a programmable gate array.
  • the medium may be a non-volatile storage medium such as a magnetic or optical disk drive, a programmable gate array, a compact flash, or other flash memory. Additionally, or in the alternative, the medium may be a system or cache memory, buffer space, or electrically or optically conductive devices and materials on which data packets may be transmitted and intermediately stored via the Internet, or other networking suitable means.
  • Design process 910 may include hardware and software modules for processing a variety of input data structure types including netlist 980 .
  • data structure types may reside, for example, within library elements 930 and include a set of commonly used elements, circuits, and devices, including models, layouts, and symbolic representations, for a given manufacturing technology (e.g., different technology nodes, 32 nm, 45 nm, 90 nm, etc.).
  • the data structure types may further include design specifications 940 , characterization data 950 , verification data 960 , design rules 970 , and test data files 985 which may include input test patterns, output test results, and other testing information.
  • Design process 910 may further include, for example, standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, process simulation for operations such as casting, molding, and die press forming, etc.
  • standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, process simulation for operations such as casting, molding, and die press forming, etc.
  • One of ordinary skill in the art of mechanical design can appreciate the extent of possible mechanical design tools and applications used in design process 910 without deviating from the scope and spirit of the invention.
  • Design process 910 may also include modules for performing standard circuit design processes such as timing analysis, verification, design rule checking, place and route operations, etc.
  • Design process 910 employs and incorporates logic and physical design tools such as HDL compilers and simulation model build tools to process design structure 920 together with some or all of the depicted supporting data structures along with any additional mechanical design or data (if applicable), to generate a second design structure 990 .
  • logic and physical design tools such as HDL compilers and simulation model build tools
  • Design structure 990 resides on a storage medium or programmable gate array in a data format used for the exchange of data of mechanical devices and structures (e.g. information stored in a IGES, DXF, Parasolid XT, JT, DRG, or any other suitable format for storing or rendering such mechanical design structures). Similar to design structure 920 , design structure 990 preferably comprises one or more files, data structures, or other computer-encoded data or instructions that reside on transmission or data storage media and that when processed by an ECAD system generate a logically or otherwise functionally equivalent form of one or more of the embodiments of the invention shown in FIGS. 1-8 . In one embodiment, design structure 990 may comprise a compiled, executable HDL simulation model that functionally simulates the devices shown in FIGS. 1-8 .
  • a compiled, executable HDL simulation model that functionally simulates the devices shown in FIGS. 1-8 .
  • Design structure 990 may also employ a data format used for the exchange of layout data of integrated circuits and/or symbolic data format (e.g. information stored in a GDSII (GDS2), GL1, OASIS, map files, or any other suitable format for storing such design data structures).
  • Design structure 990 may comprise information such as, for example, symbolic data, map files, test data files, design content files, manufacturing data, layout parameters, wires, levels of metal, vias, shapes, data for routing through the manufacturing line, and any other data required by a manufacturer or other designer/developer to produce a device or structure as described above and shown in FIGS. 1-8 .
  • Design structure 990 may then proceed to a stage 995 where, for example, design structure 990 : proceeds to tape-out, is released to manufacturing, is released to a mask house, is sent to another design house, is sent back to the customer, etc.
  • the methods as described above are used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Disclosed are guard ring structures with an electrically insulated gap in a substrate to reduce or eliminate device coupling of integrated circuit chips, methods of manufacture and design structures. The method includes forming a guard ring structure comprising a plurality of metal layers within dielectric layers. The method further includes forming diffusion regions to electrically insulate a gap in a substrate formed by segmented portions of the guard ring structure.

Description

    FIELD OF THE INVENTION
  • The invention relates to semiconductor structures and methods of manufacture and, more particularly, to guard ring structures with an electrically insulated gap located in a substrate to reduce or eliminate device coupling of integrated circuit chips, methods of manufacture and design structures.
  • BACKGROUND
  • Guard rings are structures that prevent delamination of various layers of the integrated circuit chip and other edge damage which may occur during “dicing” operations, as well as protect the integrated circuit from moisture and ionic contamination. For example, during dicing operations, cracks that extend inwardly from the edges of a chip are halted by the guard ring, which is typically in the form of a metallic ring-like structure positioned between the active region of the chip and the edge of the chip.
  • More specifically, at a final stage of fabrication, the wafer is cut or diced into individual integrated circuit chips, either by sawing or by scribing and breaking. When diced by sawing or by scribing and breaking, the wafer is subjected to high shear stresses which can cause cracks to form, which extend inwardly from the sawn or scribed and broken edges of each chip. Due to the stresses encountered when dicing the chip or even later during use, cracks can propagate inward from the edges of the chip and eventually reach the active portion of the chip, damaging semiconductor devices within the active portion. The guard ring structure, though, will prevent propagation of the crack into the active region.
  • For RF devices, the continuous ring of metal can couple to the circuits, creating noise and degrading performance. Hence, it is desirable to have breaks in the guard ring (discontinuous metal) to minimize RF coupling. In such a structure, if the gap in the guard ring is small enough, cracks will not propagate into the active device. However, having a gap in the guard ring is not sufficient to eliminate device coupling, because of the conductivity of the silicon substrate.
  • SUMMARY
  • In an aspect of the invention, a method comprises forming a guard ring structure comprising a plurality of metal layers within dielectric layers. The method further comprises forming diffusion regions to electrically insulate a gap in a substrate formed by segmented portions of the guard ring structure.
  • In an aspect of the invention, a method comprises forming a discontinuous guard ring structure in dielectric layers by alternately depositing metal wirings and via structures surrounding an active area of a chip. The method further comprises forming diffusion regions in an underlying substrate layer to electrically insulate a gap formed in the substrate layer by segmented portions of the discontinuous guard ring structure.
  • In an aspect of the invention, a structure comprises a discontinuous guard ring structure in dielectric layers comprising a plurality of metal layers surrounding an active area of a chip. The structure further comprises a gap in an underlying substrate formed between segments of the discontinuous guard ring structure. The structure further comprises an electrically insulating structure within the gap to reduce or eliminate device coupling of integrated circuit chips.
  • In another aspect of the invention, a design structure tangibly embodied in a machine readable storage medium for designing, manufacturing, or testing an integrated circuit is provided. The design structure comprises the structures of the present invention. In further embodiments, a hardware description language (HDL) design structure encoded on a machine-readable data storage medium comprises elements that when processed in a computer-aided design system generates a machine-executable representation of the guard ring structures, which comprises the structures of the present invention. In still further embodiments, a method in a computer-aided design system is provided for generating a functional design model of the guard ring structures. The method comprises generating a functional representation of the structural elements of the guard ring structures.
  • In embodiments, a hardware description language (HDL) design structure is encoded on a machine-readable data storage medium. The HDL design structure comprises elements that when processed in a computer-aided design system generates a machine-executable representation of a guard ring structure with an electrically insulated gap. The guard ring structure with the electrically insulated gap comprises: a discontinuous guard ring structure in dielectric layers comprising a plurality of metal layers surrounding an active area of a chip; a gap in an underlying substrate formed between segments of the discontinuous guard ring structure; and an electrically insulating structure within the gap to reduce or eliminate device coupling of integrated circuit chips.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The present invention is described in the detailed description which follows, in reference to the noted plurality of drawings by way of non-limiting examples of exemplary embodiments of the present invention.
  • FIG. 1 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps, in accordance with aspects of the present invention;
  • FIG. 2 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 1, along line A-A;
  • FIG. 3 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a low resistivity substrate, in accordance with additional aspects of the present invention;
  • FIG. 4 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 3, along line B-B;
  • FIG. 5 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 3, along line C-C;
  • FIG. 6 shows an alternative or additional guard ring structure, in accordance with aspects of the present invention;
  • FIG. 7 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a high resistivity substrate, in accordance with additional aspects of the present invention;
  • FIG. 8 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 7, along line D-D; and
  • FIG. 9 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test.
  • DETAILED DESCRIPTION
  • The invention relates to semiconductor structures and methods of manufacture and, more particularly, to guard ring structures with an electrically insulated gap located in a substrate to reduce or eliminate device coupling of integrated circuit chips, methods of manufacture and design structures. In more specific embodiments, the present invention relates to segmented or discontinuous guard ring structures with an electrically insulated gap located in a substrate (e.g., silicon). Advantageously, in any of the aspects of the present invention, the guard ring structure will prevent the propagation of cracks into active regions of integrated circuit chips. Also, the electrically insulated gap of the metal guard ring structure will reduce and/or eliminate coupling through the substrate.
  • In embodiments, the segmented guard ring structure comprises different configurations for different technologies. For example, the segmented guard ring structures can be implemented in SOI technologies, low resistivity bulk Si technologies and high resistivity Si technologies. In SOI technologies, the isolation is provided by diffusion regions in a substrate above the BOX layer. In low resistivity bulk Si technologies, the isolation is provided by p-n junctions. In high resistivity Si technologies, the isolation is provided by diffusions and an Ar (or other noble gas) implant in an epi layer. In embodiments, the electrically insulated gap is part of the structure of the segmented guard ring. In further embodiments, the electrically insulated gap can be perpendicular to the direction of the guard ring (which is parallel to the substrate surface).
  • FIG. 1 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in accordance with aspects of the present invention. More specifically, FIG. 1 shows a structure 5 comprising a segmented guard ring structure 100 with an electrically insulated gap 105 in Silicon-On-Insulator (SOI) technology. In embodiments, the substrate is an SOI substrate which can be fabricated using any conventional processes such as, for example, SiMOX or other known bonding techniques.
  • By way of illustrative example, the substrate includes a buried oxide layer 15 sandwiched between a Si wafer 10 and a semiconductor layer 20. In embodiments, the semiconductor layer 20 can be any semiconductor material such as, for example, Si, SiGe, Ge, GaAs, as well as other III/V or II/IV compound semiconductors or any combinations thereof. In embodiments, a substrate contact 25 can optionally be formed in the buried oxide layer 20, using conventional drilling, deposition and polishing processes. For example, a hole can be drilled in the buried oxide layer 15 (through the layer 20), and then filled with a poly material. The surface of the structure can then undergo conventional polishing processes, e.g., chemical mechanical polishing (CMP). The poly material can be doped during the formation of P+ or N+ doped regions 35.
  • Still referring to FIG. 1, a shallow trench isolation structure (STI) 30 is formed in the semiconductor layer 20, using conventional lithography, etching and deposition methods. For example, a resist can be formed on the semiconductor layer 20, and exposed to energy to form a pattern (opening). The semiconductor layer 20 can then be etched through the pattern to form a trench, using conventional reactive ion etching (RIE) processes. An insulator material is deposited within the trench to form the STI 30. In embodiments, the insulator material can be, for example, oxide or other insulator material. The surface of the structure can then be subjected to polishing processes, e.g., CMP.
  • The P+ or N+ doped regions 35 are formed on the sides of the STI 30, in the semiconductor layer 20. For example, a resist is formed over the STI 30 and patterned to form openings over the underlying semiconductor layer 20, using conventional lithography processes. The exposed areas of the underlying semiconductor layer 20 then undergo an ion implantation and anneal process to form the P+ or N+ doped regions 35. In embodiments, any known dopant can be used to form the P+ or N+ doped regions 35, e.g., boron, arsenic or phosphorous. Annealing can be performed at any known temperature for any known time, e.g., annealing at 800° C. to 1150° C. for a few minutes. In this implementation, the P+ or N+ doped regions 35 will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the silicon substrate 10.
  • In FIG. 1, the guard ring structure is represented generally by reference numeral 100, and comprises, for example, the P+ or N+ diffusion regions 35, in addition to the subsequently formed contact structure 50, metal wirings 60 and vias 65 formed in a plurality of wiring levels depicted as M1 to Mx. It should be understood by those of skill in the art that any number of wiring levels are contemplated by the present invention.
  • In fabricating the contact structure 50, a barrier layer 40 is formed over the P+ or N+ diffusion regions 35 and STI 30. In embodiments, the barrier layer 40 can be a Si3N4, which is blanket deposited using a plasma enhanced chemical vapor deposition (PECVD) process. A BSPG layer 45 is then deposited on the Si3N4. In embodiments, the BSPG layer 45 provides a mobile ion barrier, e.g., blocks diffusions into any underlying layers. The contact structure 50 is formed in the BSPG layer 45 and the barrier layer 40, contacting the underlying P+ or N+ diffusion regions 35. In embodiments, the P+ or N+ diffusion regions 35 are formed using conventional lithography, etching and deposition processes, as already discussed herein. In embodiments, the contact structure 50 comprises tungsten; although other materials are also contemplated by the present invention. For example, the contact structure 50 can be aluminum or copper. The contact structure 50 will form a ground for the structure of the present invention.
  • In subsequent layers, additional barrier layers 40 and interlevel dielectric layers 55 are alternatively deposited using conventional deposition methods, e.g., CVD. In each of these layers, alternating metal wirings 60 and vias 65 are formed using conventional lithography, etching and deposition methods. In embodiments, the metal wirings 60 can be formed using a conventional dual damascene process; although, the metal wirings 60 can also be formed using a conventional single damascene process. The metal wirings 60 can be formed from aluminum or copper, for example. The vias 65, on the other hand, can be formed from aluminum, copper or tungsten. For example, the vias 65 can be aluminum or copper when the metal wirings 60 are aluminum or copper, respectively. In additional embodiments, the vias 65 can be tungsten, when an upper metal wiring 60 a is aluminum or copper. The last metal wiring 60 b is preferably aluminum. In embodiments, a polyimide layer 70 is deposited on the uppermost barrier layer 40 a. As in each of the embodiments, back end of line (BEOL) processes can continue after deposition of the polyimide layer 70.
  • FIG. 2 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 1. More specifically, FIG. 2 shows a cross-sectional top view of the segmented guard ring structure, along line A-A of FIG. 1. In this representative view, the guard ring structure 100 is shown surrounding an active region 300. The active region 300 can include an array of semiconductor devices and wiring levels, separated from a kerf region 200 by the guard ring structure 100. As shown in FIG. 2, the guard ring structure 100 includes a gap (represented as reference numeral 105) at the STI regions 30, thus making it a discontinuous guard ring structure 100. As described with regard to FIG. 1, the discontinuous (or segmented) guard ring structure 100 includes the P+ or N+ diffusion regions 35. The optional substrate contacts 25 are also shown, in contact with the P+ or N+ diffusion regions 35.
  • FIG. 3 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a bulk substrate, in accordance with additional aspects of the present invention. More specifically, FIG. 3 shows a structure 5′ comprising a segmented guard ring structure 100′ with an electrically insulated gap 105 used in combination with a low resistivity a substrate 10′, e.g., 50 ohm-cm resistivity or less. In embodiments, the substrate 10′ may be a semiconductor layer of Si; although other BULK materials are also contemplated by the present invention. In this implementation, the guard ring structure 100′ comprises, for example, diffusion regions 75, 80 and 90, in addition to subsequently formed contact structure 50, metal wirings 60 and vias 65 formed in a plurality of wiring levels depicted as M1 to Mx. It should be understood by those of skill in the art that any number of wiring levels are contemplated by the present invention.
  • In embodiments, the STI region 30 is formed in the substrate 10′ using the processes as already described herein. The well diffusion regions 75 and well diffusion regions 80 are formed in the substrate 10′ using deep well implants formed using known implantation processes. In embodiments, the well diffusion regions 80 are optional. The well diffusion regions 75 can be either n-wells or p-wells, and the well diffusion regions 80 would be an oppositely charged well region. For example, the well diffusion regions 75 can be n-wells formed using phosphorous or arsenic, in which case the well diffusion regions 80 are p-wells formed using arsenic. Alternatively, the well diffusion regions 75 can be p-wells formed using boron, in which case the well diffusion regions 80 are n-wells formed using arsenic.
  • In any scenario, diffusion regions 90 are formed in an upper portion of the substrate 10′ using a shallow implant process. In embodiments, the diffusion regions 90 are P+ diffusion regions in contact with the underlying n-wells 75, or alternatively the diffusion regions 90 are an N+ diffusion regions in contact with underlying p-wells 75. In this implementation, the diffusion regions 75, 80 and 90 will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the substrate 10′.
  • The remaining structure is formed in a similar manner described with reference to FIG. 1. For example, the barrier layer 40 can be a Si3N4, which is blanket deposited using a chemical vapor deposition (CVD) process. A BSPG layer 45 is deposited on the Si3N4. A contact structure 50 is formed in the BSPG layer 45 and the barrier layer 40, contacting the underlying diffusion regions 90. In embodiments, the contact structure 50 comprises tungsten; although other materials are also contemplated by the present invention, e.g., aluminum or copper.
  • In subsequent layers, additional barrier layers 40 and interlevel dielectric layers 55 are alternatively deposited using conventional deposition methods, e.g., CVD. In each of these layers, alternating metal wirings 60 and vias 65 are formed using conventional lithography, etching and deposition methods. In embodiments, the metal wirings 60 can be formed using a conventional dual damascene process; although, the metal wirings 60 can also be formed using a conventional single damascene process. The metal wirings 60 can be formed from aluminum or copper, for example. The vias 65, on the other hand, can be formed from aluminum, copper or tungsten as already described herein. The last wiring layer 60 b is preferably aluminum. In embodiments, a polyimide layer 70 can be deposited on the upper most barrier layer 40 a.
  • FIG. 4 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 3, along line B-B. In this representative view, the guard ring structure 100′ is shown surrounding an active region 300. The active region 300 can include an array of semiconductor devices and wiring levels, separated from a kerf region 200 by the guard ring structure 100′. As shown in FIG. 4, the guard ring structure 100′ includes a gap (represented as reference numeral 105) under the diffusion regions 80 (formed below the STI regions 30), thus making it a discontinuous (or segmented) guard ring structure 100′. In embodiments, one or more of the diffusion regions 80 can be extended to an edge of the kerf 200. As described with regard to FIG. 3, the discontinuous guard ring structure 100 includes the diffusion regions 75, 80 and 90, which will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the substrate.
  • FIG. 5 shows a top view of the structure of FIG. 3, along line C-C. In this representative view, the guard ring structure 100′ is shown separating the active region 300 from the kerf region 200. As shown in FIG. 5, the guard ring structure 100′ includes a gap (represented as reference numeral 105) under the STI regions 30, thus making it a discontinuous (or segmented) guard ring structure 100′. The diffusion regions 90 are shown abutting directly against the STI regions 30. As should be understood, the diffusion regions 90 are provided directly on top of the diffusion regions 75 (not shown).
  • FIG. 6 shows an alternative or additional structure in accordance with aspects of the present invention. In this alternative or additional embodiment, the diffusion regions 90, e.g., p+ regions, can be formed directly on the substrate and more particularly directly on the p-well diffusion regions 80. In this way, the p-wells provide a stable ground potential across the chip. In embodiments, the structure shown in FIG. 6 can be combined with the structure shown in FIG. 4 (shown in another cross-sectional view).
  • FIG. 7 shows a cross-sectional view of a segmented guard ring structure with an electrically insulated gap and respective processing steps in a high resistivity substrate, in accordance with additional aspects of the present invention. More specifically, FIG. 7 shows a structure 5″ comprising a segmented guard ring structure 100″ with an electrically insulated gap 105 in a substrate 10″ of high resistivity substrate, with resistivity much greater than 50 ohm-cm resistivity, e.g., P-type high resistivity. In embodiments, the structure of FIG. 7 can be implemented in BULK technologies using an epi substrate 20″. In embodiments, the epi substrate 20″can be a Si substrate; although other high resistivity materials are also contemplated by the present invention.
  • In FIG. 7, the guard ring structure 100″ comprises, for example, diffusion regions 75′ and 90′ with a noble gas, e.g., argon, implant region 85, under an STI region 30, in addition to contact structure 50, metal wirings 60 and vias 65 formed in a plurality of wiring levels depicted as M1 to Mx. As with other embodiments, any number of wiring levels is contemplated by the present invention.
  • In embodiments, the well diffusion regions 75 and 90 are formed in the epi layer of substrate material 20″ formed on the substrate 10″. In embodiments, the epi layer of substrate material 20″ can be a semiconductor material, e.g., Si, epitaxially grown on the substrate 10″. In embodiments, the well diffusion regions 75′ are preferably p-wells, and the well diffusion regions 90 are preferably P+ regions, both of which are formed in the substrate material 20″. In this implementation, the diffusion regions 75′ and 90′ can be formed using conventional ion implantation processes as described herein, and will provide an electrical insulation within the gap 105 in order to reduce and/or eliminate device coupling due to the conductivity of the substrate 10′. In embodiments, due to an inadvertent doping in the substrate material 20″, argon or other noble gas can be implanted below the STI region 30, between the diffusion regions 75′, to form implant region 85 within the gap 105.
  • The remaining structure is formed in a similar manner described with reference to FIGS. 1 and 3. For example, the barrier layer 40 can be a Si3N4, which is blanket deposited using a chemical vapor deposition (CVD) process. A BSPG layer 45 is then deposited on the Si3N4. A contact structure 50 is formed in the BSPG layer 45 and the barrier layer 40, contacting the underlying diffusion region 90. In embodiments, the contact structure 50 comprises tungsten; although other materials are also contemplated by the present invention, e.g., aluminum or copper. In subsequent layers, additional barrier layers 40 and interlevel dielectric layers 55 are alternatively deposited using conventional deposition methods, e.g., CVD. In each of these layers, alternating metal wirings 60 and vias 65 are formed using conventional lithography, etching and deposition methods as already described herein. The metal wirings 60 can be formed from aluminum or copper, for example; whereas, the vias 65, on the other hand, can be formed from aluminum, copper or tungsten as already described herein. The last wiring layer 60 b is preferably aluminum. In embodiments, a polyimide layer 70 can be deposited on the upper most barrier layer 40 a.
  • FIG. 8 shows a top view of the segmented guard ring structure with an electrically insulated gap of FIG. 7, along line D-D. In this representative view, the guard ring structure 100′ is shown surrounding an active region 300. The active region 300 can include an array of semiconductor devices and wiring levels, separated from a kerf region 200 by the guard ring structure 100″. As shown in FIG. 8, the guard ring structure 100″ includes a gap (represented as reference numeral 105) at the implant regions 85 (formed below the STI regions 30), thus making it a discontinuous (or segmented) guard ring structure 100″. In embodiments, one or more of the implant regions 85 can be extended to an edge of the kerf 200. Also, in additional or alternative embodiments, the implant regions 85 can be formed in direct contact with the substrate 10″, while surrounding the p-well regions 75″.
  • FIG. 9 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test. FIG. 9 shows a block diagram of an exemplary design flow 900 used for example, in semiconductor IC logic design, simulation, test, layout, and manufacture. Design flow 900 includes processes, machines and/or mechanisms for processing design structures or devices to generate logically or otherwise functionally equivalent representations of the design structures and/or devices described above and shown in FIGS. 1-8. The design structures processed and/or generated by design flow 900 may be encoded on machine-readable transmission or storage media to include data and/or instructions that when executed or otherwise processed on a data processing system generate a logically, structurally, mechanically, or otherwise functionally equivalent representation of hardware components, circuits, devices, or systems. Machines include, but are not limited to, any machine used in an IC design process, such as designing, manufacturing, or simulating a circuit, component, device, or system. For example, machines may include: lithography machines, machines and/or equipment for generating masks (e.g. e-beam writers), computers or equipment for simulating design structures, any apparatus used in the manufacturing or test process, or any machines for programming functionally equivalent representations of the design structures into any medium (e.g. a machine for programming a programmable gate array).
  • Design flow 900 may vary depending on the type of representation being designed. For example, a design flow 900 for building an application specific IC (ASIC) may differ from a design flow 900 for designing a standard component or from a design flow 900 for instantiating the design into a programmable array, for example a programmable gate array (PGA) or a field programmable gate array (FPGA) offered by Altera® Inc. or Xilinx® Inc.
  • FIG. 9 illustrates multiple such design structures including an input design structure 920 that is preferably processed by a design process 910. Design structure 920 may be a logical simulation design structure generated and processed by design process 910 to produce a logically equivalent functional representation of a hardware device. Design structure 920 may also or alternatively comprise data and/or program instructions that when processed by design process 910, generate a functional representation of the physical structure of a hardware device. Whether representing functional and/or structural design features, design structure 920 may be generated using electronic computer-aided design (ECAD) such as implemented by a core developer/designer. When encoded on a machine-readable data transmission, gate array, or storage medium, design structure 920 may be accessed and processed by one or more hardware and/or software modules within design process 910 to simulate or otherwise functionally represent an electronic component, circuit, electronic or logic module, apparatus, device, or system such as those shown in FIGS. 1-8. As such, design structure 920 may comprise files or other data structures including human and/or machine-readable source code, compiled structures, and computer-executable code structures that when processed by a design or simulation data processing system, functionally simulate or otherwise represent circuits or other levels of hardware logic design. Such data structures may include hardware-description language (HDL) design entities or other data structures conforming to and/or compatible with lower-level HDL design languages such as Verilog and VHDL, and/or higher level design languages such as C or C++.
  • Design process 910 preferably employs and incorporates hardware and/or software modules for synthesizing, translating, or otherwise processing a design/simulation functional equivalent of the components, circuits, devices, or logic structures shown in FIGS. 1-8 to generate a netlist 980 which may contain design structures such as design structure 920. Netlist 980 may comprise, for example, compiled or otherwise processed data structures representing a list of wires, discrete components, logic gates, control circuits, I/O devices, models, etc. that describes the connections to other elements and circuits in an integrated circuit design. Netlist 980 may be synthesized using an iterative process in which netlist 980 is resynthesized one or more times depending on design specifications and parameters for the device. As with other design structure types described herein, netlist 980 may be recorded on a machine-readable data storage medium or programmed into a programmable gate array. The medium may be a non-volatile storage medium such as a magnetic or optical disk drive, a programmable gate array, a compact flash, or other flash memory. Additionally, or in the alternative, the medium may be a system or cache memory, buffer space, or electrically or optically conductive devices and materials on which data packets may be transmitted and intermediately stored via the Internet, or other networking suitable means.
  • Design process 910 may include hardware and software modules for processing a variety of input data structure types including netlist 980. Such data structure types may reside, for example, within library elements 930 and include a set of commonly used elements, circuits, and devices, including models, layouts, and symbolic representations, for a given manufacturing technology (e.g., different technology nodes, 32 nm, 45 nm, 90 nm, etc.). The data structure types may further include design specifications 940, characterization data 950, verification data 960, design rules 970, and test data files 985 which may include input test patterns, output test results, and other testing information. Design process 910 may further include, for example, standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, process simulation for operations such as casting, molding, and die press forming, etc. One of ordinary skill in the art of mechanical design can appreciate the extent of possible mechanical design tools and applications used in design process 910 without deviating from the scope and spirit of the invention. Design process 910 may also include modules for performing standard circuit design processes such as timing analysis, verification, design rule checking, place and route operations, etc.
  • Design process 910 employs and incorporates logic and physical design tools such as HDL compilers and simulation model build tools to process design structure 920 together with some or all of the depicted supporting data structures along with any additional mechanical design or data (if applicable), to generate a second design structure 990.
  • Design structure 990 resides on a storage medium or programmable gate array in a data format used for the exchange of data of mechanical devices and structures (e.g. information stored in a IGES, DXF, Parasolid XT, JT, DRG, or any other suitable format for storing or rendering such mechanical design structures). Similar to design structure 920, design structure 990 preferably comprises one or more files, data structures, or other computer-encoded data or instructions that reside on transmission or data storage media and that when processed by an ECAD system generate a logically or otherwise functionally equivalent form of one or more of the embodiments of the invention shown in FIGS. 1-8. In one embodiment, design structure 990 may comprise a compiled, executable HDL simulation model that functionally simulates the devices shown in FIGS. 1-8.
  • Design structure 990 may also employ a data format used for the exchange of layout data of integrated circuits and/or symbolic data format (e.g. information stored in a GDSII (GDS2), GL1, OASIS, map files, or any other suitable format for storing such design data structures). Design structure 990 may comprise information such as, for example, symbolic data, map files, test data files, design content files, manufacturing data, layout parameters, wires, levels of metal, vias, shapes, data for routing through the manufacturing line, and any other data required by a manufacturer or other designer/developer to produce a device or structure as described above and shown in FIGS. 1-8. Design structure 990 may then proceed to a stage 995 where, for example, design structure 990: proceeds to tape-out, is released to manufacturing, is released to a mask house, is sent to another design house, is sent back to the customer, etc.
  • The methods as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (14)

What is claimed:
1. A structure comprising:
a discontinuous guard ring structure in dielectric layers comprising a plurality of metal layers surrounding an active area of a chip;
a gap in an underlying substrate formed between segments of the discontinuous guard ring structure; and
an electrically insulating structure within the gap to reduce or eliminate device coupling of integrated circuit chips.
2. The structure of claim 1, further comprising diffusion regions to electrically insulate the gap in the underlying substrate formed by segmented portions of the discontinuous guard ring structure.
3. The structure of claim 2, wherein the diffusion regions are formed in a silicon on insulator (SOI) substrate.
4. The structure of claim 3, wherein the diffusion regions are abutting edges of a shallow trench isolation (STI) structure formed in the SOI substrate.
5. The structure of claim 4, wherein the diffusion regions are in direct contact with the plurality of metal layers of the discontinuous guard ring structure.
6. The structure of claim 2, wherein the diffusion regions are in a low resistivity substrate.
7. The structure of claim 6, further comprising an oppositely charged diffusion region between the diffusion regions in the low resistivity substrate.
8. The structure of claim 6, further comprising additional diffusion regions in an upper portion of the low resistivity substrate, wherein the additional diffusion regions are in contact with the diffusion regions in the low resistivity substrate and the plurality of metal layers of the discontinuous guard ring structure.
9. The structure of claim 8, wherein the additional diffusion regions are abutting an STI structure.
10. The structure of claim 8, wherein the additional diffusion regions are directly on p-well diffusion regions.
11. The structure of claim 2, wherein the diffusion regions are in a high resistivity substrate.
12. The structure of claim 11, further comprising a noble implant region in the high resistivity substrate between the diffusion regions.
13. The structure of claim 12, wherein the noble implant region comprises implanted argon into the high resistivity substrate, below an STI structure.
14. A hardware description language (HDL) design structure encoded on a machine-readable data storage medium, the HDL design structure comprising elements that when processed in a computer-aided design system generates a machine-executable representation of a guard ring structure with an electrically insulated gap, wherein the guard ring structure with the electrically insulated gap comprises:
a discontinuous guard ring structure in dielectric layers comprising a plurality of metal layers surrounding an active area of a chip;
a gap in an underlying substrate formed between segments of the discontinuous guard ring structure; and
an electrically insulating structure within the gap to reduce or eliminate device coupling of integrated circuit chips.
US14/520,648 2013-03-01 2014-10-22 Segmented guard ring structures with electrically insulated gap structures and design structures thereof Abandoned US20150035112A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/520,648 US20150035112A1 (en) 2013-03-01 2014-10-22 Segmented guard ring structures with electrically insulated gap structures and design structures thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/782,537 US8987067B2 (en) 2013-03-01 2013-03-01 Segmented guard ring structures with electrically insulated gap structures and design structures thereof
US14/520,648 US20150035112A1 (en) 2013-03-01 2014-10-22 Segmented guard ring structures with electrically insulated gap structures and design structures thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/782,537 Division US8987067B2 (en) 2013-03-01 2013-03-01 Segmented guard ring structures with electrically insulated gap structures and design structures thereof

Publications (1)

Publication Number Publication Date
US20150035112A1 true US20150035112A1 (en) 2015-02-05

Family

ID=51420573

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/782,537 Active US8987067B2 (en) 2013-03-01 2013-03-01 Segmented guard ring structures with electrically insulated gap structures and design structures thereof
US14/520,648 Abandoned US20150035112A1 (en) 2013-03-01 2014-10-22 Segmented guard ring structures with electrically insulated gap structures and design structures thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/782,537 Active US8987067B2 (en) 2013-03-01 2013-03-01 Segmented guard ring structures with electrically insulated gap structures and design structures thereof

Country Status (2)

Country Link
US (2) US8987067B2 (en)
WO (1) WO2014132242A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180078639A1 (en) * 2015-03-20 2018-03-22 Syndax Pharmaceuticals, Inc. Combination of hdac inhibitor and anti-pd-1 antibody for treatment of cancer
US11300610B1 (en) 2020-12-30 2022-04-12 Winbond Electronics Corp. Integrated circuit, crack status detector and crack status detection method

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10315915B2 (en) * 2015-07-02 2019-06-11 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
US10438902B2 (en) 2017-09-07 2019-10-08 Globalfoundries Inc. Arc-resistant crackstop
US10770412B2 (en) 2018-08-23 2020-09-08 Globalfoundries Inc. Guard ring for photonic integrated circuit die
CN113053828B (en) * 2021-03-12 2022-05-27 长鑫存储技术有限公司 Sealing ring and forming method thereof
US11855005B2 (en) 2021-06-21 2023-12-26 Globalfoundries U.S. Inc. Crackstop with embedded passive radio frequency noise suppressor and method
CN117371171B (en) * 2023-08-31 2024-05-24 湖北江城实验室科技服务有限公司 Evaluation method for reliability of sealing ring

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100012950A1 (en) * 2008-07-17 2010-01-21 International Business Machines Corporation Crackstop structures and methods of making same
US20110260318A1 (en) * 2010-04-24 2011-10-27 Robert Eisenstadt Integrated circuits with multiple I/O regions
US20120313217A1 (en) * 2011-06-13 2012-12-13 Mediatek Inc. Seal ring structure with capacitor

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4688063A (en) * 1984-06-29 1987-08-18 International Business Machines Corporation Dynamic ram cell with MOS trench capacitor in CMOS
KR100199368B1 (en) 1996-06-21 1999-06-15 김영환 Contact mask used in manufacturing semiconductor devices
CH691098A5 (en) 1997-03-24 2001-04-12 Em Microelectronic Marin Sa monolithic structure of integrated circuit and antenna coil provided with a peripheral protective ring.
EP1071130A3 (en) 1999-07-14 2005-09-07 Matsushita Electric Industrial Co., Ltd. Semiconductor device interconnection structure comprising additional capacitors
JP2001085630A (en) 1999-07-14 2001-03-30 Matsushita Electric Ind Co Ltd Semiconductor device and fabrication method thereof
TW451423B (en) 2000-02-01 2001-08-21 Ind Tech Res Inst Latch-up structure for improving CMOS processing using latch-up ion implantation and the manufacturing method thereof
US20020167071A1 (en) 2001-05-10 2002-11-14 Mu-Chun Wang Guard ring for protecting integrated circuits
JP4065855B2 (en) * 2004-01-21 2008-03-26 株式会社日立製作所 Biological and chemical sample inspection equipment
US20060092592A1 (en) 2004-10-14 2006-05-04 Taiwan Semiconductor Manufacturing Co. ESD protection circuit with adjusted trigger voltage
US20060267154A1 (en) 2005-05-11 2006-11-30 Pitts Robert L Scribe seal structure for improved noise isolation
US7547572B2 (en) 2005-11-16 2009-06-16 Emcore Corporation Method of protecting semiconductor chips from mechanical and ESD damage during handling
US7408206B2 (en) 2005-11-21 2008-08-05 International Business Machines Corporation Method and structure for charge dissipation in integrated circuits
US7382039B2 (en) 2006-02-08 2008-06-03 Freescale Semiconductor, Inc. Edge seal for improving integrated circuit noise isolation
US7466284B2 (en) 2006-11-30 2008-12-16 International Business Machines Corporation Chip seal ring having a serpentine geometry
JP2008227116A (en) 2007-03-13 2008-09-25 Renesas Technology Corp Semiconductor device and its manufacturing method
US8188578B2 (en) 2008-05-29 2012-05-29 Mediatek Inc. Seal ring structure for integrated circuits
US8169055B2 (en) 2009-03-18 2012-05-01 International Business Machines Corporation Chip guard ring including a through-substrate via
JP5224289B2 (en) 2009-05-12 2013-07-03 三菱電機株式会社 Semiconductor device
US8464589B2 (en) 2010-10-14 2013-06-18 Solid State System Co., Ltd. Micro-electromechanical systems (MEMS) structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100012950A1 (en) * 2008-07-17 2010-01-21 International Business Machines Corporation Crackstop structures and methods of making same
US20110260318A1 (en) * 2010-04-24 2011-10-27 Robert Eisenstadt Integrated circuits with multiple I/O regions
US20120313217A1 (en) * 2011-06-13 2012-12-13 Mediatek Inc. Seal ring structure with capacitor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180078639A1 (en) * 2015-03-20 2018-03-22 Syndax Pharmaceuticals, Inc. Combination of hdac inhibitor and anti-pd-1 antibody for treatment of cancer
US11300610B1 (en) 2020-12-30 2022-04-12 Winbond Electronics Corp. Integrated circuit, crack status detector and crack status detection method

Also Published As

Publication number Publication date
WO2014132242A1 (en) 2014-09-04
US20140246752A1 (en) 2014-09-04
US8987067B2 (en) 2015-03-24

Similar Documents

Publication Publication Date Title
US8987067B2 (en) Segmented guard ring structures with electrically insulated gap structures and design structures thereof
US9530711B2 (en) Silicon-on-insulator heat sink
US8188574B2 (en) Pedestal guard ring having continuous M1 metal barrier connected to crack stop
US9874690B2 (en) Integrated waveguide structure with perforated chip edge seal
US10580686B2 (en) Semiconductor structure with integrated passive structures
US8748985B2 (en) Semiconductor structures with thinned junctions and methods of manufacture
US10163892B2 (en) Silicon controlled rectifiers (SCR), methods of manufacture and design structures
US8912625B2 (en) Semiconductor-on-insulator device with asymmetric structure
US8614121B2 (en) Method of manufacturing back gate triggered silicon controlled rectifiers
US9129910B2 (en) Wafer processing
US20130328159A1 (en) Implementing isolated silicon regions in silicon-on-insulator (soi) wafers using bonded-wafer technique

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BARRY, ROBERT L.;CHAPMAN, PHILLIP F.;GAMBINO, JEFFREY P.;AND OTHERS;REEL/FRAME:034006/0978

Effective date: 20130221

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117