US20140033981A1 - MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates - Google Patents

MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates Download PDF

Info

Publication number
US20140033981A1
US20140033981A1 US14/046,360 US201314046360A US2014033981A1 US 20140033981 A1 US20140033981 A1 US 20140033981A1 US 201314046360 A US201314046360 A US 201314046360A US 2014033981 A1 US2014033981 A1 US 2014033981A1
Authority
US
United States
Prior art keywords
wafer
temperature
chamber
mocvd
radiation source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/046,360
Inventor
Clement Hsingjen Wann
Chih-Hsin Ko
Cheng-Hsien WU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/046,360 priority Critical patent/US20140033981A1/en
Publication of US20140033981A1 publication Critical patent/US20140033981A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Definitions

  • This disclosure relates generally to integrated circuit devices, and more particularly to the formation of III-V compound semiconductors on silicon substrates using metal organic chemical vapor deposition (MOCVD).
  • MOCVD metal organic chemical vapor deposition
  • MOS transistors The speed of metal-oxide-semiconductor (MOS) transistors is closely related to the drive currents of the MOS transistors, which drive currents are further closely related to the mobility of charges.
  • MOS transistors have high drive currents when the electron mobility in their channel regions is high
  • PMOS transistors have high drive currents when the hole mobility in their channel regions is high.
  • III-V compound semiconductors Compound semiconductor materials of group III and group V elements (referred to as III-V compound semiconductors hereinafter) are good candidates for forming transistors due to their high electron mobility. Therefore, III-V based transistors have been explored. However, III-V compound semiconductor films need to be grown on other substrates because it is difficult to obtain bulk III-V crystals. The growth of III-V compound semiconductor films on dissimilar substrates faces difficulties because these substrates have lattice constants and thermal expansion coefficients different than that of the III-V compound semiconductors. Various methods have been used to form high quality III-V compound semiconductors. For example, III-V compound semiconductors were grown from trenches between shallow trench isolation regions to reduce the number of threading dislocations.
  • III-V compound semiconductors may be formed on silicon substrates with a ⁇ 111> surface orientation, which silicon substrates are known as Si(111) substrates. It was found that immediately after being cleaved or etched, Si(111) substrates may have 1 ⁇ 1 or 2 ⁇ 1 reconstructions (with the respective surfaces denoted as Si(111):1 ⁇ 1 surfaces or Si(111):2x1 surfaces hereinafter). However, after being annealed at about 400° C., the Si(111) surface may be reconstructed to form a stable Si(111):7 ⁇ 7 surface (which is a Si(111) surface with a 7 ⁇ 7 reconstruction). The Si(111):7 ⁇ 7 surfaces are not suitable for growing high-quality III-V compound semiconductors.
  • III-V compound semiconductors needs to be grown at temperatures lower than 900° C. When the temperatures of Si(111) substrates are lowered to the temperatures for growth, the Si(111):1 ⁇ 1 surfaces are again converted back to Si(111):7 ⁇ 7 surfaces, and the resulting III-V compound semiconductors may have many stacking faults.
  • a device includes providing a silicon substrate; annealing the silicon substrate at a first temperature higher than about 900° C.; and lowering a temperature of the silicon substrate from the first temperature to a second temperature.
  • a temperature lowering rate during the step of lowering the temperature is greater than about 1° C./second.
  • a III-V compound semiconductor region is epitaxially grown on a surface of the silicon substrate using metal organic chemical vapor deposition (MOCVD).
  • MOCVD metal organic chemical vapor deposition
  • FIGS. 1 through 4C and FIGS. 7A through 7C are cross-sectional views and top views of intermediate stages in the epitaxial growth of a III-V compound semiconductor region on a silicon substrate in accordance with various embodiments;
  • FIG. 5 illustrates an apparatus for epitaxially growing III-V compound semiconductor region using metal organic chemical vapor deposition (MOCVD).
  • MOCVD metal organic chemical vapor deposition
  • FIG. 6 illustrates the temperature of a silicon substrate as a function of time.
  • a novel method for forming III-V compound semiconductors comprising group III and group V elements is provided in accordance with an embodiment.
  • the intermediate stages of manufacturing embodiments are illustrated.
  • like reference numbers are used to designate like elements.
  • substrate 10 which is a part of semiconductor wafer 2 , is provided.
  • substrate 10 is a Si(001) substrate with major surface 10 a having ⁇ 001> surface orientation, and the respective surface is referred to as a Si(001) surface hereinafter.
  • Insulation regions such as shallow trench isolation (STI) regions 14 are formed in substrate 10 .
  • the formation of STI regions 14 includes forming openings (now filled with STI regions 14 ) in substrate 10 , and filling the openings with a dielectric material(s).
  • Distance W between opposite sidewalls of STI regions 14 may be less than about 1,000 nm, for example, although different widths W may be used.
  • Recessing depth D1 may be less than thickness D2 of insulation regions 14 . Furthermore, recessing depth D1 may be between about 100 nm and about 500 nm, for example.
  • the etching of substrate 10 is performed using a wet etch, with a KOH solution, for example, used as an etchant. Accordingly, slanted surfaces 20 are formed. Slant surfaces 20 may be substantially straight, and may be (111) surfaces, which are referred to as Si(111) surfaces hereinafter.
  • FIG. 2B illustrates a top view of wafer 2 , wherein crystal directions ⁇ 1-10>, ⁇ 110>, ⁇ 1-10>, and ⁇ 110> of silicon substrate 10 are marked. The ⁇ 110> and ⁇ 100> notches are also marked.
  • the longitudinal direction of trench 18 is parallel to ⁇ 1-10> and ⁇ 110> directions.
  • the longitudinal direction of trench 18 is parallel to ⁇ 1-10> and ⁇ 110> directions.
  • FIGS. 3 through 4B illustrate alternative embodiments,
  • substrate 10 is a Si(111) substrate with major surface 10 a being a Si(111) surface.
  • surface orientation A of major surface 10 a may be close to ⁇ 111> direction (surface orientation), with off angle ⁇ .
  • Off angle ⁇ may be greater than about 6 degrees, greater than about 12 degrees, greater than about 15 degrees, and may even be greater than about 20 degrees. Further, off angle ⁇ may be between about 6 degrees and about 12 degrees. In an embodiment, off angle ⁇ deviates from ⁇ 111> direction and tilts toward ⁇ 1-12> direction, as schematically illustrated in FIG. 3 .
  • STI regions 14 is also formed in substrate 10 .
  • trench 18 is formed by etching the portion of substrate 10 between opposite sidewalls of STI regions 14 .
  • an etchant that attacks silicon in ⁇ 111> direction more than other directions is used, so that substantially flat bottom 21 is formed. Accordingly, bottom surface 21 has essentially the same surface orientation as major surface 10 a .
  • the etchant is an HCl solution.
  • dielectric layer 22 is formed on surface 10 a of substrate 10 , for example, using a deposition method. A portion of surface 10 a is exposed through trench 24 in dielectric layer 22 . Dielectric layer 22 may be formed of silicon oxide, silicon nitride, or the like. Using this method, the exposed portion of surface 10 a also has the original surface orientation.
  • FIG. 4C illustrates a top view of the structure shown in FIGS. 4A or 4 B, wherein crystal directions of silicon substrate 10 are marked.
  • the longitudinal direction of trench 24/18 is parallel to ⁇ 110> and ⁇ 1-10> directions.
  • the longitudinal direction of trench 24 is parallel to ⁇ 11-2> and ⁇ 1-12> directions.
  • the Si(111) surfaces may have 1 ⁇ 1 reconstructions (with the respective surfaces referred to as Si(111):1 ⁇ 1 surfaces hereinafter), for example, immediately after substrate 10 cleaved or etched.
  • the Si(111):1 ⁇ 1 surface may be undesirably converted to surfaces having a stable 7 ⁇ 7 reconstruction, which surfaces are referred to as Si(111):7 ⁇ 7 surfaces hereinafter. Since Si(111):7 ⁇ 7 surfaces are not suitable for growing III-V compound semiconductors, an annealing is performed on wafer 2 (as shown in FIGS. 2A , 4 A, and 4 B) to convert the Si(111):7 ⁇ 7 surfaces back to Si(111):1 ⁇ 1 surfaces.
  • the annealing temperature may be between about 500° C. and about 900° C., or even greater than about 900° C. In an exemplary embodiment, the annealing temperature is about 1000° C.
  • process gases such as N 2 and AsH 3 may be introduced into the annealing chamber.
  • the duration of the annealing may be between about 1 minute and about 30 minutes. After the annealing, the likely Si(111):7 ⁇ 7 surfaces at the bottom of trench 18/24 are converted to Si(111):1 ⁇ 1 surfaces.
  • the annealing of wafer 2 may be performed in chamber 30 of production tool 32 , which is configured for performing metal organic chemical mechanical vapor depositions (MOCVDs).
  • MOCVD metal organic chemical mechanical vapor depositions
  • the heating of wafers were achieved using coils, and when temperatures of the wafers need to be lowered, inert gases are introduced to cool the wafers. The temperature lowering rate was accordingly low.
  • the annealing of wafer 2 is achieved using radiation source 34 , which may be used for rapid thermal annealing (RTA).
  • radiation source 34 is a flash lamp, which heats wafer 2 to the annealing temperature.
  • the temperature of wafer 2 is rapidly lowered to an epitaxial growth temperature used for epitaxially growing III-V compound semiconductor region 40 , as shown in FIGS. 7A and 7B .
  • the epitaxial growth temperature is lower than about 400° C., and may be about 350° C., although it may be higher or lower.
  • the steps of annealing wafer 2 , the temperature lowering, and the epitaxially growth of III-V compound semiconductor region 40 may be in-situ performed, with no vacuum break occurring from the time the annealing is started to the time the epitaxial growth is ended.
  • the lowering of the temperature may be achieved by either reducing the power of radiation source 34 , or turning off the power of radiation source 34 .
  • the maintaining of the epitaxial growth temperature during the epitaxial growth may be achieved either through the use of coil 36 to heat wafer 2 , or the use of radiation source 34 with the power provided to radiation source 34 lower than the power used for annealing.
  • FIG. 6 schematically illustrates the temperature of wafer 2 as a function of time.
  • wafer 2 may be at a room temperature.
  • radiation source 34 FIG. 5
  • Temp 1 is the annealing temperature that is higher than about 900° C.
  • T1 the annealing temperature
  • a quenched temperature lowering is performed, which time period is denoted as T2.
  • T2 time period
  • the power of radiation source 34 ( FIG. 5 ) is either reduced or turned off.
  • the temperature lowering rate during an entire time period T2 is higher than about 0.5° C./second, higher than about 1° C./second, or even higher than about 5° C./second or 10° C./second.
  • the temperature lowering rate is between about 0.5° C./second and about 10° C./second.
  • III-V compound semiconductor regions 40 may be formed of a III-V compound semiconductor material comprising, but is not limited to, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, combinations thereof, and multi-layers thereof.
  • the top surfaces of III-V compound semiconductor regions 40 may be level with, higher than, or lower than, top surfaces 10 a of substrates 10 .
  • the undesirable Si(111):7 ⁇ 7 surface is converted to desirable Si(111):1 ⁇ 1 surface that is better suited for growing III-V compound semiconductors.
  • the Si(111):1 ⁇ 1 surfaces resulted from the annealing may be preserved until the III-V compound semiconductors are grown.

Abstract

A device includes providing a silicon substrate; annealing the silicon substrate at a first temperature higher than about 900° C.; and lowering a temperature of the silicon substrate from the first temperature to a second temperature. A temperature lowering rate during the step of lowering the temperature is greater than about 1° C./second. A III-V compound semiconductor region is epitaxially grown on a surface of the silicon substrate using metal organic chemical vapor deposition (MOCVD).

Description

  • This application is a continuation of patent application Ser. No. 12/814,088, entitled “MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates,” filed on Jun. 11, 2010 which is incorporated herein by reference.
  • TECHNICAL FIELD
  • This disclosure relates generally to integrated circuit devices, and more particularly to the formation of III-V compound semiconductors on silicon substrates using metal organic chemical vapor deposition (MOCVD).
  • BACKGROUND
  • The speed of metal-oxide-semiconductor (MOS) transistors is closely related to the drive currents of the MOS transistors, which drive currents are further closely related to the mobility of charges. For example, NMOS transistors have high drive currents when the electron mobility in their channel regions is high, while PMOS transistors have high drive currents when the hole mobility in their channel regions is high.
  • Compound semiconductor materials of group III and group V elements (referred to as III-V compound semiconductors hereinafter) are good candidates for forming transistors due to their high electron mobility. Therefore, III-V based transistors have been explored. However, III-V compound semiconductor films need to be grown on other substrates because it is difficult to obtain bulk III-V crystals. The growth of III-V compound semiconductor films on dissimilar substrates faces difficulties because these substrates have lattice constants and thermal expansion coefficients different than that of the III-V compound semiconductors. Various methods have been used to form high quality III-V compound semiconductors. For example, III-V compound semiconductors were grown from trenches between shallow trench isolation regions to reduce the number of threading dislocations.
  • III-V compound semiconductors may be formed on silicon substrates with a <111> surface orientation, which silicon substrates are known as Si(111) substrates. It was found that immediately after being cleaved or etched, Si(111) substrates may have 1×1 or 2×1 reconstructions (with the respective surfaces denoted as Si(111):1×1 surfaces or Si(111):2x1 surfaces hereinafter). However, after being annealed at about 400° C., the Si(111) surface may be reconstructed to form a stable Si(111):7×7 surface (which is a Si(111) surface with a 7×7 reconstruction). The Si(111):7×7 surfaces are not suitable for growing high-quality III-V compound semiconductors. Previous research has revealed that through annealing at temperatures higher than 900° C., the Si(111):7×7 surfaces may be converted back to Si(111):1×1 surfaces. However, III-V compound semiconductors needs to be grown at temperatures lower than 900° C. When the temperatures of Si(111) substrates are lowered to the temperatures for growth, the Si(111):1×1 surfaces are again converted back to Si(111):7×7 surfaces, and the resulting III-V compound semiconductors may have many stacking faults.
  • SUMMARY OF THE INVENTION
  • In accordance with one aspect, a device includes providing a silicon substrate; annealing the silicon substrate at a first temperature higher than about 900° C.; and lowering a temperature of the silicon substrate from the first temperature to a second temperature. A temperature lowering rate during the step of lowering the temperature is greater than about 1° C./second. A III-V compound semiconductor region is epitaxially grown on a surface of the silicon substrate using metal organic chemical vapor deposition (MOCVD).
  • Other embodiments are also disclosed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1 through 4C and FIGS. 7A through 7C are cross-sectional views and top views of intermediate stages in the epitaxial growth of a III-V compound semiconductor region on a silicon substrate in accordance with various embodiments;
  • FIG. 5 illustrates an apparatus for epitaxially growing III-V compound semiconductor region using metal organic chemical vapor deposition (MOCVD); and
  • FIG. 6 illustrates the temperature of a silicon substrate as a function of time.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the embodiments of the disclosure are discussed in detail below. It should be appreciated, however, that the embodiments provide many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative, and do not limit the scope of the disclosure.
  • A novel method for forming III-V compound semiconductors comprising group III and group V elements is provided in accordance with an embodiment. The intermediate stages of manufacturing embodiments are illustrated. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
  • Referring to FIG. 1, substrate 10, which is a part of semiconductor wafer 2, is provided. In an embodiment, substrate 10 is a Si(001) substrate with major surface 10 a having <001> surface orientation, and the respective surface is referred to as a Si(001) surface hereinafter. Insulation regions such as shallow trench isolation (STI) regions 14 are formed in substrate 10. The formation of STI regions 14 includes forming openings (now filled with STI regions 14) in substrate 10, and filling the openings with a dielectric material(s). Distance W between opposite sidewalls of STI regions 14 may be less than about 1,000 nm, for example, although different widths W may be used.
  • Next, as shown in FIG. 2A, the portion of substrate 10 between opposite sidewalls of STI regions 14 is etched to form trench 18. Recessing depth D1 may be less than thickness D2 of insulation regions 14. Furthermore, recessing depth D1 may be between about 100 nm and about 500 nm, for example. In an embodiment, the etching of substrate 10 is performed using a wet etch, with a KOH solution, for example, used as an etchant. Accordingly, slanted surfaces 20 are formed. Slant surfaces 20 may be substantially straight, and may be (111) surfaces, which are referred to as Si(111) surfaces hereinafter.
  • FIG. 2B illustrates a top view of wafer 2, wherein crystal directions <1-10>, <−110>, <−1-10>, and <110> of silicon substrate 10 are marked. The <110> and <100> notches are also marked. In an embodiment, the longitudinal direction of trench 18 is parallel to <1-10> and <−110> directions. Alternatively, the longitudinal direction of trench 18 is parallel to <−1-10> and <110> directions.
  • FIGS. 3 through 4B illustrate alternative embodiments, Referring to FIG. 3, substrate 10 is a Si(111) substrate with major surface 10 a being a Si(111) surface. Alternatively, as shown in FIG. 3, surface orientation A of major surface 10 a may be close to <111> direction (surface orientation), with off angle α. Off angle α may be greater than about 6 degrees, greater than about 12 degrees, greater than about 15 degrees, and may even be greater than about 20 degrees. Further, off angle α may be between about 6 degrees and about 12 degrees. In an embodiment, off angle α deviates from <111> direction and tilts toward <−1-12> direction, as schematically illustrated in FIG. 3. STI regions 14 is also formed in substrate 10.
  • Referring to FIG. 4A, trench 18 is formed by etching the portion of substrate 10 between opposite sidewalls of STI regions 14. In an embodiment, an etchant that attacks silicon in <111> direction more than other directions is used, so that substantially flat bottom 21 is formed. Accordingly, bottom surface 21 has essentially the same surface orientation as major surface 10 a. In an exemplary embodiment, the etchant is an HCl solution.
  • In alternative embodiments, as shown in FIG. 4B, instead of forming STI regions 14 in substrate 10 and then recessing substrate 10, dielectric layer 22 is formed on surface 10 a of substrate 10, for example, using a deposition method. A portion of surface 10 a is exposed through trench 24 in dielectric layer 22. Dielectric layer 22 may be formed of silicon oxide, silicon nitride, or the like. Using this method, the exposed portion of surface 10 a also has the original surface orientation.
  • FIG. 4C illustrates a top view of the structure shown in FIGS. 4A or 4B, wherein crystal directions of silicon substrate 10 are marked. In an embodiment, the longitudinal direction of trench 24/18 is parallel to <−110> and <1-10> directions. Alternatively, the longitudinal direction of trench 24 is parallel to <11-2> and <−1-12> directions.
  • It is observed that the Si(111) surfaces may have 1×1 reconstructions (with the respective surfaces referred to as Si(111):1×1 surfaces hereinafter), for example, immediately after substrate 10 cleaved or etched. The Si(111):1×1 surface may be undesirably converted to surfaces having a stable 7×7 reconstruction, which surfaces are referred to as Si(111):7×7 surfaces hereinafter. Since Si(111):7×7 surfaces are not suitable for growing III-V compound semiconductors, an annealing is performed on wafer 2 (as shown in FIGS. 2A, 4A, and 4B) to convert the Si(111):7×7 surfaces back to Si(111):1×1 surfaces. The annealing temperature may be between about 500° C. and about 900° C., or even greater than about 900° C. In an exemplary embodiment, the annealing temperature is about 1000° C. During the annealing, process gases such as N2 and AsH3 may be introduced into the annealing chamber. The duration of the annealing may be between about 1 minute and about 30 minutes. After the annealing, the likely Si(111):7×7 surfaces at the bottom of trench 18/24 are converted to Si(111):1×1 surfaces.
  • Referring to FIG. 5, the annealing of wafer 2 may be performed in chamber 30 of production tool 32, which is configured for performing metal organic chemical mechanical vapor depositions (MOCVDs). In conventional MOCVD chambers, the heating of wafers were achieved using coils, and when temperatures of the wafers need to be lowered, inert gases are introduced to cool the wafers. The temperature lowering rate was accordingly low. In MOCVD tool 32, however, the annealing of wafer 2 is achieved using radiation source 34, which may be used for rapid thermal annealing (RTA). In an exemplary embodiment, radiation source 34 is a flash lamp, which heats wafer 2 to the annealing temperature.
  • After the annealing, the temperature of wafer 2 is rapidly lowered to an epitaxial growth temperature used for epitaxially growing III-V compound semiconductor region 40, as shown in FIGS. 7A and 7B. In an embodiment, the epitaxial growth temperature is lower than about 400° C., and may be about 350° C., although it may be higher or lower. The steps of annealing wafer 2, the temperature lowering, and the epitaxially growth of III-V compound semiconductor region 40 may be in-situ performed, with no vacuum break occurring from the time the annealing is started to the time the epitaxial growth is ended. The lowering of the temperature may be achieved by either reducing the power of radiation source 34, or turning off the power of radiation source 34. The maintaining of the epitaxial growth temperature during the epitaxial growth may be achieved either through the use of coil 36 to heat wafer 2, or the use of radiation source 34 with the power provided to radiation source 34 lower than the power used for annealing.
  • FIG. 6 schematically illustrates the temperature of wafer 2 as a function of time. Initially, wafer 2 may be at a room temperature. To perform the annealing, radiation source 34 (FIG. 5) heats wafer 2, so that the temperature of wafer 2 is rapidly increased to temperature Temp1, which is the annealing temperature that is higher than about 900° C. After period of time T1, the annealing is finished, and Si(111):7×7 surfaces of wafer 2 are converted to Si(111):1×1 surfaces.
  • After the annealing, a quenched temperature lowering is performed, which time period is denoted as T2. During time period T2, the power of radiation source 34 (FIG. 5) is either reduced or turned off. In an embodiment, the temperature lowering rate during an entire time period T2 is higher than about 0.5° C./second, higher than about 1° C./second, or even higher than about 5° C./second or 10° C./second. In an exemplary embodiment, the temperature lowering rate is between about 0.5° C./second and about 10° C./second.
  • When the temperature of wafer 2 is lowered to temperature temp2, which is suitable for epitaxially growing III-V compound semiconductor regions, an epitaxial growth is performed to grow III-V compound semiconductor region 40 in trenches 18/24 (FIGS. 2A, 4A, and 4B). The exemplary resulting structures are shown in FIGS. 7A through 7C. With the rapid temperature lowering from temperature temp1 to temperature temp2 (FIG. 5), the Si(111):1×1 surfaces formed at temperature temp1 do not change to Si(111):7×7 surfaces, even if during the temperature lowering process, the temperature of wafer 2 may pass a temperature range in which the transition from Si(111):1×1 to Si(111):7×7 typically occurs. Accordingly, when the epitaxial growth of III-V compound semiconductor region 40 starts, the surface of substrate 10 is still a Si(111):1×1 surface. The quality of the resulting III-V compound semiconductor region 40 is thus improved.
  • Referring again to FIGS. 7A through 7C, III-V compound semiconductor regions 40 may be formed of a III-V compound semiconductor material comprising, but is not limited to, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, combinations thereof, and multi-layers thereof. The top surfaces of III-V compound semiconductor regions 40 may be level with, higher than, or lower than, top surfaces 10 a of substrates 10.
  • In the embodiments, by performing an annealing to a silicon substrate before a III-V compound semiconductor region is epitaxially grown thereon, the undesirable Si(111):7×7 surface is converted to desirable Si(111):1×1 surface that is better suited for growing III-V compound semiconductors. Further, by rapidly lowering the temperatures of wafers from the annealing temperature to the growth temperature of III-V compound semiconductors, the Si(111):1×1 surfaces resulted from the annealing may be preserved until the III-V compound semiconductors are grown.
  • Although the embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the embodiments as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the disclosure.

Claims (20)

What is claimed is:
1. An apparatus for performing an epitaxial growth on a wafer, the apparatus comprising:
a production tool configured to perform a metal organic chemical vapor deposition (MOCVD) on the wafer, the production tool comprising:
a radiation source configured to heat the wafer to a temperature higher than about 900° C.
2. The apparatus of claim 1, wherein the production tool further comprises a chamber configured to maintain a vacuum environment, wherein the radiation source is located in the chamber.
3. The apparatus of claim 1, wherein the radiation source comprises a flash lamp.
4. The apparatus of claim 1 further comprising a coil configured to heat the wafer.
5. The apparatus of claim 4, wherein the radiation source is located over the wafer, and the coil is located under the wafer.
6. The apparatus of claim 1, wherein the radiation source is configured to perform rapid thermal annealing on the wafer.
7. The apparatus of claim 1, wherein the radiation source is configured to perform a Rapid Thermal Annealing (RTA) on the wafer.
8. The apparatus of claim 1 being configured to allow the wafer to cool at a temperature lowering rate higher than about 1° C.
9. The apparatus of claim 8 being configured to allow the wafer to cool at the temperature lowering rate higher than about 5° C.
10. The apparatus of claim 1 being configured to provide a first power to the radiation source, and a second power lower than the first power to the radiation source.
11. An apparatus for performing an epitaxial growth on a wafer, the apparatus comprising:
a chamber configured to maintain a vacuum environment; and
a flash lamp in the chamber and over the wafer, wherein the chamber and the flash lamp are comprised in a production tool configured to perform a metal organic chemical vapor deposition (MOCVD) on the wafer.
12. The apparatus of claim 11 further comprising a coil configured to heat the wafer in the chamber.
13. The apparatus of claim 12, wherein the coil is located under the wafer.
14. The apparatus of claim 11, wherein the flash lamp is configured to perform a Rapid Thermal Annealing (RTA) on the wafer.
15. The apparatus of claim 11 being configured to allow the wafer to cool at a temperature lowering rate higher than about 1° C.
16. The apparatus of claim 11 being configured to allow the wafer to cool at the temperature lowering rate higher than about 5° C.
17. The apparatus of claim 11 being configured to provide a first power to the flash lamp, and a second power lower than the first power to the flash lamp.
18. An apparatus for performing an epitaxial growth on a wafer, the apparatus comprising:
a chamber;
a flash lamp in the chamber and over the wafer, wherein the chamber and the flash lamp are comprised in a production tool configured to perform a metal organic chemical vapor deposition (MOCVD) on the wafer; and
a coil configured to heat the wafer in the chamber.
19. The apparatus of claim 18, wherein the apparatus is configured to heat the wafer using the flash lamp during the MOCVD.
20. The apparatus of claim 18, wherein the apparatus is configured to heat the wafer using the coil during the MOCVD.
US14/046,360 2010-06-11 2013-10-04 MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates Abandoned US20140033981A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/046,360 US20140033981A1 (en) 2010-06-11 2013-10-04 MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/814,088 US8609517B2 (en) 2010-06-11 2010-06-11 MOCVD for growing III-V compound semiconductors on silicon substrates
US14/046,360 US20140033981A1 (en) 2010-06-11 2013-10-04 MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/814,088 Continuation US8609517B2 (en) 2010-06-11 2010-06-11 MOCVD for growing III-V compound semiconductors on silicon substrates

Publications (1)

Publication Number Publication Date
US20140033981A1 true US20140033981A1 (en) 2014-02-06

Family

ID=45096547

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/814,088 Expired - Fee Related US8609517B2 (en) 2010-06-11 2010-06-11 MOCVD for growing III-V compound semiconductors on silicon substrates
US14/046,360 Abandoned US20140033981A1 (en) 2010-06-11 2013-10-04 MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/814,088 Expired - Fee Related US8609517B2 (en) 2010-06-11 2010-06-11 MOCVD for growing III-V compound semiconductors on silicon substrates

Country Status (1)

Country Link
US (2) US8609517B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9379204B2 (en) 2014-11-05 2016-06-28 International Business Machines Corporation Lattice matched aspect ratio trapping to reduce defects in III-V layer directly grown on silicon

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9099311B2 (en) 2013-01-31 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Double stepped semiconductor substrate
EP2804203A1 (en) * 2013-05-17 2014-11-19 Imec III-V device and method for manufacturing thereof
DE112013007072T5 (en) * 2013-06-28 2016-01-28 Intel Corporation Nano-structures and nano-features with Si (111) planes on Si (100) wafers for III-N epitaxy
US9029246B2 (en) * 2013-07-30 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming epitaxial structures
GB201321949D0 (en) 2013-12-12 2014-01-29 Ibm Semiconductor nanowire fabrication
US9406530B2 (en) * 2014-03-27 2016-08-02 International Business Machines Corporation Techniques for fabricating reduced-line-edge-roughness trenches for aspect ratio trapping
US9437675B1 (en) 2015-06-12 2016-09-06 International Business Machines Corporation eDRAM for planar III-V semiconductor devices
US9620360B1 (en) 2015-11-27 2017-04-11 International Business Machines Corporation Fabrication of semiconductor junctions
US9735010B1 (en) 2016-05-27 2017-08-15 International Business Machines Corporation Fabrication of semiconductor fin structures
US10249492B2 (en) 2016-05-27 2019-04-02 International Business Machines Corporation Fabrication of compound semiconductor structures
US20190378952A1 (en) * 2018-06-08 2019-12-12 Alliance For Sustainable Energy, Llc Enabling low-cost iii-v/si integration through nucleation of gap on v-grooved si substrates

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863327A (en) * 1997-02-10 1999-01-26 Micron Technology, Inc. Apparatus for forming materials
US20050023267A1 (en) * 2003-07-28 2005-02-03 Timans Paul J. Selective reflectivity process chamber with customized wavelength response and method
US20050051102A1 (en) * 2003-09-10 2005-03-10 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing substrate in chamber and maintenance method therefor
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20070020945A1 (en) * 2001-04-21 2007-01-25 Tegal Corporation Semiconductor processing system and method
US20090166351A1 (en) * 2007-12-28 2009-07-02 Ushiodenki Kabushiki Kaisha Substrate heating device and substrate heating method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635901B2 (en) * 2000-12-15 2003-10-21 Nobuhiko Sawaki Semiconductor device including an InGaAIN layer
US20070267722A1 (en) 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8173551B2 (en) * 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US20090032799A1 (en) * 2007-06-12 2009-02-05 Siphoton, Inc Light emitting device
US8183134B2 (en) * 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863327A (en) * 1997-02-10 1999-01-26 Micron Technology, Inc. Apparatus for forming materials
US20070020945A1 (en) * 2001-04-21 2007-01-25 Tegal Corporation Semiconductor processing system and method
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20050023267A1 (en) * 2003-07-28 2005-02-03 Timans Paul J. Selective reflectivity process chamber with customized wavelength response and method
US20050051102A1 (en) * 2003-09-10 2005-03-10 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing substrate in chamber and maintenance method therefor
US20090166351A1 (en) * 2007-12-28 2009-07-02 Ushiodenki Kabushiki Kaisha Substrate heating device and substrate heating method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9379204B2 (en) 2014-11-05 2016-06-28 International Business Machines Corporation Lattice matched aspect ratio trapping to reduce defects in III-V layer directly grown on silicon
US9406506B2 (en) 2014-11-05 2016-08-02 International Business Machines Corporation Lattice matched aspect ratio trapping to reduce defects in III-V layer directly grown on silicon

Also Published As

Publication number Publication date
US8609517B2 (en) 2013-12-17
US20110306179A1 (en) 2011-12-15

Similar Documents

Publication Publication Date Title
US8609517B2 (en) MOCVD for growing III-V compound semiconductors on silicon substrates
US8183134B2 (en) Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
KR101589797B1 (en) Methods for forming semiconductor materials in sti trenches
KR102370595B1 (en) Self aligned replacement fin formation
JP5063594B2 (en) Lattice-mismatched semiconductor structure with low dislocation defect density and related device manufacturing method
KR100382023B1 (en) Semiconductor device and method of manufacturing the same
US8119494B1 (en) Defect-free hetero-epitaxy of lattice mismatched semiconductors
WO2013121926A1 (en) Semiconductor device and method for manufacturing same
KR101294957B1 (en) Inverted trapezoidal recess for epitaxial growth
TWI423439B (en) Semiconductor device and method of manufacturing a semiconductor structure
CN103972059B (en) Method for forming semiconductor region in the trench
US10796905B2 (en) Manufacture of group IIIA-nitride layers on semiconductor on insulator structures
EP2315239A1 (en) A method of forming monocrystalline germanium or silicon germanium
US20100289116A1 (en) Selective Epitaxial Growth of Semiconductor Materials with Reduced Defects
US9397169B2 (en) Epitaxial structures
US20220149157A1 (en) Fully Strained Channel
JP5065676B2 (en) Method and layer structure for producing a strained layer on a substrate
US20100213512A1 (en) High-Mobility Channel Devices on Dislocation-Blocking Layers
US8242540B2 (en) Epitaxial growth of III-V compound semiconductors on silicon surfaces
US8518809B2 (en) Manufacturing method of silicon carbide single crystal
US20110062492A1 (en) High-Quality Hetero-Epitaxy by Using Nano-Scale Epitaxy Technology
US10546928B2 (en) Forming stacked twin III-V nano-sheets using aspect-ratio trapping techniques
JP2010135553A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION