US20130282988A1 - Method for Performing Cache Coherency in a Computer System - Google Patents

Method for Performing Cache Coherency in a Computer System Download PDF

Info

Publication number
US20130282988A1
US20130282988A1 US13/846,731 US201313846731A US2013282988A1 US 20130282988 A1 US20130282988 A1 US 20130282988A1 US 201313846731 A US201313846731 A US 201313846731A US 2013282988 A1 US2013282988 A1 US 2013282988A1
Authority
US
United States
Prior art keywords
cache
coherency
data
protocol
coherency protocols
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/846,731
Inventor
Steve C. Miller
Martin M. Deneroff
Kenneth C. Yeager
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hewlett Packard Enterprise Development LP
Original Assignee
Silicon Graphics International Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Graphics International Corp filed Critical Silicon Graphics International Corp
Priority to US13/846,731 priority Critical patent/US20130282988A1/en
Publication of US20130282988A1 publication Critical patent/US20130282988A1/en
Assigned to SILICON GRAPHICS, INC. reassignment SILICON GRAPHICS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MILLER, STEVEN C., DENEROFF, MARTIN M.
Assigned to SILICON GRAPHICS INTERNATIONAL, CORP. reassignment SILICON GRAPHICS INTERNATIONAL, CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SGI INTERNATIONAL, INC., SILICON GRAPHICS, INC. ET AL.
Assigned to SILICON GRAPHICS INTERNATIONAL, INC. reassignment SILICON GRAPHICS INTERNATIONAL, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SILICON GRAPHICS, INC
Assigned to SGI INTERNATIONAL, INC. reassignment SGI INTERNATIONAL, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SILICON GRAPHICS INTERNATIONAL, INC.
Assigned to SILICON GRAPHICS INTERNATIONAL CORP. reassignment SILICON GRAPHICS INTERNATIONAL CORP. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: SGI INTERNATIONAL, INC.
Assigned to HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP reassignment HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SILICON GRAPHICS INTERNATIONAL CORP.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0808Multiuser, multiprocessor or multiprocessing cache systems with cache invalidating means

Definitions

  • the present invention relates in general to multi-processor computing systems and more particularly to a method for performing cache coherency in a computer system.
  • a write invalidate scheme allows for a processor to modify the data in its associated cache at a particular time and force the other processors to invalidate that data in their respective caches.
  • the modifying processor is then forced to write the modified data back to the main memory.
  • a method of performing cache coherency in a computer system that includes selecting one of a plurality of coherency protocols for a first memory transaction. Cache coherency is performed for the first memory transaction on caches in the computer system in accordance with the one of the plurality of coherency protocols selected for the first memory transaction.
  • the plurality of cache coherency protocols includes invalidation, update, exclusive, and update once.
  • Each cache coherency protocol provides a specific process for maintaining cache coherency in a computing system. Selection of a cache coherency protocol can be performed on a dynamic basis for each memory transaction to be processed.
  • the present invention provides various technical advantages over conventional cache coherency techniques. For example, one technical advantage is the capability to selectively use other coherency and consistency mechanisms for memory update transactions. Another technical advantage is to develop a computer system with dramatically increased delivered performance with respect to other more standard computers designed with similar integrated circuit technology. Embodiments of the present invention may incorporate all, some, or none of these technical advantages while other technical advantages may be readily apparent to those skilled in the art from the following figures, description, and claims.
  • FIG. 1 illustrates an example multiprocessor computing system.
  • FIG. 1 shows a simplified block diagram of a multiprocessor computing system 10 .
  • Computing system 10 includes a plurality of nodes 12 . Each node includes a processor 14 , input/output 16 , a directory 18 , and a cache 20 .
  • computing system 10 is a directory based multiprocessor system where data can be shared across all of the processors 14 . As a result of data being shared throughout computing system 10 , a coherency mechanism is used to track and update data in the various caches 20 to ensure that valid data is used during execution of applications.
  • Various coherency protocols may be implemented within computing system 10 . These coherency protocols may be dynamically selected for each cache and memory transaction performed in computing system 10 . The coherency protocols may be associated with particular data stored within computing system 10 to facilitate selection of a specific coherency protocol.
  • the technique of the present invention may be implemented as one or more software modules residing anywhere within computing system 10 .
  • the computing system supports the base distributed shared memory model used in current systems: MESI cache coherency with system state maintained in a directory associated with main memory. Caches are copyback with read and write allocation.
  • a three level cache structure may be employed, where the second level is inclusive of the first and the third level is a victim cache.
  • the directory maintains a sharing vector for shared data, which identifies all caches holding copies. When a processor intends to perform a store to a line in shared state, it sends an upgrade request to the directory, which then sends Invalidate messages to all caches whose bit is set in the sharing vector. The directory also sends a sharing count back to the requesting node.
  • a node When a node receives an Invalidate, it changes its cache state to Invalid and sends an Acknowledge message back to the requesting node. The requesting node counts the Acknowledges it receives, remaining stalled until the count matches the sharing count that the directory sent back. This guarantees sequential consistency, meaning that all processors in the system will see all modifications to a particular memory location in the same order, and that any processor will always see modifications to memory made by a particular processor in the same order that the program on that processor performs them.
  • Performance achieved by a system using only this protocol and consistency model should be considered the baseline against which the improvements of the present invention are compared. It is expected that this model is actually optimal for some programs, and frequently optimal for a significant subset of the data used by many programs. However, very large improvements can be achieved if other models can be selected for use on specific data used within a program.
  • Update protocol is one in which data is not made exclusive before executing stores. Instead, store data is actually transmitted to all caches in the systems that hold copies of that data, and those caches update their copies accordingly. Updates may be implemented in concert with either sequential or weak consistency models. Update protocol has strong advantages in the following cases (other cases may benefit as well):
  • Update protocol has some disadvantages. Since all writes are transmitted to all nodes that cache the data, the bandwidth reduction normally achieved by copyback caches is eliminated. In most systems, individual messages would need to be sent by the directory to each cache holding a copy, generating traffic proportional to the number of stores times the number of sharers. Since interconnect bandwidth tends to be a scarce resource, excessive updates can lead to a performance reduction over MESI if the interconnect becomes saturated. This problem can be mitigated by a combination of careful selection of data to be maintained by updates and by incorporating hardware features to reduce the traffic generated by updates. Also, support for updates tends to be significantly more complex to implement than standard MESI systems. Large transistor counts implementable today make this less of an issue, although managing the complexity remains a problem.
  • the proposed implementation would include a processor, which can generate updates on selected data.
  • Stores of a full word would generate update messages.
  • Stores of less than a word would use MESI protocol for simplicity of hardware implementation.
  • caches When in update mode, caches would use read allocation only. Performing a store would not cause a fill on a cache miss. This allows producers to avoid forcing out data and to cache data they are producing and will not consume. It may be worth performing write allocation as an optional implementation.
  • Outgoing update messages would be sent to the directory and memory controller. The memory would be written, and a new cache update message would be sent to all caches marked in the sharing vector. The directory would also send a sharing count back to the originator of the update, so the originator knows how many acknowledges to expect.
  • a node Upon receiving an update message, a node treats the update as an Invalidate to its primary cache and writes the data to its secondary cache. It also sends an acknowledgment back to the directory, which relays the acknowledgment back to the requestor. If the updated line is no longer cached, this fact is included in the acknowledgment message. The directory then clears the associated bit in the sharing vector though this is somewhat difficult if a coarse sharing vector is used.
  • the requestor stalls until it has received all acknowledges for the update. If operating in weak consistency mode, it keeps count of the total number of expected acknowledgments, but does not stall until a SYNC instruction is executed. SYNC causes a stall until the outstanding acknowledgment count is zero.
  • An intermediate mode may be needed wherein the processor stalls until it receives its own update back from the directory. This would support special memory operations.
  • weak consistency mode it is possible to use hardware ‘write gatherers’ to reduce update bandwidth by storing outgoing updates and coalescing multiple updates to the same line into a single larger transaction.
  • the write gatherers would flush to the system when full, when another gatherer is needed and all are in use, and when a SYNC instruction executes.
  • a single instruction or separate instructions may be used to flush the gatherers and to stall until the acknowledgments complete.
  • Weak consistency should greatly reduce stall time in the writing processor, but does require that the programmer, or ideally the compiler or other ‘smart’ intermediary, know when it is safe to use.
  • Most parallel programs which use barriers to divide program phases, and most messaging systems, can safely use weak consistency except on the barriers themselves.
  • Extra link bandwidth needed for selective updating cannot be predicted as it depends on how often the data is written. Updates are most useful when the reader needs to know the results of a different processor's writes quickly. Since this may consume significant bandwidth, it is important to restrict use of updates to situations where this is true. Predicting the proper use of updates by examining the source code only is not practical as a knowledge of the high level functionality of the code is needed. A wizard application may be useful in accomplishing this. It would also be possible to automatically choose coherency modes by gathering statistics about access patterns during execution and changing the modes dynamically.
  • a mechanism can be created wherein both Load and Store misses always request data in Exclusive state. This is desirable in cases where the programmer/compiler/other tool knows that the data is eventually going to be written in Exclusive state, and that no other processor will be accessing the data before the data is written. This condition certainly applies to private data, which is not ever shared, as well as public data, which is shared, but only during specific known intervals. If done correctly, this reduces Invalidate traffic in the system and associated stall time spent waiting for acknowledgments.
  • Exclusive data includes data that is private to an execution thread, data that is read by only one thread though it may be written by a different thread, and data that is known to be destined to be written but is initially accessed by a Load instruction. In this latter instance, it is also known that other threads will not usually be accessing the data between the Load and Store instructions.
  • Compilers can detect some of these conditions. For other conditions, the programmer can specify the condition in an appropriate manner. It is possible to develop hardware and/or software to provide such detection automatically. For example, a wizard application may be implemented to provide a possible detection approach.
  • the programmer's ability to specify coherency modes may be performed through new instructions, TLB entries, or special addresses. It may be beneficial to have a user level instruction that modifies the coherency fields in the TLB or page tables. Though difficult, performance benefits may be quantified in the context of a specific program fragment.
  • An access mode can be implemented where a read receives the current snapshot of the requested data, but the directory does not take note of the caching and therefore does not send updates or invalidates. This of course eliminates all coherency overhead for this data, but creates numerous system issues.
  • this mechanism appears best suited to acquiring data produced by another thread after that thread finishes and generates some sort of completion event (message or barrier) which tells the consumer to pull in a new snapshot.
  • completion event messages or barrier
  • the obvious FLUSH instruction doesn't necessarily do the job in the presence of an operating system. It is always possible for the thread to flush the cache, then be suspended and restarted on another processor. This can occur between execution of the flush (on processor 1) and the load (on processor 2). In that case, the cache could hit on stale information, and the user thread would never know. It would seem the operating system would need to track all non-coherent data and guarantee proper flushing when it restarts a thread.
  • Update Once protocol modeled after the conventional Write Once protocol originally used for copyback caches. This is an attempt to have the hardware automatically select between Update and Invalidate mechanisms. By default, the first write to a cache line generates an update. If an update from a second processor has not been received before the processor attempts a second write to the same line, the hardware assumes the line is not being actively shared and issues an Invalidate instead of an update and converts the line to exclusive state. This approach is useful for situations with multiple writers, but not for the other cases discussed above where updates may add value. It therefore seems necessary to offer pure update mode as well. With the various protocols, coherency mode decisions can be made dynamically.
  • cache tags include an Update Sent (US) bit that is used to implement the Update Once protocol.
  • the goal of is to develop a computer with dramatically increased delivered performance with respect to other more standard computers designed with similar integrated circuit technology.
  • the application space of interest is defense-related and tends to use very large datasets, which are often accessed in a sparse, and sometimes random, pattern, Integer arithmetic has equal or greater importance as floating point.
  • Focus is placed on those mechanisms relating to cache coherency and memory consistency.
  • the target system will be a shared memory multiprocessor which employs a directory for cache coherency and a high bandwidth interconnect network to transmit information between nodes.
  • Most current systems of this type employ writeback caches, MESI protocols, and sequential ordering memory models.
  • Significant performance can be gained by adding the capability to selectively use other coherency and consistency mechanisms for memory update transactions.

Abstract

In a computing system, cache coherency is performed by selecting one of a plurality of coherency protocols for a first memory transaction. Each of the plurality of coherency protocols has a unique set of cache states that may be applied to cached data for the first memory transaction. Cache coherency is performed on appropriate caches in the computing system by applying the set of cache states of the selected one of the plurality of coherency protocols.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. application Ser. No. 12/887,374, filed Sep. 21, 2010; which is a continuation of U.S. patent application Ser. No. 10/837,057, which is now U.S. Pat. No. 7,802,058; which claims priority to U.S. Provisional Application No. 60/467,102 filed Apr. 30, 2003, which are incorporated by references herein in their entireties.
  • TECHNICAL FIELD OF THE INVENTION
  • The present invention relates in general to multi-processor computing systems and more particularly to a method for performing cache coherency in a computer system.
  • BACKGROUND OF THE INVENTION
  • In computer systems, there is a disparity between processor cycle time and memory access time. Since this disparity limits processor utilization, caches have been introduced to solve this problem. Caches, which are based on the principal of locality, provide a small amount of extremely fast memory directly connected to a processor to avoid the delay in accessing the main memory and reduce the bandwidth needed to the main memory. Even though caches significantly improve system performance, a coherency problem occurs as a result of the main memory being updated with new data while the cache contains old data. For shared multi-processor systems, a cache is almost a necessity since access latency to memory is further increased due to contention for the path to the memory. It is not possible for the operating system to ensure coherency since processors need to share data to run parallel programs and processors cannot share a cache due to bandwidth constraints.
  • Various algorithms and protocols have been developed to handle cache coherency. For example, in a directory based caching structure, a write invalidate scheme allows for a processor to modify the data in its associated cache at a particular time and force the other processors to invalidate that data in their respective caches. When a processor reads the data previously modified by another processor, the modifying processor is then forced to write the modified data back to the main memory. Though such a scheme handles cache coherency in theory, limitations in system performance are still apparent.
  • SUMMARY OF THE INVENTION
  • From the foregoing, it may be appreciated by those skilled in the art that a need has arisen for a scheme to provide significant performance benefits for cache coherency in a computer system. In accordance with the present invention, there is provided a method for performing cache coherency in a computing system that substantially eliminates or greatly reduces disadvantages and problems associated with conventional cache coherency techniques.
  • According to an embodiment of the present invention, there is provided a method of performing cache coherency in a computer system that includes selecting one of a plurality of coherency protocols for a first memory transaction. Cache coherency is performed for the first memory transaction on caches in the computer system in accordance with the one of the plurality of coherency protocols selected for the first memory transaction. The plurality of cache coherency protocols includes invalidation, update, exclusive, and update once. Each cache coherency protocol provides a specific process for maintaining cache coherency in a computing system. Selection of a cache coherency protocol can be performed on a dynamic basis for each memory transaction to be processed.
  • The present invention provides various technical advantages over conventional cache coherency techniques. For example, one technical advantage is the capability to selectively use other coherency and consistency mechanisms for memory update transactions. Another technical advantage is to develop a computer system with dramatically increased delivered performance with respect to other more standard computers designed with similar integrated circuit technology. Embodiments of the present invention may incorporate all, some, or none of these technical advantages while other technical advantages may be readily apparent to those skilled in the art from the following figures, description, and claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention and the advantages thereof, reference is now made to the following description taken in conjunction with the accompanying drawings, wherein like reference numerals represent like parts, in which:
  • FIG. 1 illustrates an example multiprocessor computing system.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a simplified block diagram of a multiprocessor computing system 10. Computing system 10 includes a plurality of nodes 12. Each node includes a processor 14, input/output 16, a directory 18, and a cache 20. As shown, computing system 10 is a directory based multiprocessor system where data can be shared across all of the processors 14. As a result of data being shared throughout computing system 10, a coherency mechanism is used to track and update data in the various caches 20 to ensure that valid data is used during execution of applications. Various coherency protocols may be implemented within computing system 10. These coherency protocols may be dynamically selected for each cache and memory transaction performed in computing system 10. The coherency protocols may be associated with particular data stored within computing system 10 to facilitate selection of a specific coherency protocol. The technique of the present invention may be implemented as one or more software modules residing anywhere within computing system 10.
  • Base Protocol
  • The computing system supports the base distributed shared memory model used in current systems: MESI cache coherency with system state maintained in a directory associated with main memory. Caches are copyback with read and write allocation. A three level cache structure may be employed, where the second level is inclusive of the first and the third level is a victim cache. The directory maintains a sharing vector for shared data, which identifies all caches holding copies. When a processor intends to perform a store to a line in shared state, it sends an upgrade request to the directory, which then sends Invalidate messages to all caches whose bit is set in the sharing vector. The directory also sends a sharing count back to the requesting node. When a node receives an Invalidate, it changes its cache state to Invalid and sends an Acknowledge message back to the requesting node. The requesting node counts the Acknowledges it receives, remaining stalled until the count matches the sharing count that the directory sent back. This guarantees sequential consistency, meaning that all processors in the system will see all modifications to a particular memory location in the same order, and that any processor will always see modifications to memory made by a particular processor in the same order that the program on that processor performs them.
  • Performance achieved by a system using only this protocol and consistency model should be considered the baseline against which the improvements of the present invention are compared. It is expected that this model is actually optimal for some programs, and frequently optimal for a significant subset of the data used by many programs. However, very large improvements can be achieved if other models can be selected for use on specific data used within a program.
  • It is possible to implement weak consistency in a MESI protocol, restricted to the specific situation of upgrades from Shared to Exclusive state. This is done by stalling only until the directory sends back the sharing count (instead of stalling until all acknowledgments have been received) and then using a SYNC instruction to stall until the total outstanding acknowledgment count goes to zero. The value of this capability is relatively small on most applications and, though this functionality may be included, the benefits of weakly ordered updates, discussed below, is expected to be much larger.
  • Update Protocol
  • An Update protocol is one in which data is not made exclusive before executing stores. Instead, store data is actually transmitted to all caches in the systems that hold copies of that data, and those caches update their copies accordingly. Updates may be implemented in concert with either sequential or weak consistency models. Update protocol has strong advantages in the following cases (other cases may benefit as well):
      • Data is shared at the same time—that is, one or more processors are writing the data in the same time period where one or more processors are reading the data. In many cases, sequential ordering is not required here.
      • Producer—consumer situations in which it is important to minimize the startup time for the consumer. MPI messages are a good example of this case: if the consumer first obtains a shared copy of the message buffer, the producer can ‘push’ the data directly into the consumer's cache, thus avoiding a cache miss when the consumer tries to access the data. Sequential ordering is usually not required in this application, since there is only one writer.
      • False sharing—This is a situation where data within a cache line is not actually shared; instead different portions of the line are private to two or more processors. As a result, in a MESI protocol, the line must be moved whenever one of the processors desires to perform a write of its data. Since updates do not require making the line exclusive, this problem is avoided.
      • Barriers—This is actually a special case of the first situation. All processors in a group must inform each other that they have reached a particular point in their program. Barriers require that sequential ordering be maintained, since processors are accumulating data in a location and must always add their result to that of the other processors.
  • Unfortunately, the Update protocol has some disadvantages. Since all writes are transmitted to all nodes that cache the data, the bandwidth reduction normally achieved by copyback caches is eliminated. In most systems, individual messages would need to be sent by the directory to each cache holding a copy, generating traffic proportional to the number of stores times the number of sharers. Since interconnect bandwidth tends to be a scarce resource, excessive updates can lead to a performance reduction over MESI if the interconnect becomes saturated. This problem can be mitigated by a combination of careful selection of data to be maintained by updates and by incorporating hardware features to reduce the traffic generated by updates. Also, support for updates tends to be significantly more complex to implement than standard MESI systems. Large transistor counts implementable today make this less of an issue, although managing the complexity remains a problem.
  • The proposed implementation would include a processor, which can generate updates on selected data. Stores of a full word would generate update messages. Stores of less than a word would use MESI protocol for simplicity of hardware implementation. When in update mode, caches would use read allocation only. Performing a store would not cause a fill on a cache miss. This allows producers to avoid forcing out data and to cache data they are producing and will not consume. It may be worth performing write allocation as an optional implementation. Outgoing update messages would be sent to the directory and memory controller. The memory would be written, and a new cache update message would be sent to all caches marked in the sharing vector. The directory would also send a sharing count back to the originator of the update, so the originator knows how many acknowledges to expect. To reduce traffic, hardware would be implemented to fan out a single cache update within the routers at each vertex in the interconnection network, resulting in a maximum of one message traveling down any particular link for each update performed. This mechanism would also collapse the acknowledges on the way back, resulting in a maximum of one acknowledgment per update per link.
  • Upon receiving an update message, a node treats the update as an Invalidate to its primary cache and writes the data to its secondary cache. It also sends an acknowledgment back to the directory, which relays the acknowledgment back to the requestor. If the updated line is no longer cached, this fact is included in the acknowledgment message. The directory then clears the associated bit in the sharing vector though this is somewhat difficult if a coarse sharing vector is used.
  • If operating in sequential consistency mode, the requestor stalls until it has received all acknowledges for the update. If operating in weak consistency mode, it keeps count of the total number of expected acknowledgments, but does not stall until a SYNC instruction is executed. SYNC causes a stall until the outstanding acknowledgment count is zero. An intermediate mode may be needed wherein the processor stalls until it receives its own update back from the directory. This would support special memory operations.
  • In weak consistency mode, it is possible to use hardware ‘write gatherers’ to reduce update bandwidth by storing outgoing updates and coalescing multiple updates to the same line into a single larger transaction. The write gatherers would flush to the system when full, when another gatherer is needed and all are in use, and when a SYNC instruction executes. A single instruction or separate instructions may be used to flush the gatherers and to stall until the acknowledgments complete. Weak consistency should greatly reduce stall time in the writing processor, but does require that the programmer, or ideally the compiler or other ‘smart’ intermediary, know when it is safe to use. Most parallel programs, which use barriers to divide program phases, and most messaging systems, can safely use weak consistency except on the barriers themselves.
  • In very large systems, the system is currently divided into regions and only allow Exclusive (not Shared) access to data whose home is outside the requestor's region. This is done to control the size of the required sharing vector. Since write allocation is not used with updates, it seems possible that one could perform update-mode stores from outside the data's home region without acquiring the data exclusively and without growing the sharing vector.
  • Extra link bandwidth needed for selective updating cannot be predicted as it depends on how often the data is written. Updates are most useful when the reader needs to know the results of a different processor's writes quickly. Since this may consume significant bandwidth, it is important to restrict use of updates to situations where this is true. Predicting the proper use of updates by examining the source code only is not practical as a knowledge of the high level functionality of the code is needed. A wizard application may be useful in accomplishing this. It would also be possible to automatically choose coherency modes by gathering statistics about access patterns during execution and changing the modes dynamically.
  • Exclusive Protocol
  • A mechanism can be created wherein both Load and Store misses always request data in Exclusive state. This is desirable in cases where the programmer/compiler/other tool knows that the data is eventually going to be written in Exclusive state, and that no other processor will be accessing the data before the data is written. This condition certainly applies to private data, which is not ever shared, as well as public data, which is shared, but only during specific known intervals. If done correctly, this reduces Invalidate traffic in the system and associated stall time spent waiting for acknowledgments.
  • Exclusive data includes data that is private to an execution thread, data that is read by only one thread though it may be written by a different thread, and data that is known to be destined to be written but is initially accessed by a Load instruction. In this latter instance, it is also known that other threads will not usually be accessing the data between the Load and Store instructions. Compilers can detect some of these conditions. For other conditions, the programmer can specify the condition in an appropriate manner. It is possible to develop hardware and/or software to provide such detection automatically. For example, a wizard application may be implemented to provide a possible detection approach. The programmer's ability to specify coherency modes may be performed through new instructions, TLB entries, or special addresses. It may be beneficial to have a user level instruction that modifies the coherency fields in the TLB or page tables. Though difficult, performance benefits may be quantified in the context of a specific program fragment.
  • Non-Coherent Cached Access
  • An access mode can be implemented where a read receives the current snapshot of the requested data, but the directory does not take note of the caching and therefore does not send updates or invalidates. This of course eliminates all coherency overhead for this data, but creates numerous system issues.
  • Much academic research has suggested that allowing the software to use this mode and manage its own coherency is beneficial, but this work generally ignores issues of process migration, reclaiming of the memory at the end of the process, IO, etc. Strangely, it appears that completely private data gets no benefit from this treatment—it generates no coherency traffic if handled in Exclusive mode, which does not suffer from any of the issues described above.
  • Actually, this mechanism appears best suited to acquiring data produced by another thread after that thread finishes and generates some sort of completion event (message or barrier) which tells the consumer to pull in a new snapshot. To enable use of this mechanism, there must be a completely reliable way to guarantee that the user's store will miss in cache and not access stale (un-updated) cached data. The obvious FLUSH instruction doesn't necessarily do the job in the presence of an operating system. It is always possible for the thread to flush the cache, then be suspended and restarted on another processor. This can occur between execution of the flush (on processor 1) and the load (on processor 2). In that case, the cache could hit on stale information, and the user thread would never know. It would seem the operating system would need to track all non-coherent data and guarantee proper flushing when it restarts a thread.
  • Update Once Protocol
  • An interesting variant is something termed Update Once protocol, modeled after the conventional Write Once protocol originally used for copyback caches. This is an attempt to have the hardware automatically select between Update and Invalidate mechanisms. By default, the first write to a cache line generates an update. If an update from a second processor has not been received before the processor attempts a second write to the same line, the hardware assumes the line is not being actively shared and issues an Invalidate instead of an update and converts the line to exclusive state. This approach is useful for situations with multiple writers, but not for the other cases discussed above where updates may add value. It therefore seems necessary to offer pure update mode as well. With the various protocols, coherency mode decisions can be made dynamically.
  • Coherency States and Actions
  • This section discusses the system behavior for the various cache states under the extended coherency model needed to support the functions described above. As usual, stable cache states are Modified, Exclusive, Shared, and Invalid. Directory states are Exclusive, Shared, and Invalid. Additional transitional states used in implementation are not discussed here. The cache tags include an Update Sent (US) bit that is used to implement the Update Once protocol.
  • Cache in Invalid State—Coherency Actions
  • Invalidate Update Update Once Exclusive
    Event Protocol Protocol Protocol Protocol
    Load Send data; Dir Send data; Dir Send data; Dir Send data; Dir
    Instruction - & cache −> E & cache −> S & cache −> S & cache −> E
    Dir I state
    Load Send data; Dir Send data; Dir Send data; Dir Send Invalidate
    Instruction - & cache −> S & cache −> S & cache −> S to sharers; send
    Dir S state data; Dir &
    cache −> E
    Load Send Send Send Send Invalidate
    Instruction - downgrade to downgrade to downgrade to to owner; owner
    Dir E state owner; owner owner; owner owner; owner sends data; Dir
    sends data; Dir sends data; Dir sends data; Dir & caches −> E
    & caches −> S & caches −> S & caches −> S
    Store Send data; Dir −> Update memory; Update memory; Send data; Dir −>
    Instruction - E & cache −> No state change No state change E & cache −>
    Dir I state M M
    Store Send Invalidate Update memory Update memory Send Invalidate
    Instruction - to sharers; send and sharers; No and sharers; No to sharers; send
    Dir S state data; Dir −> E & state change state change data; Dir −> E &
    cache −> E cache −> M
    Store Send Invalidate Update memory Update memory Send Invalidate
    Instruction - to owner; owner and owner; No and owner; No to owner; owner
    Dir E state sends data; Dir −> state change state change sends data; Dir −>
    E & cache −> E & cache −>
    M M
    Invalidate Acknowledge Acknowledge Acknowledge Acknowledge
    Received
    Update Acknowledge Acknowledge Acknowledge Acknowledge
    Received Invalid; dir Invalid; dir Invalid; dir Invalid; dir
    removes from removes from removes from removes from
    sharing vector sharing vector sharing vector sharing vector
  • Cache in Shared State (Directory Will Also be in S State)—Coherency Actions
  • Invalidate Update Update Once Exclusive
    Event Protocol Protocol Protocol * Protocol
    Load Cache hit - no Cache hit - no Cache hit - no ** Cache Hit -
    Instruction action action action no action
    Store Send upgrade Update memory IF (not US) {set ** Send upgrade
    Instruction request; Dir and all shares; US; Update request; Dir
    sends Invalidates Dir & cache −> S memory and all sends Invalidates
    to shares; Dir −> sharers; Dir & to sharers; Dir −>
    E & cache −> M cache −> S} Else E & cache −>
    {Send upgrade M
    request; Dir
    sends Invalidates
    to sharers; Dir −>
    E & cache −> M}
    Invalidate Cache −> I; Cache −> I; Cache −> I; ** Cache −> I;
    Received Dir −>E (pointing Dir −>E (pointing Dir −>E (pointing Dir −>E (pointing
    at new owner) at new owner) at new owner) at new owner)
    Update Update cache; Update cache; Update cache; ** Update cache;
    Received send Ack; No send Ack; No send Ack; clear send Ack; No
    state change state change US state change
    * Note US bit is always cleared by transition out of S state
    ** Unexpected cache state for this protocol
  • Cache in Exclusive State (Directory Will Also be in E State)—Coherency Actions
  • Invalidate Update Update Once Exclusive
    Event Protocol Protocol Protocol * Protocol
    Load Cache hit - no Cache hit - no Cache hit - no Cache hit - no
    Instruction action action action action
    Store Cache −> M ? Either Cache −> Cache −> M Cache −> M
    Instruction M or Send
    Update to
    memory; Update
    memory Dir &
    cache −> S ?
    Invalidate Cache −> I; Cache −> I; Cache −> I; Cache −> I;
    Received Dir −> E (pointing Dir −> E (pointing Dir −> E (pointing Dir −> E (pointing
    at new owner) at new owner) at new owner) at new owner)
    Update Update cache; Update cache; Update cache; ** ? Update
    Received send Ack; No send Ack; No send Ack; No cache; send Ack;
    state change state change state change No state change
    Shared Cache & Dir −> Cache & Dir −> Cache & Dir −> Cache & Dir −>
    Intervention S; send Clean S; send Clean S; send Clean S; send Clean
    Received Ack Ack Ack Ack
    Exclusive Cache −> I Cache −> I Cache −> I Cache −> I
    Intervention Dir −> E (points Dir −> E (points Dir −> E (points Dir −> E (points
    Received to new owner); to new owner); to new owner); to new owner);
    send Clean Ack send Clean Ack send Clean Ack send Clean Ack
    ** Not an expected event in this protocol
  • Cache in Modified State (Directory Will Also be in E State)—Coherency Actions
  • Invalidate Update Update Once Exclusive
    Event Protocol Protocol Protocol * Protocol
    Load Cache hit - no Cache hit - no Cache hit - no Cache hit - no
    Instruction action action action action
    Store Cache hit - no ? Either Cache Cache hit - no Cache hit - no
    Instruction action hit no action or action action
    Send Update to
    memory; Update
    memory Dir &
    cache −> S ?
    Invalidate Cache −> I; Cache −> I; Cache −> I; Cache −> I;
    Received Dir −> E (points Dir −> E (points Dir −> E (points Dir −> E (points
    to new owner) to new owner) to new owner) to new owner)
    Update Update cache; Update cache; Update cache; ** ? Update
    Received send Ack; No send Ack; No send Ack; No cache; send Ack;
    state change state change state change No state change
    Shared Cache & Dir −> Cache & Dir −> Cache & Dir −> Cache & Dir −>
    Intervention S; send Dirty S; send Dirty S; send Dirty S; send Dirty
    Received Ack & data (data Ack & data (data Ack & data (data Ack & data (data
    is written back is written back is written back is written back
    and sent to and sent to and sent to and sent to
    requestor) requestor) requestor) requestor)
    Exclusive Cache −> I Cache −> I Cache −> I Cache −> I
    Intervention Dir −> E (points Dir −> E (points Dir −> E (points Dir −> E (points
    Received to new owner); to new owner); to new owner); to new owner);
    send Dirty Ack send Dirty Ack send Dirty Ack send Dirty Ack
    & data (data is & data (data is & data (data is & data (data is
    written back and written back and written back and written back and
    sent to requestor) sent to requestor) sent to requestor) sent to requestor)
    ** Not an expected event in this protocol
  • In summary, the goal of is to develop a computer with dramatically increased delivered performance with respect to other more standard computers designed with similar integrated circuit technology. The application space of interest is defense-related and tends to use very large datasets, which are often accessed in a sparse, and sometimes random, pattern, Integer arithmetic has equal or greater importance as floating point. To achieve this goal, a variety of new hardware and software mechanisms are incorporated. Focus is placed on those mechanisms relating to cache coherency and memory consistency. The target system will be a shared memory multiprocessor which employs a directory for cache coherency and a high bandwidth interconnect network to transmit information between nodes. Most current systems of this type employ writeback caches, MESI protocols, and sequential ordering memory models. Significant performance can be gained by adding the capability to selectively use other coherency and consistency mechanisms for memory update transactions.
  • Thus, it is apparent that there has been provided, in accordance with the present invention, a method for performing cache coherency in a computer system that satisfies the advantages set forth above. Although the present invention has been described in detail, it should be understood by those skilled in the art that various changes, substitutions, and alterations may be readily ascertainable by those skilled in the art and may be made herein without departing from the spirit and scope of the present invention as defined by the following claims. Moreover, the present invention is not intended to be limited in any way by any statement made herein that is not reflected in the appended claims.

Claims (23)

What is claimed is:
1. A method for performing cache coherency in a computer system, comprising:
selecting one of a plurality of coherency protocols for a memory transaction to be applied to cached data stored in the computer system, each of the plurality of coherency protocols having a unique set of cache states that may be applied to cached data for the memory transaction; and
performing cache coherency for the memory transaction on caches in the computer system storing the cached data by applying the set of cache states of the selected one of the plurality of coherency protocols.
2. The method of claim 1, wherein selection among the plurality of coherency protocols is performed for one or more separate memory transactions.
3. The method of claim 1, wherein the selected one of the plurality of coherency protocols is a write invalidate protocol.
4. The method of claim 3 wherein the write invalidate protocol generates invalidate messages in response to a change in data pursuant to the memory transaction for caches in the computer system holding a copy of the data.
5. The method of claim 1, wherein the selected one of the plurality of coherency protocols is an update protocol.
6. The method of claim 5, wherein the update protocol does not make data associated with the memory transaction exclusive before executing memory location stores.
7. The method of claim 6, wherein new data to be stored is transmitted to all caches in a computing system that hold copies of the original data.
8. The method of claim 1, wherein the selected one of the plurality of coherency protocols is an exclusive protocol.
9. The method of claim 8, wherein data associated with the memory transaction is requested to be placed into an exclusive state in response to the data not being stored on a local cache associated with the origination of the memory transaction.
10. The method of claim 1, wherein the selected one of the plurality of coherency protocols is an update once protocol.
11. The method of claim 10, wherein data associated with the memory transaction is requested to be placed into an exclusive state in response to the data being updated twice by a first processor before being updated by another processor.
12. A non-transitory computer readable storage medium tangibly storing code for performing cache coherency in a computer system, the code operable to:
select one of a plurality of coherency protocols for a memory transaction to be applied to cached data stored in the computer system, each of the plurality of coherency protocols having a unique set of cache states that may be applied to the cached data for the memory transaction; and
perform cache coherency for the memory transaction on caches in the computer system storing the cached data by applying the set of cache states of the selected one of the plurality of coherency protocols.
13. The non-transitory computer readable storage medium of claim 12, wherein selection among the plurality of coherency protocols is performed for each separate memory transaction.
14. The non-transitory computer readable storage medium of claim 12, wherein the plurality of cache coherency protocols from which the code selects includes a write invalidate protocol, an update protocol, an exclusive protocol, and an update once protocol.
15. The non-transitory computer readable storage medium of claim 12, wherein the code is operable to dynamically select different cache coherency protocols for each cache in the computer system.
16. The non-transitory computer readable storage medium of claim 12, wherein selection of one of the plurality of cache coherency protocols is performed based on the cached data associated with the memory transaction.
17. The non-transitory computer readable storage medium of claim 12, wherein the code is further operable to update a directory associated with each cache pursuant to the selected one of the plurality of coherency protocols.
18. A system for performing cache coherency in a computer system, comprising:
means for selecting one of a plurality of coherency protocols for a memory transaction to be applied to cached data stored in the computer system, each of the plurality of coherency protocols having a unique set of cache states that may be applied to the cached data for the memory transaction; and;
means for performing cache coherency for the memory transaction on caches in the computer system storing the cached data by applying the set of cache states of the selected one of the plurality of coherency protocols.
19. The system of claim 18, wherein selection among the plurality of coherency protocols is performed for each separate memory transaction.
20. The system of claim 18, wherein the plurality of cache coherency protocols from which selection is made includes a write invalidate protocol, an update protocol, an exclusive protocol, and an update once protocol.
21. The system of claim 18, further comprising means for dynamically selecting different cache coherency protocols for each cache in the computer system.
22. The system of claim 18, wherein selection of one of the plurality of cache coherency protocols is performed based on the cached data associated with the memory transaction.
23. The system of claim 18, further comprising means for updating a directory associated with each cache pursuant to the selected one of the plurality of coherency protocols.
US13/846,731 2003-04-30 2013-03-18 Method for Performing Cache Coherency in a Computer System Abandoned US20130282988A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/846,731 US20130282988A1 (en) 2003-04-30 2013-03-18 Method for Performing Cache Coherency in a Computer System

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US46710203P 2003-04-30 2003-04-30
US10/837,057 US7802058B1 (en) 2003-04-30 2004-04-30 Method for performing cache coherency in a computer system
US12/887,374 US8402225B2 (en) 2003-04-30 2010-09-21 Method for performing cache coherency in a computer system
US13/846,731 US20130282988A1 (en) 2003-04-30 2013-03-18 Method for Performing Cache Coherency in a Computer System

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/887,374 Continuation US8402225B2 (en) 2003-04-30 2010-09-21 Method for performing cache coherency in a computer system

Publications (1)

Publication Number Publication Date
US20130282988A1 true US20130282988A1 (en) 2013-10-24

Family

ID=42734020

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/837,057 Active 2024-11-18 US7802058B1 (en) 2003-04-30 2004-04-30 Method for performing cache coherency in a computer system
US12/887,374 Expired - Fee Related US8402225B2 (en) 2003-04-30 2010-09-21 Method for performing cache coherency in a computer system
US13/846,731 Abandoned US20130282988A1 (en) 2003-04-30 2013-03-18 Method for Performing Cache Coherency in a Computer System

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/837,057 Active 2024-11-18 US7802058B1 (en) 2003-04-30 2004-04-30 Method for performing cache coherency in a computer system
US12/887,374 Expired - Fee Related US8402225B2 (en) 2003-04-30 2010-09-21 Method for performing cache coherency in a computer system

Country Status (1)

Country Link
US (3) US7802058B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103617009A (en) * 2013-12-10 2014-03-05 北京奇虎科技有限公司 Method and device for writing data to disk through cache during starting up
US20140344523A1 (en) * 2013-05-20 2014-11-20 Lsi Corporation System and Method of Selective READ Cache Retention for a Rebooted Node of a Multiple-Node Storage Cluster
US10628312B2 (en) * 2018-09-26 2020-04-21 Nxp Usa, Inc. Producer/consumer paced data transfer within a data processing system having a cache which implements different cache coherency protocols

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110197032A1 (en) * 2010-02-08 2011-08-11 Microsoft Corporation Cache coordination between data sources and data recipients
GB2491588A (en) * 2011-06-06 2012-12-12 St Microelectronics Res & Dev Multiprocessor with different cache coherency protocols between different parts
DE102011057175A1 (en) 2011-12-30 2013-07-04 Prüftechnik Dieter Busch AG Method for vibration measurement on rotor blades of wind turbines
US20140114928A1 (en) 2012-10-22 2014-04-24 Robert Beers Coherence protocol tables
US9298623B2 (en) 2013-09-26 2016-03-29 Globalfoundries Inc. Identifying high-conflict cache lines in transactional memory computing environments
US9329890B2 (en) 2013-09-26 2016-05-03 Globalfoundries Inc. Managing high-coherence-miss cache lines in multi-processor computing environments
US9086974B2 (en) * 2013-09-26 2015-07-21 International Business Machines Corporation Centralized management of high-contention cache lines in multi-processor computing environments
US9298626B2 (en) 2013-09-26 2016-03-29 Globalfoundries Inc. Managing high-conflict cache lines in transactional memory computing environments
US9292444B2 (en) 2013-09-26 2016-03-22 International Business Machines Corporation Multi-granular cache management in multi-processor computing environments
US9355034B2 (en) 2013-12-31 2016-05-31 Samsung Electronics Co., Ltd. Removal and optimization of coherence acknowledgement responses in an interconnect
US10248567B2 (en) 2014-06-16 2019-04-02 Hewlett-Packard Development Company, L.P. Cache coherency for direct memory access operations
US9727464B2 (en) 2014-11-20 2017-08-08 International Business Machines Corporation Nested cache coherency protocol in a tiered multi-node computer system
US9886382B2 (en) 2014-11-20 2018-02-06 International Business Machines Corporation Configuration based cache coherency protocol selection
US9575913B1 (en) * 2015-12-07 2017-02-21 International Business Machines Corporation Techniques for addressing topology specific replicated bus units
US20180365070A1 (en) * 2017-06-16 2018-12-20 International Business Machines Corporation Dynamic throttling of broadcasts in a tiered multi-node symmetric multiprocessing computer system
US10599567B2 (en) * 2017-10-06 2020-03-24 International Business Machines Corporation Non-coherent read in a strongly consistent cache system for frequently read but rarely updated data
US10896135B1 (en) * 2019-09-03 2021-01-19 Microsoft Technology Licensing, Llc Facilitating page table entry (PTE) maintenance in processor-based devices
US11372757B2 (en) * 2020-09-04 2022-06-28 Microsoft Technology Licensing, Llc Tracking repeated reads to guide dynamic selection of cache coherence protocols in processor-based devices
CN112463687B (en) * 2020-11-24 2024-04-12 成都海光微电子技术有限公司 On-chip consistency interconnection structure, cache consistency interconnection method and system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5301298A (en) * 1991-10-11 1994-04-05 Intel Corporation Processor for multiple cache coherent protocols
US6127990A (en) * 1995-11-28 2000-10-03 Vega Vista, Inc. Wearable display and methods for controlling same
US6370622B1 (en) * 1998-11-20 2002-04-09 Massachusetts Institute Of Technology Method and apparatus for curious and column caching
US6519685B1 (en) * 1999-12-22 2003-02-11 Intel Corporation Cache states for multiprocessor cache coherency protocols
JP2002032265A (en) * 2000-07-14 2002-01-31 Hitachi Ltd Cache access control system and data processing system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140344523A1 (en) * 2013-05-20 2014-11-20 Lsi Corporation System and Method of Selective READ Cache Retention for a Rebooted Node of a Multiple-Node Storage Cluster
US9058274B2 (en) * 2013-05-20 2015-06-16 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method of selective READ cache retention for a rebooted node of a multiple-node storage cluster
CN103617009A (en) * 2013-12-10 2014-03-05 北京奇虎科技有限公司 Method and device for writing data to disk through cache during starting up
US10628312B2 (en) * 2018-09-26 2020-04-21 Nxp Usa, Inc. Producer/consumer paced data transfer within a data processing system having a cache which implements different cache coherency protocols

Also Published As

Publication number Publication date
US20110016277A1 (en) 2011-01-20
US8402225B2 (en) 2013-03-19
US7802058B1 (en) 2010-09-21

Similar Documents

Publication Publication Date Title
US8402225B2 (en) Method for performing cache coherency in a computer system
US5588131A (en) System and method for a snooping and snarfing cache in a multiprocessor computer system
JP3987162B2 (en) Multi-process system including an enhanced blocking mechanism for read-shared transactions
US5749095A (en) Multiprocessing system configured to perform efficient write operations
US6704842B1 (en) Multi-processor system with proactive speculative data transfer
EP0817042B1 (en) A multiprocessing system including an apparatus for optimizing spin-lock operations
US7657710B2 (en) Cache coherence protocol with write-only permission
US5734922A (en) Multiprocessing system configured to detect and efficiently provide for migratory data access patterns
US7082500B2 (en) Optimized high bandwidth cache coherence mechanism
JP4960989B2 (en) Delete invalidation transaction from snoop filter
US8904154B2 (en) Execution migration
US9110718B2 (en) Supporting targeted stores in a shared-memory multiprocessor system
US7624236B2 (en) Predictive early write-back of owned cache blocks in a shared memory computer system
US7240165B2 (en) System and method for providing parallel data requests
EP0817077A2 (en) A multiprocessing system configured to perform prefetching operations
US20010010068A1 (en) State-based allocation and replacement for improved hit ratio in directory caches
CN101042679A (en) Method and system for maintenance memory consistency
US7149852B2 (en) System and method for blocking data responses
US6594733B1 (en) Cache based vector coherency methods and mechanisms for tracking and managing data use in a multiprocessor system
US7669013B2 (en) Directory for multi-node coherent bus
US7725660B2 (en) Directory for multi-node coherent bus
KR20090053837A (en) Mechanisms and methods of using self-reconciled data to reduce cache coherence overhead in multiprocessor systems
US7620696B2 (en) System and method for conflict responses in a cache coherency protocol
Rajwar et al. Inferential queueing and speculative push for reducing critical communication latencies
Nagarajan et al. Directory Coherence Protocols

Legal Events

Date Code Title Description
AS Assignment

Owner name: SILICON GRAPHICS INTERNATIONAL, CORP., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SILICON GRAPHICS, INC. ET AL.;SGI INTERNATIONAL, INC.;SIGNING DATES FROM 20090508 TO 20120320;REEL/FRAME:032243/0675

Owner name: SILICON GRAPHICS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MILLER, STEVEN C.;DENEROFF, MARTIN M.;SIGNING DATES FROM 20040429 TO 20040510;REEL/FRAME:032243/0167

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: SILICON GRAPHICS INTERNATIONAL, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SILICON GRAPHICS, INC;REEL/FRAME:040459/0026

Effective date: 20090508

Owner name: SGI INTERNATIONAL, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:SILICON GRAPHICS INTERNATIONAL, INC.;REEL/FRAME:040459/0157

Effective date: 20090513

Owner name: SILICON GRAPHICS INTERNATIONAL CORP., CALIFORNIA

Free format text: MERGER;ASSIGNOR:SGI INTERNATIONAL, INC.;REEL/FRAME:040459/0518

Effective date: 20120808

AS Assignment

Owner name: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SILICON GRAPHICS INTERNATIONAL CORP.;REEL/FRAME:044128/0149

Effective date: 20170501