US20130128676A1 - Memory device with area efficient power gating circuitry - Google Patents

Memory device with area efficient power gating circuitry Download PDF

Info

Publication number
US20130128676A1
US20130128676A1 US13/300,180 US201113300180A US2013128676A1 US 20130128676 A1 US20130128676 A1 US 20130128676A1 US 201113300180 A US201113300180 A US 201113300180A US 2013128676 A1 US2013128676 A1 US 2013128676A1
Authority
US
United States
Prior art keywords
power gating
potential line
memory block
memory device
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/300,180
Other versions
US8462562B1 (en
Inventor
Ankur Goel
Donald Albert Evans
Dennis Edward Dudeck
Richard John Stephani
Ronald James Wozniak
Dharmendra Kumar Rai
Rasoju Veerabadra Chary
Jeffrey Charles Herbert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
LSI Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Corp filed Critical LSI Corp
Priority to US13/300,180 priority Critical patent/US8462562B1/en
Assigned to LSI CORPORATION reassignment LSI CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WOZNIAK, RONALD JAMES, DUDECK, DENNIS EDWARD, EVANS, DONALD ALBERT, HERBERT, JEFFREY CHARLES, STEPHANI, RICHARD JOHN, CHARY, RASOJU VEERABADRA, GOEL, ANKUR, RAI, DHARMENDRA KUMAR
Publication of US20130128676A1 publication Critical patent/US20130128676A1/en
Application granted granted Critical
Publication of US8462562B1 publication Critical patent/US8462562B1/en
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AGERE SYSTEMS LLC, LSI CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LSI CORPORATION
Assigned to LSI CORPORATION, AGERE SYSTEMS LLC reassignment LSI CORPORATION TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031) Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED MERGER (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE OF MERGER TO 09/05/2018 PREVIOUSLY RECORDED AT REEL: 047230 FRAME: 0133. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER. Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/145Applications of charge pumps; Boosted voltage circuits; Clamp circuits therefor
    • G11C5/146Substrate bias generators
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/147Voltage reference generators, voltage or current regulators; Internally lowered supply levels; Compensation for voltage drops

Definitions

  • CMOS complementary metal-oxide-semiconductor
  • 6T six-transistor
  • Embodiments of the invention provide memory devices with power gating capabilities.
  • embodiments of the invention utilize a power gating circuit that is electrically connected to source terminals of memory cells within a memory block.
  • the power gating circuit sets the source potential low (e.g., ground potential or VSS) when the memory block is in an active mode, and sets the source potential to a value higher than ground (e.g., VSSC) when that memory block is in a standby mode.
  • the resultant power gating of the memory cells reduces their standby leakage currents and thereby reduces the standby leakage of the entire memory device.
  • the use of a single power gating transistor and just a few associated control transistors allows the power gating circuit to be implemented in a very area efficient manner. Moreover, an additional shutdown mode with even lower leakage current is available with little added overhead.
  • a memory device comprises a memory block, a power gating transistor, and control circuitry.
  • the memory block includes at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line.
  • the power gating transistor in turn, is connected between the source potential line and a voltage source.
  • the control circuitry is operative to configure the power gating transistor to electrically tie the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
  • a power gating circuit is adapted for use with a memory block, the memory block including at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line.
  • the power gating circuit comprises a power gating transistor and control circuitry.
  • the power gating transistor is connected between the source potential line and a voltage source.
  • the control circuitry is operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
  • an integrated circuit comprises at least one memory device.
  • the memory device comprises a memory block, a power gating transistor, and control circuitry.
  • the memory block includes at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line.
  • the power gating transistor is connected between the source potential line and a voltage source.
  • the control circuitry is operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
  • FIG. 1 shows a block diagram of at least a portion of an illustrative memory block in an SRAM device, according to an embodiment of the invention
  • FIG. 2 shows a schematic diagram of at least a portion of an illustrative memory cell that may reside within the FIG. 1 memory block, according to an embodiment of the invention
  • FIG. 3 shows a schematic diagram of at least a portion of a first power gating circuit that may reside in the FIG. 1 memory block, according to an embodiment of the invention.
  • FIG. 4 shows a schematic diagram of at least a portion of a second power gating circuit that may reside in the FIG. 1 memory block, according to an embodiment of the invention.
  • MISFET metal-insulator-semiconductor field effect transistor
  • the term MISFET is, for example, intended to encompass semiconductor field effect transistors that utilize an oxide material as their gate dielectric (i.e., metal-oxide-semiconductor field effect transistors (MOSFETs)), as well as those that do not.
  • MOSFETs metal-oxide-semiconductor field effect transistors
  • MISFET is also intended to encompass semiconductor field effect transistors wherein the gate is formed from a non-metal such as, for instance, polysilicon.
  • PFETs p-channel MISFETs
  • NFETs n-channel MISFETs
  • BJTs bipolar junction transistors
  • fabrication processes e.g., bipolar, BiCMOS, etc.
  • embodiments of the invention are typically fabricated in a silicon wafer, embodiments of the invention can alternatively be fabricated in wafers comprising other materials, including but not limited to gallium arsenide (GaAs), indium phosphide (InP), etc.
  • GaAs gallium arsenide
  • InP indium phosphide
  • FIG. 1 shows a block diagram of an illustrative memory block 100 according to an embodiment of the invention.
  • the memory block 100 is only one of several such blocks forming a larger memory device, in this particular case, an SRAM device.
  • the memory block 100 comprises a memory cell array and several peripheral circuits. More particularly, the memory cell array includes a plurality of memory cells 110 arranged in a grid, although such a configuration is not limiting.
  • the peripheral circuits include a row decoder 120 , a column decoder 130 , a power gating circuit 140 , a control circuit 150 , and read/write (r/w) circuitry 160 .
  • the r/w circuitry 160 comprises a sense amplifier 162 for reading data stored in one or more of the memory cells 110 and a write driver 165 for writing data to one or more of the memory cells 110 .
  • a plurality of word lines WL extend in the row direction of the memory block 100 and are coupled with row decoder 120
  • a plurality of bit lines BL and BLB extend in the column direction and are coupled with column decoder 130 .
  • Bit lines BL and BLB are logical complements of one another.
  • Each memory cell 110 is connected to a unique combination of one word line WL and one pair of complementary bit lines BL and BLB.
  • Each memory cell 110 is also connected to the power gating circuit 140 , shown as dotted connections in the figure.
  • Control circuit 150 is coupled with the row and column decoders 120 and 130 , respectively.
  • FIG. 2 shows a schematic diagram of at least a portion of an illustrative memory cell 110 that may reside within the FIG. 1 memory block 100 , according to an embodiment of the invention.
  • the memory cell 110 includes two cross-coupled inverters, which are operative as a storage element of the memory cell 110 .
  • a first inverter includes a PFET P 1 and an NFET N 1 .
  • a source terminal (S) of the PFET P 1 is adapted for connection to a power supply source, which is VDD in this embodiment.
  • a drain terminal (D) of PFET P 1 is connected to a drain terminal of the NFET N 1 via a memory node QB.
  • a source terminal of the NFET N 1 is connected to a source terminal node ST, which, in turn, is connected to the power gating circuit 140 ( FIG. 1 ) and is at source potential VSSC.
  • a second inverter in the illustrative memory cell 110 in FIG. 2 includes a PFET P 2 and an NFET N 2 .
  • a source terminal of the PFET P 2 is adapted for connection to the power supply source VDD, while a drain terminal of the PFET P 2 is connected to a drain terminal of the NFET N 2 via a memory node Q.
  • a source terminal of the NFET N 2 is also connected to the source terminal node ST at source potential VSSC.
  • gate terminals (G) of the PFET P 1 and the NFET N 1 are connected to the memory node Q, and gate terminals of the PFET P 2 and the NFET N 2 are connected to the memory node QB.
  • the output of the first inverter is connected to the input of the second inverter, while the output of the second inverter is connected to the input of the first inverter.
  • Cross coupling inverters allows the memory cell 110 to act as a latch (i.e., flip-flop) with the ability to store complementary logic states at memory nodes Q and QB.
  • the memory cell 110 is therefore of the static type. Between read and write operations, the inverters reinforce each other and maintain the voltage levels on Q and QB so long as the inverters are connected to the power supply source VDD.
  • the respective source terminal nodes ST of the memory cells 110 are set at ground potential VSS when writing data to and reading data from the memory cells 110 .
  • access e.g., reading and/or writing data
  • access e.g., reading and/or writing data
  • to the memory cells 110 may, to a large degree, be regulated by transfer NFETs X 1 and X 2 , also visible in FIG. 2 , or by alternative switch elements (e.g., pass gates, etc.).
  • Transfer NFETs X 1 and X 2 are coupled with the first and second inventors, respectively, and are operative to connect the first and second inverters to the corresponding bit lines BL and BLB, respectively, as a function of control signals supplied to gate terminals of X 1 and X 2 .
  • the gate terminals of the NFET X 1 and the NFET X 2 are connected to a corresponding word line WL.
  • bit lines BL and BLB are chosen by the column decoder 130 and are pre-charged by the write driver 165 ( FIG. 1 ) to a state representative of the logic state to be stored. If a “0” logic state is to be stored, for example, the bit line BL may be set to a logical low (i.e., ground potential or VSS) and the complementary bit line BLB may be set to a logical high (i.e., power supply potential VDD). To write a “1” logic state, in contrast, the voltages of BL and BLB may be reversed. The word line WL corresponding to the particular memory cell 110 is then asserted by the row decoder 120 ( FIG.
  • bit lines BL and BLB are first pre-charged by the write driver 165 to a logical high state, such as by connecting the bit lines to VDD, and then allowed to float. Asserting the corresponding word line WL, in turn, causes the logic state on the internal storage nodes Q and QB of the selected memory cell 110 to be transferred to the bit lines BL and BLB.
  • the sense amplifier 162 is then used to determine whether bit line BL or bit line BLB has a higher potential and, correspondingly, which logic state was stored in the particular memory cell 110 being read.
  • the illustrative memory block 100 includes provisions for implementing a unique form of “power gating” in order to reduce standby leakage current while, at the same time, maintaining stability.
  • the memory block 100 varies VSSC as a function of the memory block's mode (e.g., active and standby modes). As indicated earlier, for example, the memory block 100 adjusts VSSC to a voltage potential about equal to VSS when the memory block 100 is actively performing read and write operations and is in a first mode, which is defined as an “active” mode in this embodiment.
  • the memory block 100 raises VSSC to a voltage potential greater than VSS, such as, for example, to an intermediate voltage between VSS and VDD, when the memory block 100 is not being accessed and is in a second mode, which is defined as a “standby” mode in this embodiment (i.e., VSS ⁇ standby VSSC ⁇ VDD).
  • the voltage level of VSSC can be used to control a bias voltage of the NFETs N 1 and N 2 in the cross-coupled inverters, thereby controlling a drive strength (e.g., gain, etc.) of the storage element in the memory cell 110 .
  • raising standby VSSC in this manner has the effect of reducing the voltage bias applied to the memory cells 110 in the memory block 100 .
  • the leakage current of each of the memory cells 110 may be reduced in standby mode, and, correspondingly, the overall standby leakage current of the memory block 100 and the larger SRAM device may be substantially lowered.
  • FIG. 3 shows a schematic of at least a portion of a first illustrative power gating circuit 140 ′ that may reside in the memory block 100 , according to an embodiment of the invention.
  • the power gating circuit 140 ′ i.e., power gating circuitry
  • the power gating circuit 140 ′ may be separated into two portions: a power gating portion 300 and a control portion 310 .
  • this conceptual separation of the elements is merely a means of clarifying the functions of the different portions 300 , 310 .
  • no corresponding physical separation between the portions 300 , 310 may be present.
  • the two portions 300 , 310 may be combined into a single circuit which is operative to perform at least the functions of the individual portions 300 , 310 .
  • the power gating portion 300 of the power gating circuit 140 ′ comprises an NFET PGT 1 (wherein the acronym “PGT” is used to represent a “power gating transistor” (PGT)).
  • the NFET PGT 1 is connected between VSSC and VSS.
  • a source of the NFET PGT 1 is adapted for connection with VSS and a drain of the NFET PGT 1 is adapted for connection with VSSC.
  • the control portion 310 comprises an NFET N 3 and a PFET P 3 , which are both controlled by a control signal SB 1 .
  • a source of the PFET P 3 is adapted for connection with VDD
  • a drain of the PFET P 3 and a source of the NFET N 3 are connected with a gate of the NFET PGT 1
  • a drain of the NFET N 3 is adapted for connection with VSSC
  • a gate of the PFET P 3 and the NFET N 3 are adapted to receive the control signal SB 1 .
  • the control signal SB 1 is asserted (e.g., set to a logical high voltage level) and de-asserted (e.g., set to a logical low voltage level) by the control circuit 150 ( FIG. 1 ).
  • the power gating transistor may, in other embodiments, be formed as a composite device comprising a plurality of transistors.
  • the power gating transistor may comprise two NFET devices (not explicitly shown) connected together in a cascade arrangement. This cascade arrangement is beneficial especially in high-voltage applications in which the voltage potential between VSS and the VSSC exceeds a maximum drain-to-source voltage specified for a single power gating transistor.
  • the control portion 310 of the power gating circuit 140 ′ acts to configure the gate terminal of the NFET PGT 1 .
  • the power gating circuit 140 ′ functions to pull down VSSC to VSS when the memory block 100 is in an active mode (i.e., when access to one or more of the memory cells 110 is requested), and to allow VSSC to rise above VSS when the memory block 100 is in a standby mode (i.e., when access to the memory cells 110 is not requested).
  • the control circuit 150 de-asserts the control signal SB 1 , causing the PFET P 3 to switch on and the NFET N 3 to switch off.
  • the gate terminal of the NFET PGT 1 is electrically tied to VDD, switching the NFET PGT 1 on and causing the NFET PGT 1 to electrically connect VSSC to VSS.
  • the control circuit 150 asserts the control signal SB 1 , causing the PFET P 3 to switch off and the NFET N 4 to switch on. This, in turn, acts to electrically connect the gate terminal of the NFET PGT 1 to VSSC.
  • the connection of the gate terminal of the NFET PGT 1 to VSSC acts to place the NFET PGT 1 into what is commonly called a diode-connected transistor configuration.
  • Vg and Vd Vg and Vd
  • This characteristic of the diode-connected NFET PGT 1 has the property of clamping VSSC at a voltage different than VSS. Accordingly, the diode-connected NFET PGT 1 prevents VSSC from increasing without limit (i.e., floating). With the reduced voltage bias, the leakage current of each of the memory cells 110 is thereby reduced when the memory block 100 is in a standby mode compared to the leakage current that occurs when the memory block 100 is in an active mode.
  • the power gating circuit 140 ′ allows VSSC to be electrically tied to VSS while the memory block 100 is in an active mode, and allows VSSC to be elevated to an intermediate value between VSS and VDD when the memory block 100 is in a standby mode, it may remain desirable to have the power gating circuit 140 ( FIG. 1 ) be further capable of handling more than two modes.
  • the power gating circuit 140 is operative to handle a third mode, which is defined as a “shutdown” mode in this embodiment. In such a shutdown mode, VSSC is allowed to rise even farther above VSS than it does in the standby mode, even to the point where data integrity is compromised.
  • FIG. 4 shows a schematic diagram of at least a portion of a second illustrative power gating circuit 140 ′′ with such added capabilities, according to another embodiment of the invention.
  • the power gating circuit 140 ′′ may be conceptually separated into two portions: a power gating portion 400 and a control portion 410 (although, as before, when implemented in hardware, no corresponding physical separation between the portions 400 , 410 may be present).
  • the power gating portion 400 of the power gating circuit 140 ′′ comprises an NFET PGT 2 that is connected between VSSC and VSS in a manner similar to that shown in FIG. 3 .
  • the control portion 410 comprises an NFET N 4 , an NFET N 5 , a PFET P 4 , and a PFET P 5 , which are controlled by two control signals SB 2 and SD supplied to the power gating circuit 140 ′′.
  • a drain of the NFET N 4 is adapted for connection with VSSC, a source of the NFET N 4 is connected with a gate of the NFET PGT 2 at a node N 10 , a gate of the NFET N 4 is adapted to receive the control signal SB 2 , a source of the PFET P 4 is adapted for connection with VDD, a drain of the PFET P 4 is connected with a source of the PFET P 5 , a gate of the PFET P 4 is adapted to receive the control signal SB 2 , a drain of the PFET P 5 is connected with a drain of the NFET N 5 at the node N 10 , a source of the NFET N 5 is adapted for connection with VSS, and gates of the PFET P 5 and the NFET N 5 are adapted to receive the control signal SD.
  • the control signals SB 2 and SD are independently asserted and de-asserted by the control circuitry 150 ( FIG. 1 ) as a function of the mode in which the memory block 100 is operating.
  • the control portion 410 of the power gating circuit 140 ′′ ultimately acts to configure the gate terminal of the NFET PGT 2 .
  • the control circuit 150 de-asserts the control signals SB 2 and SD (e.g., logic low level), causing the PFETs P 4 and P 5 to switch on and the NFETs N 4 and N 5 to switch off.
  • the gate terminal of the NFET PGT 2 is electrically tied to VDD, thereby causing the NFET PGT 2 to switch on and to electrically connect VSSC to VSS.
  • the control circuit 150 asserts the control signal SB 2 (e.g., logic high level) and de-asserts the control signal SD (e.g., logic low level). This causes the PFET P 5 and the NFET N 4 to switch on, and the PFET P 4 and the NFET N 5 to switch off.
  • Such a configuration acts to electrically connect the gate terminal of the NFET PGT 2 to VSSC through the NFET N 4 .
  • the NFET PGT 2 is thereby again placed in a diode-connected transistor configuration, where it acts to clamp VSSC at an intermediate voltage between VSS and VDD.
  • the power gating circuit 140 ′′ may achieve the third mode, namely a shutdown mode, by having the control circuit 150 assert the control signal SD (e.g., logic high level) and de-assert the control signal SB 2 (e.g., logic low level).
  • This combination turns on the NFET N 5 and the PFET P 4 , and turns off the NFET N 4 and the PFET P 5 , which acts to electrically connect the gate terminal of the NFET PGT 2 to VSS.
  • the NFET PGT 2 is thereby caused to switch off. In this manner, the source potential line VSSC is left to electrically float.
  • VSSC In response to the leakage current from the memory block, VSSC ultimately rises to a value even higher than that achieved during the standby mode (i.e., VSS ⁇ standby VSSC ⁇ shutdown VSSC ⁇ VDD). Leakage current may thereby be even further diminished utilizing the shutdown mode so long as data integrity is not vital.
  • the NFET PGT 1 is operative to both electrically connect VSSC to VSS while the memory block 100 is in a first mode, and to clamp VSSC at a voltage different from that of VSS when the memory block 100 is in a second mode.
  • the NFET PGT 2 is operative to modulate VSSC in the same manner as the NFET PGT 1 in the power gating circuit 140 ′, but is also operative to electrically float VSSC while the memory block 100 is in a third mode.
  • the associated control portions 310 , 410 require only a few additional transistors.
  • the first illustrative power gating circuit 140 ′ only two MISFETs are utilized for control.
  • the second illustrative power gating circuit 140 ′′ only four MISFETs are utilized.
  • embodiments of the invention may be implemented in an integrated circuit.
  • identical die are typically fabricated in a repeated pattern on a surface of a semiconductor wafer.
  • Each die includes a device described herein, and may include other structures and/or circuits.
  • the individual die are cut or diced from the wafer, then packaged as an integrated circuit.
  • One skilled in the art would know how to dice wafers and package die to produce integrated circuits. Any of the exemplary circuits illustrated in FIGS. 1-4 , or portions thereof, may be part of an integrated circuit.
  • An integrated circuit in accordance with embodiments of the invention can be employed in essentially any application and/or electronic system in which a memory device (e.g., standalone or embedded) is utilized.
  • Suitable systems for implementing techniques of the invention may include, but are not limited, to personal computers, communication systems, electronic instruments (e.g., automated test equipment (ATE)), interface networks, high-speed memory interfaces (e.g., DDR 3 , DDR 4 ), etc. Systems incorporating such integrated circuits are also considered part of this invention.

Abstract

A memory device comprises a memory block, a power gating transistor, and control circuitry. The memory block includes at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line. The power gating transistor, in turn, is connected between the source potential line and a voltage source. The control circuitry is operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.

Description

    BACKGROUND
  • The electrical current required to maintain data integrity in static random-access memories (SRAMs) has dramatically increased as the cell size has been reduced. The dominant leakage mechanisms in a modern complementary metal-oxide-semiconductor (CMOS) six-transistor (6T) SRAM cell are transistor gate leakage and sub-threshold leakage. In the last several years, gate leakage has been controlled to some extent through the use of high-dielectric-constant (HIGH-K) metal gates. Sub-threshold leakage, on the other hand, is still a challenge for low power SRAMs.
  • SUMMARY
  • Embodiments of the invention provide memory devices with power gating capabilities. To accomplish this, embodiments of the invention utilize a power gating circuit that is electrically connected to source terminals of memory cells within a memory block. The power gating circuit sets the source potential low (e.g., ground potential or VSS) when the memory block is in an active mode, and sets the source potential to a value higher than ground (e.g., VSSC) when that memory block is in a standby mode. The resultant power gating of the memory cells reduces their standby leakage currents and thereby reduces the standby leakage of the entire memory device. The use of a single power gating transistor and just a few associated control transistors allows the power gating circuit to be implemented in a very area efficient manner. Moreover, an additional shutdown mode with even lower leakage current is available with little added overhead.
  • In accordance with an embodiment of the invention, a memory device comprises a memory block, a power gating transistor, and control circuitry. The memory block includes at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line. The power gating transistor, in turn, is connected between the source potential line and a voltage source. Lastly, the control circuitry is operative to configure the power gating transistor to electrically tie the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
  • In accordance with another embodiment of the invention, a power gating circuit is adapted for use with a memory block, the memory block including at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line. The power gating circuit comprises a power gating transistor and control circuitry. The power gating transistor is connected between the source potential line and a voltage source. The control circuitry is operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
  • In accordance with yet another embodiment of the invention, an integrated circuit comprises at least one memory device. The memory device, in turn, comprises a memory block, a power gating transistor, and control circuitry. The memory block includes at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line. The power gating transistor is connected between the source potential line and a voltage source. The control circuitry is operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
  • Embodiments of the present invention will become apparent from the following description of embodiments thereof, which are to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following drawings are presented by way of example only and without limitation, wherein like reference numerals (when used) indicate corresponding elements throughout the several views, and wherein:
  • FIG. 1 shows a block diagram of at least a portion of an illustrative memory block in an SRAM device, according to an embodiment of the invention;
  • FIG. 2 shows a schematic diagram of at least a portion of an illustrative memory cell that may reside within the FIG. 1 memory block, according to an embodiment of the invention;
  • FIG. 3 shows a schematic diagram of at least a portion of a first power gating circuit that may reside in the FIG. 1 memory block, according to an embodiment of the invention; and
  • FIG. 4 shows a schematic diagram of at least a portion of a second power gating circuit that may reside in the FIG. 1 memory block, according to an embodiment of the invention.
  • It is to be appreciated that elements in the figures are illustrated for simplicity and clarity. Common but well-understood elements that may be useful or necessary in a commercially feasible embodiment may not be shown in order to facilitate a less hindered view of the illustrated embodiments.
  • DESCRIPTION OF EMBODIMENTS
  • Embodiments of the invention will be described herein in the context of illustrative memory devices having power gating circuitry. It should be understood, however, that embodiments falling within the scope of the appended claims are not limited to these or any other particular circuit arrangements. It will become apparent to those skilled in the art, given the teachings herein, that numerous modifications can be made to the embodiments shown that are within the scope of the claimed invention. That is, no limitations with respect to the specific embodiments described herein are intended or should be inferred.
  • For the purpose of describing and claiming embodiments of the invention, the term MISFET as used herein is intended to be construed broadly and to encompass any type of metal-insulator-semiconductor field effect transistor. The term MISFET is, for example, intended to encompass semiconductor field effect transistors that utilize an oxide material as their gate dielectric (i.e., metal-oxide-semiconductor field effect transistors (MOSFETs)), as well as those that do not. In addition, despite a reference to the term “metal” in the acronym MISFET, the term MISFET is also intended to encompass semiconductor field effect transistors wherein the gate is formed from a non-metal such as, for instance, polysilicon.
  • Although embodiments of the invention described herein may be implemented using p-channel MISFETs (hereinafter called “PFETs”) and n-channel MISFETs (hereinafter called “NFETs”), as may be formed using a CMOS fabrication process, it is to be appreciated that embodiments of the invention are not limited to such transistor devices and/or such a fabrication process, and that other suitable devices, such as, for example, bipolar junction transistors (BJTs), etc., and/or fabrication processes (e.g., bipolar, BiCMOS, etc.), may be similarly employed, as will be understood by those skilled in the art. Moreover, although embodiments of the invention are typically fabricated in a silicon wafer, embodiments of the invention can alternatively be fabricated in wafers comprising other materials, including but not limited to gallium arsenide (GaAs), indium phosphide (InP), etc.
  • FIG. 1 shows a block diagram of an illustrative memory block 100 according to an embodiment of the invention. In this example, the memory block 100 is only one of several such blocks forming a larger memory device, in this particular case, an SRAM device. The memory block 100 comprises a memory cell array and several peripheral circuits. More particularly, the memory cell array includes a plurality of memory cells 110 arranged in a grid, although such a configuration is not limiting. The peripheral circuits include a row decoder 120, a column decoder 130, a power gating circuit 140, a control circuit 150, and read/write (r/w) circuitry 160. The r/w circuitry 160 comprises a sense amplifier 162 for reading data stored in one or more of the memory cells 110 and a write driver 165 for writing data to one or more of the memory cells 110. A plurality of word lines WL extend in the row direction of the memory block 100 and are coupled with row decoder 120, while a plurality of bit lines BL and BLB extend in the column direction and are coupled with column decoder 130. Bit lines BL and BLB are logical complements of one another. Each memory cell 110 is connected to a unique combination of one word line WL and one pair of complementary bit lines BL and BLB. Each memory cell 110 is also connected to the power gating circuit 140, shown as dotted connections in the figure. Control circuit 150 is coupled with the row and column decoders 120 and 130, respectively.
  • FIG. 2 shows a schematic diagram of at least a portion of an illustrative memory cell 110 that may reside within the FIG. 1 memory block 100, according to an embodiment of the invention. In this particular embodiment, the memory cell 110 includes two cross-coupled inverters, which are operative as a storage element of the memory cell 110. A first inverter includes a PFET P1 and an NFET N1. A source terminal (S) of the PFET P1 is adapted for connection to a power supply source, which is VDD in this embodiment. A drain terminal (D) of PFET P1, on the other hand, is connected to a drain terminal of the NFET N1 via a memory node QB. Finally, a source terminal of the NFET N1 is connected to a source terminal node ST, which, in turn, is connected to the power gating circuit 140 (FIG. 1) and is at source potential VSSC.
  • In a similar manner, a second inverter in the illustrative memory cell 110 in FIG. 2 includes a PFET P2 and an NFET N2. Here, a source terminal of the PFET P2 is adapted for connection to the power supply source VDD, while a drain terminal of the PFET P2 is connected to a drain terminal of the NFET N2 via a memory node Q. Lastly, a source terminal of the NFET N2 is also connected to the source terminal node ST at source potential VSSC.
  • In order to cross-couple the two inverters, gate terminals (G) of the PFET P1 and the NFET N1 are connected to the memory node Q, and gate terminals of the PFET P2 and the NFET N2 are connected to the memory node QB. In this manner, the output of the first inverter is connected to the input of the second inverter, while the output of the second inverter is connected to the input of the first inverter. Cross coupling inverters allows the memory cell 110 to act as a latch (i.e., flip-flop) with the ability to store complementary logic states at memory nodes Q and QB. In the present embodiment, the memory cell 110 is therefore of the static type. Between read and write operations, the inverters reinforce each other and maintain the voltage levels on Q and QB so long as the inverters are connected to the power supply source VDD.
  • In the present embodiment, the respective source terminal nodes ST of the memory cells 110 are set at ground potential VSS when writing data to and reading data from the memory cells 110. Once so set, access (e.g., reading and/or writing data) to the memory cells 110 may, to a large degree, be regulated by transfer NFETs X1 and X2, also visible in FIG. 2, or by alternative switch elements (e.g., pass gates, etc.). Transfer NFETs X1 and X2 are coupled with the first and second inventors, respectively, and are operative to connect the first and second inverters to the corresponding bit lines BL and BLB, respectively, as a function of control signals supplied to gate terminals of X1 and X2. The gate terminals of the NFET X1 and the NFET X2 are connected to a corresponding word line WL.
  • To write data to the memory cell 110, the bit lines BL and BLB are chosen by the column decoder 130 and are pre-charged by the write driver 165 (FIG. 1) to a state representative of the logic state to be stored. If a “0” logic state is to be stored, for example, the bit line BL may be set to a logical low (i.e., ground potential or VSS) and the complementary bit line BLB may be set to a logical high (i.e., power supply potential VDD). To write a “1” logic state, in contrast, the voltages of BL and BLB may be reversed. The word line WL corresponding to the particular memory cell 110 is then asserted by the row decoder 120 (FIG. 1) to turn on transfer NFETs X1 and X2. Because the write driver 165 is much stronger than the transistors in the memory cell 110, charging the bit lines BL and BLB and asserting the word line WL in this manner ultimately results in the voltages on the bit lines BL and BLB being transferred to the internal storage nodes Q and QB in the selected memory cell 110.
  • In contrast, to read data from the memory cell 110, the bit lines BL and BLB are first pre-charged by the write driver 165 to a logical high state, such as by connecting the bit lines to VDD, and then allowed to float. Asserting the corresponding word line WL, in turn, causes the logic state on the internal storage nodes Q and QB of the selected memory cell 110 to be transferred to the bit lines BL and BLB. The sense amplifier 162 is then used to determine whether bit line BL or bit line BLB has a higher potential and, correspondingly, which logic state was stored in the particular memory cell 110 being read.
  • The illustrative memory block 100 includes provisions for implementing a unique form of “power gating” in order to reduce standby leakage current while, at the same time, maintaining stability. To achieve this kind of power gating, the memory block 100 varies VSSC as a function of the memory block's mode (e.g., active and standby modes). As indicated earlier, for example, the memory block 100 adjusts VSSC to a voltage potential about equal to VSS when the memory block 100 is actively performing read and write operations and is in a first mode, which is defined as an “active” mode in this embodiment. In contrast, the memory block 100 raises VSSC to a voltage potential greater than VSS, such as, for example, to an intermediate voltage between VSS and VDD, when the memory block 100 is not being accessed and is in a second mode, which is defined as a “standby” mode in this embodiment (i.e., VSS<standby VSSC<VDD). The voltage level of VSSC can be used to control a bias voltage of the NFETs N1 and N2 in the cross-coupled inverters, thereby controlling a drive strength (e.g., gain, etc.) of the storage element in the memory cell 110. Ultimately, raising standby VSSC in this manner has the effect of reducing the voltage bias applied to the memory cells 110 in the memory block 100. With the reduced voltage bias, the leakage current of each of the memory cells 110 may be reduced in standby mode, and, correspondingly, the overall standby leakage current of the memory block 100 and the larger SRAM device may be substantially lowered.
  • In the present illustrative embodiment, modulation of VSSC within the memory block 100 is performed by the power gating circuit 140. FIG. 3 shows a schematic of at least a portion of a first illustrative power gating circuit 140′ that may reside in the memory block 100, according to an embodiment of the invention. For ease of understanding, the power gating circuit 140′ (i.e., power gating circuitry) may be separated into two portions: a power gating portion 300 and a control portion 310. Nevertheless, this conceptual separation of the elements is merely a means of clarifying the functions of the different portions 300, 310. When implemented in hardware, no corresponding physical separation between the portions 300, 310 may be present. For example, the two portions 300, 310 may be combined into a single circuit which is operative to perform at least the functions of the individual portions 300, 310.
  • As indicated in FIG. 3, the power gating portion 300 of the power gating circuit 140′ comprises an NFET PGT1 (wherein the acronym “PGT” is used to represent a “power gating transistor” (PGT)). In this particular embodiment, the NFET PGT1 is connected between VSSC and VSS. Specifically, a source of the NFET PGT1 is adapted for connection with VSS and a drain of the NFET PGT1 is adapted for connection with VSSC. The control portion 310, in turn, comprises an NFET N3 and a PFET P3, which are both controlled by a control signal SB1. More particularly, a source of the PFET P3 is adapted for connection with VDD, a drain of the PFET P3 and a source of the NFET N3 are connected with a gate of the NFET PGT1, a drain of the NFET N3 is adapted for connection with VSSC, and a gate of the PFET P3 and the NFET N3 are adapted to receive the control signal SB1. The control signal SB1 is asserted (e.g., set to a logical high voltage level) and de-asserted (e.g., set to a logical low voltage level) by the control circuit 150 (FIG. 1).
  • Although shown in this embodiment as comprising a single NFET device, it is to be appreciated that the power gating transistor may, in other embodiments, be formed as a composite device comprising a plurality of transistors. For example, the power gating transistor may comprise two NFET devices (not explicitly shown) connected together in a cascade arrangement. This cascade arrangement is beneficial especially in high-voltage applications in which the voltage potential between VSS and the VSSC exceeds a maximum drain-to-source voltage specified for a single power gating transistor.
  • The control portion 310 of the power gating circuit 140′ acts to configure the gate terminal of the NFET PGT1. As indicated above, the power gating circuit 140′ functions to pull down VSSC to VSS when the memory block 100 is in an active mode (i.e., when access to one or more of the memory cells 110 is requested), and to allow VSSC to rise above VSS when the memory block 100 is in a standby mode (i.e., when access to the memory cells 110 is not requested). Accordingly, when the memory block 100 is in an active mode, the control circuit 150 de-asserts the control signal SB1, causing the PFET P3 to switch on and the NFET N3 to switch off. In this manner, the gate terminal of the NFET PGT1 is electrically tied to VDD, switching the NFET PGT1 on and causing the NFET PGT1 to electrically connect VSSC to VSS. In contrast, when the memory block 100 is in a standby mode, the control circuit 150 asserts the control signal SB1, causing the PFET P3 to switch off and the NFET N4 to switch on. This, in turn, acts to electrically connect the gate terminal of the NFET PGT1 to VSSC.
  • The connection of the gate terminal of the NFET PGT1 to VSSC acts to place the NFET PGT1 into what is commonly called a diode-connected transistor configuration. As will be appreciated by one skilled in the art, a diode-connected NFET that is turned on produces a drain current Ids that increases exponentially with the voltage on its gate and drain terminals, Vg and Vd, respectively (where, in this case, Vg=Vd=VSSC). In a standby mode, VSSC rises above VSS as a result of leakage current from the memory cells 110. When VSSC rises about a threshold voltage above VSS, the NFET PGT1 turns on and begins conducting current Ids which serves to pull VSSC back towards VSS. This characteristic of the diode-connected NFET PGT1 has the property of clamping VSSC at a voltage different than VSS. Accordingly, the diode-connected NFET PGT1 prevents VSSC from increasing without limit (i.e., floating). With the reduced voltage bias, the leakage current of each of the memory cells 110 is thereby reduced when the memory block 100 is in a standby mode compared to the leakage current that occurs when the memory block 100 is in an active mode.
  • Nevertheless, while the power gating circuit 140′ allows VSSC to be electrically tied to VSS while the memory block 100 is in an active mode, and allows VSSC to be elevated to an intermediate value between VSS and VDD when the memory block 100 is in a standby mode, it may remain desirable to have the power gating circuit 140 (FIG. 1) be further capable of handling more than two modes. For example, in accordance with another embodiment, the power gating circuit 140 is operative to handle a third mode, which is defined as a “shutdown” mode in this embodiment. In such a shutdown mode, VSSC is allowed to rise even farther above VSS than it does in the standby mode, even to the point where data integrity is compromised. Leakage current may thereby be further diminished under those conditions wherein data integrity is not required. FIG. 4 shows a schematic diagram of at least a portion of a second illustrative power gating circuit 140″ with such added capabilities, according to another embodiment of the invention.
  • With reference now to FIG. 4, as was the case for the power gating circuit 140′ shown in FIG. 3, the power gating circuit 140″ may be conceptually separated into two portions: a power gating portion 400 and a control portion 410 (although, as before, when implemented in hardware, no corresponding physical separation between the portions 400, 410 may be present). The power gating portion 400 of the power gating circuit 140″ comprises an NFET PGT2 that is connected between VSSC and VSS in a manner similar to that shown in FIG. 3. The control portion 410, in turn, comprises an NFET N4, an NFET N5, a PFET P4, and a PFET P5, which are controlled by two control signals SB2 and SD supplied to the power gating circuit 140″. More particularly, a drain of the NFET N4 is adapted for connection with VSSC, a source of the NFET N4 is connected with a gate of the NFET PGT2 at a node N10, a gate of the NFET N4 is adapted to receive the control signal SB2, a source of the PFET P4 is adapted for connection with VDD, a drain of the PFET P4 is connected with a source of the PFET P5, a gate of the PFET P4 is adapted to receive the control signal SB2, a drain of the PFET P5 is connected with a drain of the NFET N5 at the node N10, a source of the NFET N5 is adapted for connection with VSS, and gates of the PFET P5 and the NFET N5 are adapted to receive the control signal SD.
  • The control signals SB2 and SD are independently asserted and de-asserted by the control circuitry 150 (FIG. 1) as a function of the mode in which the memory block 100 is operating. In a manner similar to the power gating circuit 140′ depicted in FIG. 3, the control portion 410 of the power gating circuit 140″ ultimately acts to configure the gate terminal of the NFET PGT2. For example, when the memory block 100 is in an active mode, the control circuit 150 de-asserts the control signals SB2 and SD (e.g., logic low level), causing the PFETs P4 and P5 to switch on and the NFETs N4 and N5 to switch off. In this manner, the gate terminal of the NFET PGT2 is electrically tied to VDD, thereby causing the NFET PGT2 to switch on and to electrically connect VSSC to VSS. In contrast, when the memory block 100 is in a standby mode, the control circuit 150 asserts the control signal SB2 (e.g., logic high level) and de-asserts the control signal SD (e.g., logic low level). This causes the PFET P5 and the NFET N4 to switch on, and the PFET P4 and the NFET N5 to switch off. Such a configuration acts to electrically connect the gate terminal of the NFET PGT2 to VSSC through the NFET N4. The NFET PGT2 is thereby again placed in a diode-connected transistor configuration, where it acts to clamp VSSC at an intermediate voltage between VSS and VDD.
  • The power gating circuit 140″ may achieve the third mode, namely a shutdown mode, by having the control circuit 150 assert the control signal SD (e.g., logic high level) and de-assert the control signal SB2 (e.g., logic low level). This combination turns on the NFET N5 and the PFET P4, and turns off the NFET N4 and the PFET P5, which acts to electrically connect the gate terminal of the NFET PGT2 to VSS. The NFET PGT2 is thereby caused to switch off. In this manner, the source potential line VSSC is left to electrically float. In response to the leakage current from the memory block, VSSC ultimately rises to a value even higher than that achieved during the standby mode (i.e., VSS<standby VSSC<shutdown VSSC<VDD). Leakage current may thereby be even further diminished utilizing the shutdown mode so long as data integrity is not vital.
  • Reference again to the illustrative power gating circuits 140′, 140″ shown in FIGS. 3 and 4, respectively, indicate that the power gating circuit 140 (FIG. 1) may be implemented in the memory block 100 with very few constituent elements. This provides the opportunity to realize such circuitry in an integrated circuit in a very area-efficient manner. Both power gating circuits 140′, 140″, for example, use a single respective NFET (i.e., PGT1 and PGT2) to control the level on VSSC for multiple modes. In the first power gating circuit 140′, the NFET PGT1 is operative to both electrically connect VSSC to VSS while the memory block 100 is in a first mode, and to clamp VSSC at a voltage different from that of VSS when the memory block 100 is in a second mode. In the second power gating circuit 140″, the NFET PGT2 is operative to modulate VSSC in the same manner as the NFET PGT1 in the power gating circuit 140′, but is also operative to electrically float VSSC while the memory block 100 is in a third mode. At the same time, the associated control portions 310, 410 require only a few additional transistors. In the first illustrative power gating circuit 140′, only two MISFETs are utilized for control. In the second illustrative power gating circuit 140″, only four MISFETs are utilized.
  • As discussed above, embodiments of the invention may be implemented in an integrated circuit. In forming integrated circuits, identical die are typically fabricated in a repeated pattern on a surface of a semiconductor wafer. Each die includes a device described herein, and may include other structures and/or circuits. The individual die are cut or diced from the wafer, then packaged as an integrated circuit. One skilled in the art would know how to dice wafers and package die to produce integrated circuits. Any of the exemplary circuits illustrated in FIGS. 1-4, or portions thereof, may be part of an integrated circuit.
  • An integrated circuit in accordance with embodiments of the invention can be employed in essentially any application and/or electronic system in which a memory device (e.g., standalone or embedded) is utilized. Suitable systems for implementing techniques of the invention may include, but are not limited, to personal computers, communication systems, electronic instruments (e.g., automated test equipment (ATE)), interface networks, high-speed memory interfaces (e.g., DDR3, DDR4), etc. Systems incorporating such integrated circuits are also considered part of this invention.
  • It should again be emphasized that the above-described embodiments of the invention are intended to be illustrative only. Other embodiments may use different types and arrangements of elements for implementing the described functionality. As just one example, a skilled artisan will recognize that, in many circuit embodiments coming within the scope of the claimed invention, a PFET may be substituted for an NFET and vice versa with only minor modifications to the circuit and no significant changes in functionality. These numerous alternative embodiments within the scope of the appended claims will be apparent to one skilled in the art given the teachings herein.
  • Moreover, the features disclosed herein may be replaced by alternative features serving the same, equivalent, or similar purposes, unless expressly stated otherwise. Thus, unless expressly stated otherwise, each feature disclosed is one example only of a generic series of equivalent or similar features.

Claims (20)

What is claimed is:
1. A memory device, comprising:
a memory block, the memory block including at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line;
a power gating transistor, the power gating transistor connected between the source potential line and a voltage source; and
control circuitry coupled with the power gating transistor, the control circuitry operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
2. The memory device of claim 1, wherein the memory cell is of a static type.
3. The memory device of claim 1, wherein the storage element comprises two cross-coupled inverters forming a latch.
4. The memory device of claim 3, wherein each of the cross-coupled inverters comprises a MISFET having a source terminal connected to the source potential line.
5. The memory device of claim 1, wherein the voltage source is fixed at a ground potential for the memory device.
6. The memory device of claim 1, wherein the power gating transistor comprises a MISFET.
7. The memory device of claim 1, wherein the memory block is accessed while in the first mode.
8. The memory device of claim 1, wherein the memory block is not accessed while in the second mode.
9. The memory device of claim 1, wherein the control circuitry is further operative to configure the power gating transistor to electrically float the source potential line while the memory block is in a third mode.
10. The memory device of claim 9, wherein the memory block is configured to exhibit a lower leakage current in the third mode than in the second mode.
11. The memory device of claim 9, wherein the memory block is not accessed while in the third mode.
12. The memory device of claim 1, wherein the control circuitry is controlled by one or more control signals.
13. The memory device of claim 1, wherein the control circuitry is separately operative to switch on the power gating transistor and to electrically connect a gate terminal of the power gating transistor to the source potential line.
14. The memory device of claim 13, wherein the control circuitry is further separately operative to turn off the power gating transistor.
15. The memory device of claim 1, wherein the control circuitry comprises two or fewer transistors.
16. The memory device of claim 1, wherein the control circuitry comprises four or fewer transistors.
17. A power gating circuit for use with a memory block, the memory block including at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line, the power gating circuit comprising:
a power gating transistor, the power gating transistor connected between the source potential line and a voltage source; and
control circuitry connected with the power gating transistor, the control circuitry operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
18. The power gating circuit of claim 17, wherein the power gating circuit is implemented in an integrated circuit.
19. An integrated circuit comprising at least one memory device, the at least one memory device comprising:
a memory block, the memory block including at least one memory cell comprising a storage element electrically connected to a source potential line, a drive strength of the storage element being a function of a voltage level on the source potential line;
a power gating transistor, the power gating transistor connected between the source potential line and a voltage source; and
control circuitry coupled with the power gating transistor, the control circuitry operative to configure the power gating transistor to electrically connect the source potential line to the voltage source while the memory block is in a first mode, and to clamp the source potential line at a voltage different from that of the voltage source when the memory block is in a second mode.
20. The integrated circuit of claim 19, wherein the at least one memory device comprises a static random access memory.
US13/300,180 2011-11-18 2011-11-18 Memory device with area efficient power gating circuitry Active 2032-03-01 US8462562B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/300,180 US8462562B1 (en) 2011-11-18 2011-11-18 Memory device with area efficient power gating circuitry

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/300,180 US8462562B1 (en) 2011-11-18 2011-11-18 Memory device with area efficient power gating circuitry

Publications (2)

Publication Number Publication Date
US20130128676A1 true US20130128676A1 (en) 2013-05-23
US8462562B1 US8462562B1 (en) 2013-06-11

Family

ID=48426817

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/300,180 Active 2032-03-01 US8462562B1 (en) 2011-11-18 2011-11-18 Memory device with area efficient power gating circuitry

Country Status (1)

Country Link
US (1) US8462562B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016091573A (en) * 2014-10-31 2016-05-23 ルネサスエレクトロニクス株式会社 Semiconductor storage device
JP2019012584A (en) * 2018-08-21 2019-01-24 ルネサスエレクトロニクス株式会社 Semiconductor memory device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150112148A (en) 2014-03-27 2015-10-07 삼성전자주식회사 Power gating circuit and integrated circuit
US20220158631A1 (en) * 2020-11-16 2022-05-19 Micron Technology, Inc. Sub-threshold current reduction circuit switches and related apparatuses and methods

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7020041B2 (en) * 2003-12-18 2006-03-28 Intel Corporation Method and apparatus to clamp SRAM supply voltage
US7079426B2 (en) 2004-09-27 2006-07-18 Intel Corporation Dynamic multi-Vcc scheme for SRAM cell stability control
JP4936749B2 (en) 2006-03-13 2012-05-23 株式会社東芝 Semiconductor memory device
US8406039B2 (en) 2009-07-13 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Low-leakage power supply architecture for an SRAM array
US8427886B2 (en) * 2011-07-11 2013-04-23 Lsi Corporation Memory device with trimmable power gating capabilities

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016091573A (en) * 2014-10-31 2016-05-23 ルネサスエレクトロニクス株式会社 Semiconductor storage device
US10373675B2 (en) 2014-10-31 2019-08-06 Renesas Electronics Corporation Semiconductor storage device
JP2019012584A (en) * 2018-08-21 2019-01-24 ルネサスエレクトロニクス株式会社 Semiconductor memory device

Also Published As

Publication number Publication date
US8462562B1 (en) 2013-06-11

Similar Documents

Publication Publication Date Title
US8427886B2 (en) Memory device with trimmable power gating capabilities
US9865333B2 (en) Temperature compensated read assist circuit for a static random access memory (SRAM)
US6920061B2 (en) Loadless NMOS four transistor dynamic dual Vt SRAM cell
US7355906B2 (en) SRAM cell design to improve stability
KR100634183B1 (en) Method to improve cache capacity of soi and bulk
US8330496B2 (en) Semiconductor integrated circuit device
US9236113B2 (en) Read assist for an SRAM using a word line suppression circuit
US5986923A (en) Method and apparatus for improving read/write stability of a single-port SRAM cell
US8625333B2 (en) Memory device having memory cells with write assist functionality
EP3028304B1 (en) Dual port memory cell
US20150043270A1 (en) Memory cell having built-in write assist
US20180261278A1 (en) Read assist circuit with process, voltage and temperature tracking for a static random access memory (sram)
US20070242497A1 (en) Dynamic control of back gate bias in a FinFET SRAM cell
US9349437B2 (en) Memory cell having built-in read and write assist
US7236408B2 (en) Electronic circuit having variable biasing
US8693264B2 (en) Memory device having sensing circuitry with automatic latching of sense amplifier output node
US8462562B1 (en) Memory device with area efficient power gating circuitry
US10276578B2 (en) Dynamic oxide semiconductor random access memory(DOSRAM) having a capacitor electrically connected to the random access memory (SRAM)
US9496026B1 (en) Memory device with stable writing and/or reading operation
US10685703B2 (en) Transistor body bias control circuit for SRAM cells
US20110157964A1 (en) Memory Cell Using Leakage Current Storage Mechanism
US8461875B1 (en) Digital circuits having improved transistors, and methods therefor
US10062419B2 (en) Digtial circuit structures
CN109119112B (en) Storage unit circuit for improving read-write stability and storage device
TW201304075A (en) Semiconductor device and method of fabrication

Legal Events

Date Code Title Description
AS Assignment

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:EVANS, DONALD ALBERT;DUDECK, DENNIS EDWARD;STEPHANI, RICHARD JOHN;AND OTHERS;SIGNING DATES FROM 20111111 TO 20111115;REEL/FRAME:027265/0132

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:LSI CORPORATION;AGERE SYSTEMS LLC;REEL/FRAME:032856/0031

Effective date: 20140506

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LSI CORPORATION;REEL/FRAME:035390/0388

Effective date: 20140814

AS Assignment

Owner name: AGERE SYSTEMS LLC, PENNSYLVANIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047230/0133

Effective date: 20180509

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE OF MERGER TO 09/05/2018 PREVIOUSLY RECORDED AT REEL: 047230 FRAME: 0133. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047630/0456

Effective date: 20180905

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8