US20120228718A1 - Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse - Google Patents

Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse Download PDF

Info

Publication number
US20120228718A1
US20120228718A1 US13/477,370 US201213477370A US2012228718A1 US 20120228718 A1 US20120228718 A1 US 20120228718A1 US 201213477370 A US201213477370 A US 201213477370A US 2012228718 A1 US2012228718 A1 US 2012228718A1
Authority
US
United States
Prior art keywords
fuse
layer
region
electrical fuse
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/477,370
Inventor
Yung-Chang Lin
Kuei-Sheng Wu
Chang-Chien Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/477,370 priority Critical patent/US20120228718A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, YUNG-CHANG, WONG, CHANG-CHIEN, WU, KUEI-SHENG
Publication of US20120228718A1 publication Critical patent/US20120228718A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a method of forming an electrical fuse (e-fuse) and a metal gate transistor, and more particularly to a method of integrating an e-fuse process into a high dielectric constant (high-k) material and metal gate (HK/MG) process.
  • e-fuse electrical fuse
  • metal gate transistor metal gate
  • e-fuses Electrical fuses
  • e-fuses are generally applied to redundancy circuits in the integrated circuits. Once a defect is detected in the circuit, these e-fuses are used to trim or replace the defective part(s) of the circuit.
  • some fuses are fabricated in the top metal layer. If some memory cells, word lines or lead wires fail, the fuses may be used to disconnect the failed parts, and some redundant memory cells, redundant word lines or redundant lead wires may replace the failed parts.
  • each transistor of a memory array may be connected to a metal wire in a wafer, and the metal wire may include a programmable connecting component.
  • signals may be inputted into the semiconductor chips to specialize the standard chips into various kinds of product chips, so as to reduce the research and development cost, and also the fabricating cost.
  • PROM programmable read only memory
  • a high voltage may be applied to the connecting wire to burnout the programmable connecting component, so an open circuit (off-state) may be formed, and a digital signal “1” is inputted.
  • the un-burned fuse is connected to the transistor to form an on-state and a digital signal “0” is inputted.
  • the procedure of blowing a fuse by a high voltage is called a programming step. Once the fuse is programmed, the fuse is rendered a permanently open circuit. Through the programming step, the programmed fuse and the un-programmed fuse serve as digital bits to store data.
  • the prior art fuse unit 10 includes a poly-silicon e-fuse 12 and a controlling component 14 , for example, is transistor.
  • a terminal of the controlling component 14 is electrically connected to the poly-silicon e-fuse 12
  • another terminal of the controlling component 14 is electrically connected to the ground point GND.
  • the fuse unit 10 is only a redundant part of the integrated circuit, and is not in use. While a trimming step or a programming step is performed, a gate voltage Vg is applied to the gate of the controlling component 14 , and the controlling component 14 is therefore turned on.
  • the poly-silicon e-fuse is problematic in terms of device scaling. It is because the step of burning the poly-silicon e-fuse usually causes a particle pollution to damage the adjacent components. In order to reduce the potential damage to the adjacent components, large pitches between the poly-silicon e-fuse structure and the adjacent components are necessary, which decrease the component density. In addition, a sufficient current is necessary to burnout the poly-silicon e-fuse. Thus, a great voltage needs to be provided for such a programming step. Nevertheless, the voltage provided in the integrated circuit gets correspondingly smaller as the integrated circuit is being scaled down.
  • control of the operating voltage for an e-fuse gets harder, and application of the poly-silicon e-fuse is limited.
  • the present programming scheme may be inoperable due to the intrinsic robustness of the poly-silicon material. In the case of a highly reliable or compact circuit system, an on-off ratio of 2 to 3 orders of magnitude may hinder this usage range and applicability.
  • the present invention is directed to a method of forming an e-fuse and a metal gate transistor including a HK/MG process, which may reduce or resolve the above-mentioned prior art problems.
  • An embodiment provides a method of forming an electrical fuse and a metal gate transistor.
  • a substrate is provided. At least an electrical fuse region and at least an active region are defined in the substrate.
  • dummy gate stacks are formed in both the active region and the electrical fuse region.
  • Each dummy gate stack includes a gate dielectric layer, a first work function metal layer, and a poly-silicon layer.
  • a plurality of source/drain regions is formed in the substrate at opposite sides of the dummy gate stack in the active region.
  • a first interlayer dielectric layer is formed in the active region and in the electrical fuse region. The first interlayer dielectric layer exposes the poly-silicon layer disposed in the active region and in the electrical fuse region.
  • the poly-silicon layer is removed to form an opening in the active region, and an opening in the electrical fuse region.
  • a second work function metal layer is formed to cover the bottom and sidewall of the opening in the active region.
  • a metal conductive structure is formed to fill the openings and form a metal gate transistor and an electrical fuse.
  • the present invention is also directed to an electrical fuse structure.
  • the electrical fuse includes a substrate, a gate dielectric layer disposed on the substrate, a metal conductive structure disposed on a surface of the gate dielectric layer, an interlayer dielectric layer disposed on the substrate, and at least a contact plug penetrating the interlayer dielectric layer and contacting a surface of the metal conductive structure.
  • the process proposed by the present invention may not only minimize the component size but also provide an e-fuse and a metal gate transistor for stable operations.
  • the e-fuse process therefore may be integrated into 32/28 nanometers process effectively to increase the component density of integrated circuit.
  • FIG. 1 is a schematic view of a conventional fuse unit
  • FIGS. 2-9 illustrate a method of forming an electrical fuse and a metal gate transistor according to an embodiment of the present invention.
  • FIGS. 2-9 illustrate a method of forming an electrical fuse and a metal gate transistor according to an embodiment of the present invention.
  • the like numbered numerals designate similar or the same parts, regions or elements. It is to be understood that the drawings are not drawn to scale and are served only for illustration purposes.
  • a substrate 112 such as a silicon substrate, a silicon-containing substrate, or a silicon-on-insulator (SOI) substrate, is provided.
  • At least one active region 114 and at least one e-fuse region are defined in the substrate 112 .
  • the active region 114 is a region for forming active components, such as N-type metal oxide semiconductor (NMOS) transistors, P-type metal oxide semiconductor (PMOS) transistors, and/or complementary metal oxide semiconductor (CMOS) transistors.
  • NMOS N-type metal oxide semiconductor
  • PMOS P-type metal oxide semiconductor
  • CMOS complementary metal oxide semiconductor
  • both an NMOS transistor and a PMOS transistor are fabricated in the active region 114 .
  • the e-fuse region 116 is a region defined for forming e-fuses.
  • a plurality of isolation structures 118 such as field oxide layers or shallow trench isolation (STI) structures, are formed in the substrate 112 in both the active region 114 and the e-fuse region 116 by utilizing a local oxidation (LOCOS) process or a shallow trench isolation process.
  • LOC local oxidation
  • Some of the isolation structures 118 may surround and insulate the active component in the active region 114 , and some of the isolation structures 118 may insulate the e-fuse structure in the e-fuse region 116 .
  • a gate dielectric layer 122 is formed on the surface of the substrate 112 in both the active region 114 and the e-fuse region 116 .
  • the gate dielectric layer 122 may include an oxide layer 122 a disposed over the substrate 112 , and a high-k material layer 122 b disposed over the oxide layer 122 a .
  • the oxide layer 122 a may be formed by a thermal oxidation process or a chemical vapor deposition (CVD) process, and may include at least one dielectric layer, such as a silicon dioxide layer or a silicon oxynitride layer.
  • the high-k material layer 122 b is formed over the oxide layer 122 a in both the active region 114 and the e-fuse region 116 , and may include HfSiO, HfSiON, HfO, LaO, LaAlO, ZrO, ZrSiO, HfZrO, or combination thereof.
  • the gate dielectric layer 122 may be a single layer structure or a multiple-layer structure that having material layers more than two, and any proper dielectric material may be included.
  • an optional cap layer (not shown) may be formed on the gate dielectric layer 122 in other embodiments.
  • the cap layer including LaO, Dy2O3, or combination thereof may be deposited on the whole surface.
  • portions of the cap layer disposed in the PMOS transistor region are removed, and retaining the remainder portions of the cap layer disposed in the NMOS transistor region and the fuse region.
  • a process such as a CVD process, a physical vapor deposition (PVD) process, an atomic layer deposition (ALD) process, a sputtering process or plasma enhanced chemical vapor deposition (PECVD) process, may be performed to form a first work function metal layer 126 over the gate dielectric layer 122 .
  • the first work function metal layer 126 may include an N-type work function metal or a P-type work function metal, and the first work function metal layer 126 may be a single layer structure or a multiple-layer structure.
  • the first work function metal layer 126 may include a material with a resistance lower than 100 ⁇ -ohm-cm, such as pure metal, metal alloy, metal oxide, metal nitride, metal oxynitride, metal silicide, metal carbide or other metal compounds.
  • a material with a resistance lower than 100 ⁇ -ohm-cm such as pure metal, metal alloy, metal oxide, metal nitride, metal oxynitride, metal silicide, metal carbide or other metal compounds.
  • the fermi level of the metal gate preferably approaches to the mid-gap of silicon material. Therefore, the critical voltage (Vth) of the NMOS transistor and the critical voltage of the PMOS transistor may be adjusted to correspond with each other.
  • the material of the metal gate preferably has great steadiness under high-temperature, good blocking ability from impurities, and great adhesion in the present invention.
  • the possibility of the material of the gate penetrating into the substrate or the dielectric layer due to pollution may be effectively reduced, and also the possibility of impurities from penetrating into the gate, and peeling effect may be effectively reduced.
  • the first work function metal layer 126 may preferably include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC) or tungsten nitride (WN).
  • a poly-silicon layer 128 and a mask layer 130 may be formed over the first work function metal layer 126 , one or more etching step may be carried out on portions of the mask layer 130 , portions of the poly-silicon layer 128 , portions of the first work function metal layer 126 and portions of the gate dielectric layer 122 to form dummy gate stacks 132 for the NMOS transistor and the PMOS transistor respectively in the active region 114 , and a dummy gate stack 132 for the e-fuse structure in the e-fuse region 116 .
  • offset spacers 134 may be formed on sidewalls of the dummy gate stack 132 , lightly doping processes may optionally be formed in the active region 114 , a plurality of spacers may optionally be formed on sidewalls of the dummy gate stack 132 , N-type source/drain regions 143 a may be formed, source/drain recesses for the PMOS transistor may optionally be formed, an selective epitaxial growth (SEG) process can be carried out on the substrate 112 to fill the source/drain recesses with SiGe as P-type source/drain regions 143 b , and a salicide process may be performed in the active region 114 to form silicide 148 on both the N-type source/drain regions 143 a and the P-type source/drain regions 143 b .
  • SEG selective epitaxial growth
  • the spacer structures may include the silicon oxide layer 136 , the silicon nitride cap layer 138 and the second silicon oxide layer 140 .
  • an etching stop layer 154 are optionally formed to cover the surfaces of the substrate 112 , the source/drain regions 143 a , 143 b , the dummy gate stacks 132 and the second silicon oxide layer 140 .
  • a first interlayer dielectric layer (IDL) 156 may be formed to over the etching stop layer 154 .
  • a silicon nitride cap layer 138 may be deposited to cover a first spacer made by the first silicon oxide layer 136 . Thereafter, an etching-back process may be performed on the silicon nitride cap layer 138 to form a second spacer. Afterwards, other processes, such as forming the N-type source/drain regions 143 a and forming the P-type source/drain regions 143 b , may be carried out in turn. Furthermore, a second silicon oxide layer 140 may be deposited and etched-back to form a third spacer. In other embodiments, the P-type source/drain regions 143 b may be formed before the N-type source/drain regions 143 a are formed.
  • the poly-silicon layer 128 is used to serve as a sacrificial layer, and may include undoped poly-silicon, doped poly-silicon, amorphous silicon or other materials.
  • the mask layer 130 may include silicon dioxide (SiO 2 ), silicon nitride (SiN), silicon carbide (SiC) or silicon oxynitride (SiON).
  • the silicon nitride cap layer 138 can also optionally have tension stress, and is applied as a stressed cap layer.
  • the etching stop layer 154 may be used as a stop layer in the subsequent planarization process and the contact plug process, and may include a silicon nitride layer.
  • the etching stop layer 154 may have a thick silicon nitride layer in some embodiments to serve as a stress cap layer.
  • the first interlayer dielectric layer 156 may include nitride, oxide, carbide, low electrical constant material or the combination thereof.
  • a planarization process such as a chemical mechanical polishing (CMP) process or a dry etching process, is performed over the first interlayer dielectric layer 156 to removed portions of the first interlayer dielectric layer 156 , portions of the etching stop layer 154 and the mask layer 130 , and thereby expose the poly-silicon layer 128 , and top surface thereof may contour the surface of the first interlayer 156 .
  • CMP chemical mechanical polishing
  • a dry etching or a wet etching process may be optionally performed to remove the poly-silicon layer 128 in both the active region 114 and the e-fuse region 116 , and the remainder first interlayer dielectric layer 156 is retained.
  • ammonium hydroxide (NH4 OH) or tetra-methyl ammonium hydroxide (TMAH) may be used to remove the poly-silicon layer 128 .
  • the first interlayer dielectric layer 156 may be slightly etched. Accordingly, three openings 158 are formed in the active region 114 and the e-fuse region 116 , and the first work function metal layer 126 disposed under the openings 158 are exposed.
  • a second work function metal layer 160 may be formed to cover bottom and sidewalls of each opening 158 .
  • portions of the second work function metal layer 160 and portions of the first work function metal layer 126 disposed in e-fuse region 116 are removed.
  • the first work function metal layer 126 may therefore only be disposed in the active region 114 .
  • a CVD process, a PVD process, an ALD process, a sputtering process or a PECVD process may be first performed, for example, to form the second work function metal layer 160 including P-type work function metal or N-type work function metal.
  • a patterned photoresist 162 may be formed over the second work function metal layer 160 to expose the opening 158 in the e-fuse region 116 .
  • a dry etching process or a wet etching process may be carried out to remove portions of the second work function metal layer 160 and portions of the first work function metal layer 126 disposed on the sidewalls and bottom of the opening 158 in the e-fuse region 116 . Accordingly, the gate dielectric layer 122 disposed in the opening 158 of the e-fuse region 116 can be exposed.
  • the second work function metal layer 160 may be a single layer structure or a multiple-layer structure, and may preferably include TiN, TaN or WN.
  • an ion implanting process or a surface treatment may be performed on the work function metal layer, the process recipes of the work function metal layer may be adjusted, the number of the work function metal may be increase or decrease in the present invention to provide proper work function value or other needed characteristics for different types of transistors.
  • a conductive layer 164 mainly comprising of low-resistance material, such as metal, is thereafter formed to cover the second work function layer 160 in the active region 114 , and fill the openings 158 .
  • the conductive layer 164 may directly contact the gate dielectric layer 122 in the opening 158 of the e-fuse region 116 .
  • the conductive layer 164 in this embodiment may include low resistance materials, such as aluminum, tungsten, titanium aluminum alloy (TiAl) or cobalt tungsten phosphide (CoWP).
  • each metal conductive structure 165 is disposed in one opening 158 .
  • an e-fuse 168 , a MOS transistor 166 a having a metal gate, and a MOS transistors 166 b having a metal gate are formed.
  • the metal conductive structures 165 replace the dummy gate stacks 132 , and are disposed on the gate dielectric layer 122 .
  • the spacer structures are disposed on the sidewalls of the metal conductive structures 165 .
  • a second interlayer dielectric layer 170 may be furthermore formed in both the active region 114 and the e-fuse region 116 to cover the first interlayer dielectric layer 156 , the MOS transistor 166 a , the MOS transistor 166 b and the e-fuse 168 .
  • a plurality of contact plugs 172 may be formed in the first and the second interlayer dielectric layers 156 , 170 .
  • the contact plugs 172 are electrically connected to the metal conductive structures 165 of the MOS transistors 166 a , 166 b , the N-type source/drain region 143 a , the P-type source/drain region 143 b and the e-fuse 168 respectively.
  • the aforementioned process may integrate the e-fuse process into the HK/MG process, and particularly into the 32/28 nanometers process effectively.
  • the fabrication process is simplified but also provides a smaller size e-fuses and metal gate transistors.
  • the redundancy circuits and the programming circuits may be minimized, and the component density of integrated circuit may be increased.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

The present invention provides a method of integrating an electrical fuse process into a high-k/metal gate process. The method simultaneously forms a dummy gate stack of a transistor and a dummy gate stack of an e-fuse; and simultaneously removes the polysilicon of the dummy gate stack in the transistor region and the polysilicon of the dummy gate stack in the e-fuse region. Thereafter, the work function metal layer disposed in the opening of the e-fuse region is removed; and the opening in the transistor region and the opening in the e-fuse region with metal conductive structures are filled to form an e-fuse and a metal gate of a transistor.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation application of an application Ser. No. 12/641,322, filed on Dec. 18, 2009, and now pending. The disclosure presented in the continuation is the same as that of the above-mentioned patent application and the entirety of each of the above-mentioned patent applications is hereby incorporated by reference herein and made a part of this specification.
  • BACKGROUND
  • 1. Field of the Invention
  • The present invention relates to a method of forming an electrical fuse (e-fuse) and a metal gate transistor, and more particularly to a method of integrating an e-fuse process into a high dielectric constant (high-k) material and metal gate (HK/MG) process.
  • 2. Description of Related Art
  • Electrical fuses (e-fuses) are generally applied to redundancy circuits in the integrated circuits. Once a defect is detected in the circuit, these e-fuses are used to trim or replace the defective part(s) of the circuit. In a conventional memory structure, some fuses are fabricated in the top metal layer. If some memory cells, word lines or lead wires fail, the fuses may be used to disconnect the failed parts, and some redundant memory cells, redundant word lines or redundant lead wires may replace the failed parts.
  • In addition, some fuse designs can even provide the programming function. For example, each transistor of a memory array may be connected to a metal wire in a wafer, and the metal wire may include a programmable connecting component. After the semiconductor chips are fabricated in the wafer, signals may be inputted into the semiconductor chips to specialize the standard chips into various kinds of product chips, so as to reduce the research and development cost, and also the fabricating cost. For inputting data into the programmable read only memory (PROM), a high voltage may be applied to the connecting wire to burnout the programmable connecting component, so an open circuit (off-state) may be formed, and a digital signal “1” is inputted. On other hand, the un-burned fuse is connected to the transistor to form an on-state and a digital signal “0” is inputted. The procedure of blowing a fuse by a high voltage is called a programming step. Once the fuse is programmed, the fuse is rendered a permanently open circuit. Through the programming step, the programmed fuse and the un-programmed fuse serve as digital bits to store data.
  • As illustrated in FIG. 1, the prior art fuse unit 10 includes a poly-silicon e-fuse 12 and a controlling component 14, for example, is transistor. A terminal of the controlling component 14 is electrically connected to the poly-silicon e-fuse 12, and another terminal of the controlling component 14 is electrically connected to the ground point GND. In a normal condition, the fuse unit 10 is only a redundant part of the integrated circuit, and is not in use. While a trimming step or a programming step is performed, a gate voltage Vg is applied to the gate of the controlling component 14, and the controlling component 14 is therefore turned on. At this time, current Ids flows from the operating voltage Vfs through the poly-silicon e-fuse 12 to the ground point GND, and cause an electron migration in the poly-silicon e-fuse 12. When the current Ids continuously passes the poly-silicon e-fuse 12, the poly-silicon material of the poly-silicon e-fuse 12 moves along the boundaries of the crystalline grains, toward the current flow direction, and cause an open circuit for trimming or programming.
  • However, along with a trend towards scaling down the device size, the poly-silicon e-fuse is problematic in terms of device scaling. It is because the step of burning the poly-silicon e-fuse usually causes a particle pollution to damage the adjacent components. In order to reduce the potential damage to the adjacent components, large pitches between the poly-silicon e-fuse structure and the adjacent components are necessary, which decrease the component density. In addition, a sufficient current is necessary to burnout the poly-silicon e-fuse. Thus, a great voltage needs to be provided for such a programming step. Nevertheless, the voltage provided in the integrated circuit gets correspondingly smaller as the integrated circuit is being scaled down. Accordingly, control of the operating voltage for an e-fuse gets harder, and application of the poly-silicon e-fuse is limited. The present programming scheme may be inoperable due to the intrinsic robustness of the poly-silicon material. In the case of a highly reliable or compact circuit system, an on-off ratio of 2 to 3 orders of magnitude may hinder this usage range and applicability.
  • In light of this, the poly-silicon e-fuse structure limits increase in the component density. It is still a challenge to provide an e-fuse structure for nano-scaled integrated circuit.
  • BRIEF SUMMARY
  • Therefore, the present invention is directed to a method of forming an e-fuse and a metal gate transistor including a HK/MG process, which may reduce or resolve the above-mentioned prior art problems.
  • An embodiment provides a method of forming an electrical fuse and a metal gate transistor. First, a substrate is provided. At least an electrical fuse region and at least an active region are defined in the substrate. Subsequently, dummy gate stacks are formed in both the active region and the electrical fuse region. Each dummy gate stack includes a gate dielectric layer, a first work function metal layer, and a poly-silicon layer. Next, a plurality of source/drain regions is formed in the substrate at opposite sides of the dummy gate stack in the active region. Subsequently, a first interlayer dielectric layer is formed in the active region and in the electrical fuse region. The first interlayer dielectric layer exposes the poly-silicon layer disposed in the active region and in the electrical fuse region. Thereafter, the poly-silicon layer is removed to form an opening in the active region, and an opening in the electrical fuse region. Afterwards, a second work function metal layer is formed to cover the bottom and sidewall of the opening in the active region. Thereafter, a metal conductive structure is formed to fill the openings and form a metal gate transistor and an electrical fuse.
  • The present invention is also directed to an electrical fuse structure. The electrical fuse includes a substrate, a gate dielectric layer disposed on the substrate, a metal conductive structure disposed on a surface of the gate dielectric layer, an interlayer dielectric layer disposed on the substrate, and at least a contact plug penetrating the interlayer dielectric layer and contacting a surface of the metal conductive structure.
  • Accordingly, the process proposed by the present invention may not only minimize the component size but also provide an e-fuse and a metal gate transistor for stable operations. The e-fuse process therefore may be integrated into 32/28 nanometers process effectively to increase the component density of integrated circuit.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features and advantages of the various embodiments disclosed herein will be better understood with respect to the following description and drawings, in which like numbers refer to like parts throughout, and in which:
  • FIG. 1 is a schematic view of a conventional fuse unit;
  • FIGS. 2-9 illustrate a method of forming an electrical fuse and a metal gate transistor according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. Here, it is to be noted that the present invention is not limited thereto. Furthermore, the step serial numbers concerning the forming method are not meant thereto limit the operating sequence, and any rearrangement of the operating sequence for achieving same functionality is still within the spirit and scope of the invention.
  • Referring to FIG. 2 through FIG. 9, FIGS. 2-9 illustrate a method of forming an electrical fuse and a metal gate transistor according to an embodiment of the present invention. The like numbered numerals designate similar or the same parts, regions or elements. It is to be understood that the drawings are not drawn to scale and are served only for illustration purposes. As shown in FIG. 2, a substrate 112, such as a silicon substrate, a silicon-containing substrate, or a silicon-on-insulator (SOI) substrate, is provided. At least one active region 114 and at least one e-fuse region are defined in the substrate 112. The active region 114 is a region for forming active components, such as N-type metal oxide semiconductor (NMOS) transistors, P-type metal oxide semiconductor (PMOS) transistors, and/or complementary metal oxide semiconductor (CMOS) transistors. In the present embodiment, for example, both an NMOS transistor and a PMOS transistor are fabricated in the active region 114.
  • The e-fuse region 116 is a region defined for forming e-fuses. A plurality of isolation structures 118, such as field oxide layers or shallow trench isolation (STI) structures, are formed in the substrate 112 in both the active region 114 and the e-fuse region 116 by utilizing a local oxidation (LOCOS) process or a shallow trench isolation process. Some of the isolation structures 118 may surround and insulate the active component in the active region 114, and some of the isolation structures 118 may insulate the e-fuse structure in the e-fuse region 116.
  • Thereafter, a gate dielectric layer 122 is formed on the surface of the substrate 112 in both the active region 114 and the e-fuse region 116. In this embodiment, the gate dielectric layer 122 may include an oxide layer 122 a disposed over the substrate 112, and a high-k material layer 122 b disposed over the oxide layer 122 a. The oxide layer 122 a may be formed by a thermal oxidation process or a chemical vapor deposition (CVD) process, and may include at least one dielectric layer, such as a silicon dioxide layer or a silicon oxynitride layer. Afterwards, the high-k material layer 122 b is formed over the oxide layer 122 a in both the active region 114 and the e-fuse region 116, and may include HfSiO, HfSiON, HfO, LaO, LaAlO, ZrO, ZrSiO, HfZrO, or combination thereof. In other embodiments, the gate dielectric layer 122 may be a single layer structure or a multiple-layer structure that having material layers more than two, and any proper dielectric material may be included. In addition, an optional cap layer (not shown) may be formed on the gate dielectric layer 122 in other embodiments. For example, the cap layer including LaO, Dy2O3, or combination thereof may be deposited on the whole surface. Next, portions of the cap layer disposed in the PMOS transistor region are removed, and retaining the remainder portions of the cap layer disposed in the NMOS transistor region and the fuse region.
  • Still referring to FIG. 2, a process, such as a CVD process, a physical vapor deposition (PVD) process, an atomic layer deposition (ALD) process, a sputtering process or plasma enhanced chemical vapor deposition (PECVD) process, may be performed to form a first work function metal layer 126 over the gate dielectric layer 122. The first work function metal layer 126 may include an N-type work function metal or a P-type work function metal, and the first work function metal layer 126 may be a single layer structure or a multiple-layer structure.
  • Regarding a material selection for the first work function metal layer 126, the first work function metal layer 126 may include a material with a resistance lower than 100 μ-ohm-cm, such as pure metal, metal alloy, metal oxide, metal nitride, metal oxynitride, metal silicide, metal carbide or other metal compounds. In a case of forming both a NMOS transistor and a PMOS transistor, such as in a case of forming a CMOS transistor, the fermi level of the metal gate preferably approaches to the mid-gap of silicon material. Therefore, the critical voltage (Vth) of the NMOS transistor and the critical voltage of the PMOS transistor may be adjusted to correspond with each other. In addition, the material of the metal gate preferably has great steadiness under high-temperature, good blocking ability from impurities, and great adhesion in the present invention. Thus, the possibility of the material of the gate penetrating into the substrate or the dielectric layer due to pollution may be effectively reduced, and also the possibility of impurities from penetrating into the gate, and peeling effect may be effectively reduced. For example, the first work function metal layer 126 may preferably include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC) or tungsten nitride (WN).
  • As shown in FIG. 3, a poly-silicon layer 128 and a mask layer 130 may be formed over the first work function metal layer 126, one or more etching step may be carried out on portions of the mask layer 130, portions of the poly-silicon layer 128, portions of the first work function metal layer 126 and portions of the gate dielectric layer 122 to form dummy gate stacks 132 for the NMOS transistor and the PMOS transistor respectively in the active region 114, and a dummy gate stack 132 for the e-fuse structure in the e-fuse region 116. In addition, offset spacers 134 may be formed on sidewalls of the dummy gate stack 132, lightly doping processes may optionally be formed in the active region 114, a plurality of spacers may optionally be formed on sidewalls of the dummy gate stack 132, N-type source/drain regions 143 a may be formed, source/drain recesses for the PMOS transistor may optionally be formed, an selective epitaxial growth (SEG) process can be carried out on the substrate 112 to fill the source/drain recesses with SiGe as P-type source/drain regions 143 b, and a salicide process may be performed in the active region 114 to form silicide 148 on both the N-type source/drain regions 143 a and the P-type source/drain regions 143 b. For instance, the spacer structures may include the silicon oxide layer 136, the silicon nitride cap layer 138 and the second silicon oxide layer 140. Next, an etching stop layer 154 are optionally formed to cover the surfaces of the substrate 112, the source/ drain regions 143 a, 143 b, the dummy gate stacks 132 and the second silicon oxide layer 140. Subsequently, a first interlayer dielectric layer (IDL) 156 may be formed to over the etching stop layer 154.
  • It should be noted that, the above-mentioned step serial numbers are not meant thereto limit the operating sequence, and any rearrangement of the operating sequence for achieving same functionality may be accepted. For example, a silicon nitride cap layer 138 may be deposited to cover a first spacer made by the first silicon oxide layer 136. Thereafter, an etching-back process may be performed on the silicon nitride cap layer 138 to form a second spacer. Afterwards, other processes, such as forming the N-type source/drain regions 143 a and forming the P-type source/drain regions 143 b, may be carried out in turn. Furthermore, a second silicon oxide layer 140 may be deposited and etched-back to form a third spacer. In other embodiments, the P-type source/drain regions 143 b may be formed before the N-type source/drain regions 143 a are formed.
  • The poly-silicon layer 128 is used to serve as a sacrificial layer, and may include undoped poly-silicon, doped poly-silicon, amorphous silicon or other materials. The mask layer 130 may include silicon dioxide (SiO2), silicon nitride (SiN), silicon carbide (SiC) or silicon oxynitride (SiON). In addition, the silicon nitride cap layer 138 can also optionally have tension stress, and is applied as a stressed cap layer. The etching stop layer 154 may be used as a stop layer in the subsequent planarization process and the contact plug process, and may include a silicon nitride layer. The etching stop layer 154 may have a thick silicon nitride layer in some embodiments to serve as a stress cap layer. The first interlayer dielectric layer 156 may include nitride, oxide, carbide, low electrical constant material or the combination thereof.
  • As shown in FIG. 4, a planarization process, such as a chemical mechanical polishing (CMP) process or a dry etching process, is performed over the first interlayer dielectric layer 156 to removed portions of the first interlayer dielectric layer 156, portions of the etching stop layer 154 and the mask layer 130, and thereby expose the poly-silicon layer 128, and top surface thereof may contour the surface of the first interlayer 156.
  • As shown in FIG. 5, a dry etching or a wet etching process may be optionally performed to remove the poly-silicon layer 128 in both the active region 114 and the e-fuse region 116, and the remainder first interlayer dielectric layer 156 is retained. For example, ammonium hydroxide (NH4 OH) or tetra-methyl ammonium hydroxide (TMAH) may be used to remove the poly-silicon layer 128. The first interlayer dielectric layer 156 may be slightly etched. Accordingly, three openings 158 are formed in the active region 114 and the e-fuse region 116, and the first work function metal layer 126 disposed under the openings 158 are exposed.
  • As shown in FIG. 6, a second work function metal layer 160 may be formed to cover bottom and sidewalls of each opening 158. Next, portions of the second work function metal layer 160 and portions of the first work function metal layer 126 disposed in e-fuse region 116 are removed. The first work function metal layer 126 may therefore only be disposed in the active region 114. Particularly, a CVD process, a PVD process, an ALD process, a sputtering process or a PECVD process may be first performed, for example, to form the second work function metal layer 160 including P-type work function metal or N-type work function metal. After that, a patterned photoresist 162 may be formed over the second work function metal layer 160 to expose the opening 158 in the e-fuse region 116. Next, a dry etching process or a wet etching process may be carried out to remove portions of the second work function metal layer 160 and portions of the first work function metal layer 126 disposed on the sidewalls and bottom of the opening 158 in the e-fuse region 116. Accordingly, the gate dielectric layer 122 disposed in the opening 158 of the e-fuse region 116 can be exposed.
  • The second work function metal layer 160 may be a single layer structure or a multiple-layer structure, and may preferably include TiN, TaN or WN. In addition, an ion implanting process or a surface treatment may be performed on the work function metal layer, the process recipes of the work function metal layer may be adjusted, the number of the work function metal may be increase or decrease in the present invention to provide proper work function value or other needed characteristics for different types of transistors.
  • As shown in FIG. 7, a conductive layer 164 mainly comprising of low-resistance material, such as metal, is thereafter formed to cover the second work function layer 160 in the active region 114, and fill the openings 158. The conductive layer 164 may directly contact the gate dielectric layer 122 in the opening 158 of the e-fuse region 116. The conductive layer 164 in this embodiment may include low resistance materials, such as aluminum, tungsten, titanium aluminum alloy (TiAl) or cobalt tungsten phosphide (CoWP).
  • As shown in FIG. 8, another chemical mechanical polishing process is performed to remove portions of the conductive layer 164, and form a plurality of metal conductive structures 165. Each metal conductive structure 165 is disposed in one opening 158. Thus, an e-fuse 168, a MOS transistor 166 a having a metal gate, and a MOS transistors 166 b having a metal gate are formed. The metal conductive structures 165 replace the dummy gate stacks 132, and are disposed on the gate dielectric layer 122. The spacer structures are disposed on the sidewalls of the metal conductive structures 165.
  • As shown in FIG. 9, a second interlayer dielectric layer 170 may be furthermore formed in both the active region 114 and the e-fuse region 116 to cover the first interlayer dielectric layer 156, the MOS transistor 166 a, the MOS transistor 166 b and the e-fuse 168. Next, a plurality of contact plugs 172 may be formed in the first and the second interlayer dielectric layers 156, 170. The contact plugs 172 are electrically connected to the metal conductive structures 165 of the MOS transistors 166 a, 166 b, the N-type source/drain region 143 a, the P-type source/drain region 143 b and the e-fuse 168 respectively.
  • To sum up, the aforementioned process may integrate the e-fuse process into the HK/MG process, and particularly into the 32/28 nanometers process effectively. Thus, not only the fabrication process is simplified but also provides a smaller size e-fuses and metal gate transistors. The redundancy circuits and the programming circuits may be minimized, and the component density of integrated circuit may be increased.
  • The above description is given by way of example, and not limitation. Given the above disclosure, one skilled in the art could devise variations that are within the scope and spirit of the invention disclosed herein, including configurations ways of the recessed portions and materials and/or designs of the attaching structures. Further, the various features of the embodiments disclosed herein can be used alone, or in varying combinations with each other and are not intended to be limited to the specific combination described herein. Thus, the scope of the claims is not to be limited by the illustrated embodiments.

Claims (8)

1. An electrical fuse, comprising:
a substrate comprising a fuse region with an electrical isolation structure formed therein;
an aluminum structure disposed over the electrical isolation structure;
an interlayer dielectric layer disposed over the substrate; and
at least a contact plug penetrating the interlayer dielectric layer and contacting a surface of the aluminum structure.
2. The electrical fuse of claim 1, wherein the substrate further comprises a transistor region insulated from the fuse region.
3. The electrical fuse of claim 2, further comprising a gate dielectric layer disposed over the substrate in the transistor region and the fuse region.
4. The electrical fuse of claim 3, further comprising a U-shaped work function metal layer disposed only in the transistor region above the gate dielectric layer.
5. The electrical fuse of claim 3, wherein the gate dielectric layer comprises an oxide layer and a high dielectric constant (high-k) material layer.
6. The electrical fuse of claim 1, further comprising a spacer structure disposed on sidewalls of the aluminum structure.
7. The electrical fuse of claim 6, wherein the spacer structure comprises:
a first oxide layer covering the sidewalls of the aluminum structure;
a nitride cap layer covering sidewalls of the first oxide layer; and
a second oxide layer covering sidewalls of the nitride cap layer.
8. The electrical fuse of claim 1, further comprising an etching stop layer covering the substrate and the aluminum structure.
US13/477,370 2009-12-18 2012-05-22 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse Abandoned US20120228718A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/477,370 US20120228718A1 (en) 2009-12-18 2012-05-22 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/641,322 US8227890B2 (en) 2009-12-18 2009-12-18 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US13/477,370 US20120228718A1 (en) 2009-12-18 2012-05-22 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/641,322 Continuation US8227890B2 (en) 2009-12-18 2009-12-18 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse

Publications (1)

Publication Number Publication Date
US20120228718A1 true US20120228718A1 (en) 2012-09-13

Family

ID=44149875

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/641,322 Active 2030-06-23 US8227890B2 (en) 2009-12-18 2009-12-18 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US13/469,069 Active US8399318B2 (en) 2009-12-18 2012-05-10 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US13/477,370 Abandoned US20120228718A1 (en) 2009-12-18 2012-05-22 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/641,322 Active 2030-06-23 US8227890B2 (en) 2009-12-18 2009-12-18 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US13/469,069 Active US8399318B2 (en) 2009-12-18 2012-05-10 Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse

Country Status (1)

Country Link
US (3) US8227890B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120306027A1 (en) * 2011-05-30 2012-12-06 Globalfoundries Inc. Transistors with embedded strain-inducing material formed in cavities provided by an oxidizing etch process
CN105990137A (en) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof, and semiconductor structure and forming method thereof
US10056301B2 (en) * 2016-06-20 2018-08-21 Semiconductor Manufacturing International (Shanghai) Corporation Transistor and fabrication method thereof
US10467857B2 (en) 2010-11-14 2019-11-05 Nguyen Gaming Llc Peripheral management device for virtual game interaction
US11488440B2 (en) 2010-11-14 2022-11-01 Aristocrat Technologies, Inc. (ATI) Method and system for transferring value for wagering using a portable electronic device
US11862453B2 (en) 2020-08-27 2024-01-02 Marvell Asia Pte, Ltd. Gate stack for metal gate transistor

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9402680B2 (en) * 2008-05-27 2016-08-02 Maquet Cardiovasular, Llc Surgical instrument and method
US9000525B2 (en) 2010-05-19 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for alignment marks
US8816409B2 (en) * 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
KR101776926B1 (en) * 2010-09-07 2017-09-08 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR101746709B1 (en) * 2010-11-24 2017-06-14 삼성전자주식회사 Methods of fabricating a semiconductor device including metal gate electrodes
US8835246B2 (en) * 2011-02-25 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with resistors and methods of forming the same
US8367494B2 (en) * 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
CN102842503B (en) * 2011-06-20 2015-04-01 中芯国际集成电路制造(北京)有限公司 Manufacturing method of semiconductor device
US8445345B2 (en) * 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US9524934B2 (en) * 2011-11-22 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with electrical fuses and methods of forming the same
US9147678B2 (en) 2012-01-04 2015-09-29 United Microelectronics Corp. Resistor and fabrication method thereof
US8772102B2 (en) * 2012-04-25 2014-07-08 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device formed using replacement gate techniques
US8741723B2 (en) 2012-04-25 2014-06-03 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device
US8936979B2 (en) * 2012-06-11 2015-01-20 GlobalFoundries, Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
KR102070094B1 (en) 2012-12-13 2020-01-29 삼성전자주식회사 Semiconductor Device Having a Resistor Electrode
WO2015122877A1 (en) * 2014-02-11 2015-08-20 Intel Corporation Embedded fuse with conductor backfill
US9754945B2 (en) 2014-08-06 2017-09-05 Globalfoundries Inc. Non-volatile memory device employing a deep trench capacitor
US9362001B2 (en) * 2014-10-14 2016-06-07 Ememory Technology Inc. Memory cell capable of operating under low voltage conditions
KR102276992B1 (en) 2015-02-10 2021-07-14 삼성전자주식회사 Method of manufacturing semiconductor devices
US9613958B2 (en) * 2015-06-10 2017-04-04 International Business Machines Corporation Spacer chamfering gate stack scheme
CN106409830B (en) 2015-07-27 2020-05-05 联华电子股份有限公司 Semiconductor element with metal grid and manufacturing method thereof
KR102327140B1 (en) 2015-11-30 2021-11-16 삼성전자주식회사 OTP(One Time Programmable) memory device, fabricating method thereof, and electronic apparatus comprising the memory device
CN105800549B (en) * 2016-01-16 2018-08-21 苏州工业园区纳米产业技术研究院有限公司 Metallic nanodots array and the method for being used to form nano dot device
US10269917B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
EP3648160B1 (en) * 2018-08-24 2021-05-26 Shenzhen Weitongbo Technology Co., Ltd. Electrically programmable fuse, manufacturing method therefor, and storage unit
TWI825557B (en) * 2021-10-22 2023-12-11 南亞科技股份有限公司 Fuse component, semiconductor device, and method for manufacturing a fuse component
US11916015B2 (en) 2021-10-26 2024-02-27 Nanya Technology Corporation Fuse component, semiconductor device, and method for manufacturing a fuse component

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100059823A1 (en) * 2008-09-10 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive device for high-k metal gate technology and method of making

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6683365B1 (en) * 2002-08-01 2004-01-27 Micron Technology, Inc. Edge intensive antifuse device structure
US7413980B2 (en) * 2006-04-25 2008-08-19 Texas Instruments Incorporated Semiconductor device with improved contact fuse
US8030718B2 (en) * 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US20100213569A1 (en) * 2009-02-20 2010-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits having fuses and systems thereof
US8183640B2 (en) * 2009-07-14 2012-05-22 United Microelectronics Corp. Method of fabricating transistors and a transistor structure for improving short channel effect and drain induced barrier lowering
US20110074538A1 (en) * 2009-09-25 2011-03-31 Kuei-Sheng Wu Electrical fuse structure and method for fabricating the same
US8071437B2 (en) 2009-11-19 2011-12-06 United Microelectronics Corp. Method of fabricating efuse, resistor and transistor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100059823A1 (en) * 2008-09-10 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive device for high-k metal gate technology and method of making

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10467857B2 (en) 2010-11-14 2019-11-05 Nguyen Gaming Llc Peripheral management device for virtual game interaction
US10614660B2 (en) 2010-11-14 2020-04-07 Nguyen Gaming Llc Peripheral management device for virtual game interaction
US11488440B2 (en) 2010-11-14 2022-11-01 Aristocrat Technologies, Inc. (ATI) Method and system for transferring value for wagering using a portable electronic device
US11544999B2 (en) 2010-11-14 2023-01-03 Aristocrat Technologies, Inc. (ATI) Gaming apparatus supporting virtual peripherals and funds transfer
US20120306027A1 (en) * 2011-05-30 2012-12-06 Globalfoundries Inc. Transistors with embedded strain-inducing material formed in cavities provided by an oxidizing etch process
US8674458B2 (en) * 2011-05-30 2014-03-18 Globalfoundries Inc. Transistors with embedded strain-inducing material formed in cavities provided by an oxidizing etch process
CN105990137A (en) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof, and semiconductor structure and forming method thereof
US10056301B2 (en) * 2016-06-20 2018-08-21 Semiconductor Manufacturing International (Shanghai) Corporation Transistor and fabrication method thereof
US11862453B2 (en) 2020-08-27 2024-01-02 Marvell Asia Pte, Ltd. Gate stack for metal gate transistor

Also Published As

Publication number Publication date
US8227890B2 (en) 2012-07-24
US8399318B2 (en) 2013-03-19
US20120225524A1 (en) 2012-09-06
US20110147853A1 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
US8399318B2 (en) Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
US9368499B2 (en) Method of forming different voltage devices with high-k metal gate
US9275864B2 (en) Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
TWI392085B (en) Semiconductor device and method for forming the same
US10811410B2 (en) Simultaneously fabricating a high voltage transistor and a FinFET
US20150041875A1 (en) Nonvolatile Memory Bitcell With Inlaid High K Metal Select Gate
US10269868B1 (en) Semiconductor structure and the method of making the same
US9536883B2 (en) Dual anti-fuse
US8497554B2 (en) Semiconductor device comprising metal gate structures formed by a replacement gate approach and efuses including a silicide
US7084452B2 (en) Semiconductor device having one-time programmable ROM and method of fabricating the same
US8004060B2 (en) Metal gate compatible electrical antifuse
US10461174B1 (en) Vertical field effect transistors with self aligned gate and source/drain contacts
US9524962B2 (en) Semiconductor device comprising an e-fuse and a FET
US10985063B2 (en) Semiconductor device with local connection
WO2014011641A1 (en) Polycrystalline silicon e-fuse and resistor fabrication in a metal replacement gate process
US10177039B2 (en) Shallow trench isolation structures and contact patterning
TWI484595B (en) Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US9899319B2 (en) Raised e-fuse
TWI615924B (en) E-fuse in soi configuration and method of forming the same
US20240014127A1 (en) Method for manufacturing semiconductor device having fuse component
US20240014128A1 (en) Semiconductor device having fuse component
CN116600561A (en) Semiconductor element and method for manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, YUNG-CHANG;WU, KUEI-SHENG;WONG, CHANG-CHIEN;REEL/FRAME:028247/0976

Effective date: 20091210

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION