US20110095343A1 - BI-LAYER nFET EMBEDDED STRESSOR ELEMENT AND INTEGRATION TO ENHANCE DRIVE CURRENT - Google Patents

BI-LAYER nFET EMBEDDED STRESSOR ELEMENT AND INTEGRATION TO ENHANCE DRIVE CURRENT Download PDF

Info

Publication number
US20110095343A1
US20110095343A1 US12/607,104 US60710409A US2011095343A1 US 20110095343 A1 US20110095343 A1 US 20110095343A1 US 60710409 A US60710409 A US 60710409A US 2011095343 A1 US2011095343 A1 US 2011095343A1
Authority
US
United States
Prior art keywords
layer
nfet
stressor element
embedded stressor
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/607,104
Other versions
US8035141B2 (en
Inventor
Kevin K. Chan
Abhishek Dube
Jinghong Li
Viorel Ontalus
Zhengmao Zhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/607,104 priority Critical patent/US8035141B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LI, JINGHONG, ZHU, ZHENGMAO, CHAN, KEVIN K., DUBE, ABHISHEK, ONTALUS, VIOREL
Priority to PCT/EP2010/065495 priority patent/WO2011051109A1/en
Priority to CN201080048613.2A priority patent/CN102598229B/en
Publication of US20110095343A1 publication Critical patent/US20110095343A1/en
Application granted granted Critical
Publication of US8035141B2 publication Critical patent/US8035141B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/902FET with metal source region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/903FET configuration adapted for use as static memory cell

Definitions

  • the present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to a bi-layer embedded stressor element for n-channel field effect transistors (nFETs) which greatly minimizes defect density and is implant damage free as well as a method of fabricating the bi-layer nFET embedded stressor element.
  • nFETs n-channel field effect transistors
  • One possible approach for creating a desirable stressed silicon channel region is to form embedded SiGe or Si:C stressors (i.e., stress wells) within the source and drain regions of a complementary metal oxide semiconductor (CMOS) device to induce compressive or tensile strain in the channel region located between the source region and the drain region.
  • CMOS complementary metal oxide semiconductor
  • hole mobility can be enhanced significantly in p-channel silicon transistors by using an embedded SiGe stressor in the source and drain regions.
  • the electron mobility can be enhanced by using selective Si:C in which C is substitutional.
  • the Si:C stressor includes a high content of C in substitutional sites of Si
  • a higher tensile strain can be applied to the Si channel.
  • CMOS complementary metal oxide semiconductor
  • a semiconductor structure including a bi-layer nFET embedded stressor element is disclosed.
  • the bi-layer nFET embedded stressor element employed in the instant application overcomes the problems associated with prior art Si:C embedded stressor elements.
  • the bi-layer nFET embedded stressor element can be integrated into any CMOS process flow.
  • the bi-layer nFET embedded stressor element includes an implant damaged free first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of a semiconductor substrate and imparts a tensile strain in a device channel of an nFET gate stack.
  • the first layer of the bi-layer nFET embedded stressor element is composed of Si:C.
  • the bi-layer nFET embedded stressor element further includes a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material.
  • the second layer of the bi-layered nFET embedded stressor element is located on an upper surface of the first layer of the bi-layered nFET embedded stressor element.
  • the second layer of the bi-layer nFET embedded stressor element is composed of silicon.
  • the second layer of the bi-layer nFET embedded stressor element includes the implanted source/drain regions.
  • a semiconductor structure in an aspect of the invention, includes a bi-layer nFET embedded stressor element.
  • the structure includes at least one nFET gate stack located on an upper surface of a semiconductor substrate.
  • a bi-layer nFET embedded stressor element is located at a footprint of the at least one nFET gate stack substantially within a pair of recessed regions which are present on opposite sides of the at least one nFET gate stack.
  • the bi-layer nFET embedded stressor element includes a first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a tensile strain in a device channel that is located beneath the at least one nFET gate stack, and a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material.
  • the structure further includes a source/drain region located within the second layer of the bi-layer nFET embedded stressor element, but not the first layer of the bi-layer nFET embedded stressor element.
  • a semiconductor structure in one preferred embodiment, includes at least one nFET gate stack located on an upper surface of a semiconductor substrate.
  • a bi-layer nFET embedded stressor element is located at a footprint of the at least one nFET gate stack substantially within a pair of recessed regions which are present on opposite sides of the at least one nFET gate stack.
  • the bi-layer nFET embedded stressor element includes a first layer comprised of Si:C and a second layer comprised of silicon, which is preferably doped with phosphorus, that is located atop the first layer of Si:C.
  • the structure further includes a source/drain region within the second layer of the bi-layer nFET embedded stressor element, but not the first layer of the bi-layer nFET embedded stressor element.
  • a method of fabricating a semiconductor structure including a bi-layer nFET embedded stressor element includes forming a pair of recessed regions within a semiconductor substrate at a footprint of an nFET gate stack. A bi-layer nFET embedded stressor element is formed within each of the recessed regions.
  • the bi-layer nFET stressor element includes a first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a tensile strain in a device channel that is located beneath the at least one nFET gate stack, and a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material.
  • a spacer i.e., outer spacer
  • the spacer has a base that covers an upper surface of the first layer of the bi-layer nFET stressor element and extends onto an upper surface of the second layer of the bi-layer nFET stressor element.
  • a source/drain region is formed within the second layer of the bi-layer nFET embedded stressor element using the spacer as an ion implantation mask, but not said first layer of said bi-layer nFET stressor element.
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating an initial structure including a semiconductor structure having a least one nFET gate stack located on an upper surface of a semiconductor substrate that can be employed in one embodiment of the present invention.
  • FIG. 2 is a pictorial representation (through a cross sectional views) illustrating the initial structure of FIG. 1 after forming recessed regions with the semiconductor substrate at the footprint of the at least one nFET gate stack.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 2 after forming a bi-layer n-FET embedded stressor element within each of the recessed regions.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 3 after further CMOS processing including spacer formation and formation of a source region and a drain region, collectively referred to herein as source/drain regions.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 4 after formation of a metal semiconductor alloy, e.g., silicide, contact atop the source/drain region.
  • a metal semiconductor alloy e.g., silicide
  • FIG. 1 illustrates an initial structure 10 that can be employed in one embodiment of the present invention.
  • the initial structure 10 includes a semiconductor substrate 12 having at least one nFET device region 14 .
  • the semiconductor substrate 12 can also include at least one isolation region (not specifically shown).
  • the initial structure 10 further includes at least one nFET gate stack 18 located on an upper surface of the at least one nFET device region 14 of the semiconductor substrate 12 .
  • the at least one nFET gate stack 18 which is typically patterned, includes, from bottom to top, a gate dielectric 20 , a gate electrode 22 and an optional gate electrode cap 24 ; gate electrode cap 24 can also be referred to herein as a dielectric cap.
  • At least one spacer 26 (which can be referred to as an inner spacer) is typically located on the sidewalls of each of the nFET gate stacks that are present in the initial structure 10 . In some embodiments, no spacer 26 is present.
  • the present invention also contemplates the presence of at least one pFET gate stack (not shown) located on a surface of a pFET device region (not shown) of semiconductor substrate 12 .
  • the pFET device region and the at least one pFET gate stack would be located to the left and/or right of the nFET device region 14 shown in FIG. 1 .
  • the initial structure 10 shown in FIG. 1 can be formed by conventional methods and include materials well known to those skilled in the art.
  • the semiconductor substrate 12 of the initial structure 10 can be comprised of any semiconductor material including, but not limited to Si, Ge, SiGe, SiC, SiGeC, GaAs, GaN, InAs, InP and all other III/V or II/VI compound semiconductors.
  • the semiconductor material of the semiconductor substrate 12 has a lattice constant that is dependent on the type of semiconductor material employed.
  • Semiconductor substrate 12 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI), a SiGe-on-insulator (SGOI) or a germanium-on-insulator (GOI).
  • the semiconductor substrate 12 includes an SOI substrate in which top and bottom semiconductor material layers such as Si are spaced apart by a buried dielectric such as a buried oxide.
  • the semiconductor substrate 12 is composed of bulk silicon or a silicon-on-insulator.
  • the semiconductor substrate 12 may be doped, undoped or contain doped and undoped regions therein.
  • the semiconductor substrate 12 may include a single crystal orientation or it may include at least two coplanar surface regions that have different crystal orientations (the latter substrate is referred to in the art as a hybrid substrate).
  • a hybrid substrate When a hybrid substrate is employed, an nFET is typically formed on a ⁇ 100 ⁇ crystal surface, while a pFET is typically formed on a ⁇ 110 ⁇ crystal plane.
  • nFET device region 14 may have a ⁇ 100 ⁇ crystal surface, while a pFET device region (not shown) may have a ⁇ 110 ⁇ crystal plane.
  • the hybrid substrate can be formed by techniques that are well known in the art. See, for example, co-owned U.S. Pat. No. 7,329,923, U.S. Publication No. 2005/0116290, dated Jun. 2, 2005 and U.S. Pat. No. 7,023,055, the entire contents of each are incorporated herein by reference.
  • the at least one isolation region (not specifically shown) is typically formed into the semiconductor substrate 12 so as to form device regions within the semiconductor substrate 12 .
  • the at least one isolation region may be a trench isolation region or a field oxide isolation region.
  • the trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of the trench with a trench dielectric may be used in forming the trench isolation region.
  • a liner may be formed in the trench prior to trench fill, a densification step may be performed after the trench fill and a planarization process may follow the trench fill as well.
  • the height of the trench isolation region can be adjusted by performing a wet etching process such as etching with a solution containing hydrofluoric acid.
  • the field oxide can be formed utilizing a so-called local oxidation of silicon process.
  • the various device regions may be doped (e.g., by ion implantation processes) to form well regions within the different device regions.
  • the well regions are not specifically shown in the drawings of the present application.
  • the well regions for pFET devices typically include an n-type dopant, and the well regions for nFET devices typically include a p-type dopant.
  • the dopant concentration of the well regions of the same conductivity type device may be the same or different.
  • the dopant concentration of the well regions of the different conductivity type may be the same or different.
  • the at least one nFET gate stack 18 is formed utilizing any conventional process that is well known to those skilled in the art; at least one pFET gate stack can also be formed within a pFET device region before, during or after forming the at least one nFET gate stack 18 .
  • the at least one nFET gate stack 18 is formed by deposition of various material layers, followed by patterning the deposited material layers via lithography and etching.
  • the at least one nFET gate stack 18 is formed by a replacement gate process that includes the use of a dummy gate material.
  • the at least one nFET gate stack 18 includes, from bottom to top, gate dielectric 20 , gate electrode 22 , and optional gate electrode cap 24 .
  • the gate dielectric 20 includes any gate insulating material including for example, an oxide, a nitride, an oxynitride or a multilayer stack thereof.
  • the gate dielectric 20 is a semiconductor oxide, a semiconductor nitride or a semiconductor oxynitride.
  • the gate dielectric 20 includes a dielectric metal oxide having a dielectric constant that is greater than the dielectric constant of silicon oxide, e.g., 3.9.
  • the gate dielectric 20 that is employed has a dielectric constant greater than 4.0, with a dielectric constant of greater than 8.0 being more typical.
  • dielectric materials are referred to herein as a high k dielectric.
  • Exemplary high k dielectrics include, but are not limited to HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , a silicate thereof, and an alloy thereof. Multilayered stacks of these high k materials can also be employed as the gate dielectric 20 .
  • Each value of x is independently from 0.5 to 3 and each
  • the thickness of the gate dielectric 20 may vary depending on the technique used to form the same. Typically, the gate dielectric 20 has a thickness from 1 nm to 10 nm, with a thickness from 2 nm to 5 nm being more typical. When a high k gate dielectric is employed as the gate dielectric 20 , the high k gate dielectric can have an effective oxide thickness on the order of, or less than, 1 nm.
  • the gate dielectric 20 can be formed by methods well known in the art.
  • the gate dielectric 20 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), molecular beam deposition (MBD), pulsed laser deposition (PLD), liquid source misted chemical deposition (LSMCD), and atomic layer deposition (ALD).
  • the gate dielectric 20 can be formed by a thermal process such as, for example, thermal oxidation and/or thermal nitridation.
  • the gate electrode 22 of the at least one nFET gate stack 18 comprises any conductive material including, but not limited to polycrystalline silicon, polycrystalline silicon germanium, an elemental metal, (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least one elemental metal, an elemental metal nitride (e.g., tungsten nitride, aluminum nitride, and titanium nitride), an elemental metal silicide (e.g., tungsten silicide, nickel silicide, and titanium silicide) and multilayer thereof.
  • the gate electrode is comprised of nFET metal gate.
  • the gate electrode is comprised of polycrystalline silicon.
  • the gate electrode 22 can be formed utilizing a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), evaporation, physical vapor deposition (PVD), sputtering, chemical solution deposition, atomic layer deposition (ALD) and other like deposition processes.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition
  • ALD atomic layer deposition
  • Si-containing materials can be doped within an appropriate impurity by utilizing either an in-situ doping deposition process or by utilizing deposition, followed by a step such as ion implantation or gas phase doping in which the appropriate impurity is introduced into the Si-containing material.
  • a metal silicide is formed, a conventional silicidation process is employed.
  • the as deposited gate electrode 22 typically has a thickness from 10 nm to 100 nm, with a thickness from 20 nm to 50 nm being even more typical.
  • an optional gate electrode cap 24 can be formed atop the gate electrode 22 .
  • the optional gate electrode cap 24 includes a dielectric oxide, nitride, oxynitride or any combination thereof including multilayered stacks.
  • the optional dielectric electrode cap 24 is comprised of silicon nitride.
  • the optional gate electrode cap 24 is formed utilizing a conventional deposition process well known to those skilled in the art including, for example, CVD and PECVD.
  • the optional gate electrode cap 24 can be formed by a thermal process such as, for example, oxidation and/or nitridation.
  • the thickness of the optional gate electrode cap 24 may vary depending on the exact cap material employed as well as the process that is used in forming the same. Typically, the optional gate electrode cap 24 has a thickness from 5 nm to 200 nm, with a thickness from 10 nm to 50 nm being more typical. The optional gate electrode cap 24 is typically employed when the gate electrode 22 is a Si-containing material such as polysilicon.
  • the initial structure 10 shown in FIG. 1 also includes at least one spacer 26 whose base is located on an upper surface of the substrate 12 . An edge of the at least one spacer 26 is located on a sidewall of the nFET gate stack 18 .
  • the at least one spacer 26 includes any dielectric material such as, for example, an oxide, a nitride, an oxynitride or any combination thereof. Typically, but not necessarily always, the at least one spacer 26 is comprised of a different material than the optional gate electrode cap 24 . In one embodiment, the at least one spacer 26 is comprised of silicon oxide or silicon nitride.
  • the at least one spacer 26 includes a thin inner spacer, and a wider (relative to the inner spacer) outer spacer.
  • the thin inner spacer can be comprised of silicon oxide, while the wider outer spacer can be comprised of silicon nitride.
  • the at least one spacer 26 can be formed utilizing processes that are well known to those skilled in the art.
  • the at least one spacer 26 can be formed by deposition of the spacer material, followed by etching.
  • the width of the at least one spacer 26 is typically from 2 nm to 50 nm, with a width, as measured at its base, from 5 nm to 15 nm being more typical.
  • FIG. 1 shows the presence of a single nFET device region 14 and a single nFET gate stack 18
  • the present invention can also be practiced when more than one device region and/or more than one gate stack is present.
  • the different gate stacks can have the same or different gate dielectrics and/or gate electrode materials. Different gate dielectric and gate electrode materials can be obtained utilizing block masks to block formation of one type of material from one region, while forming the material in another region not including the block mask.
  • the gate stacks can be used in forming a FET of the same (e.g., nFET)/or different (e.g., pFET) conductivity type.
  • the initial structure 10 shown in FIG. 1 further includes nFET extension regions 28 .
  • one of the regions labeled as 28 is a source nFET extension region, while the other region labeled as 28 is the drain nFET extension region.
  • the nFET extension regions 28 can be formed into the semiconductor substrate 12 utilizing an extension ion implantation process that is well known to those skilled in the art.
  • the at least one nFET gate stack 18 and, if present, the at least one spacer 26 serve as an implantation mask during the extension ion implant process. After implanting the extension regions 28 , an anneal can be used to activate the extension regions 28 .
  • the anneal which can be performed any time after the ion implantation step, is typically performed at a temperature greater than 800° C., with a temperature of greater than 850° C. being more typical.
  • the anneal can be performed utilizing any conventional anneal process. Examples of anneals that can be employed include, for example, a rapid thermal anneal, a furnace anneal, a laser anneal, a microwave anneal, or a combination of those techniques.
  • the duration of the anneal i.e., the annealing time, may vary depending on the exact anneal process utilized as well as the temperature of the anneal.
  • the anneal is performed for a time period of 10 minutes or less.
  • the anneal is typically performed in an inert ambient such as, for example, helium, nitrogen, and/or argon. In some embodiments, the annealing can be performed utilizing a forming gas (a mix of hydrogen and nitrogen).
  • the portion of the semiconductor substrate 12 that is located beneath the at least one nFET gate stack 18 which is bounded by the extension regions 38 is the device channel 40 .
  • an optional halo implant is performed that forms optional halo regions (not shown) within the semiconductor substrate 12 of the initial structure 10 .
  • the optional halo implant can be performed utilizing any conventional halo implant, such as an angled halo ion implant, that is well known to those skilled in the art.
  • an optional halo activation anneal is typically performed at a temperature of 1350° C. or less.
  • the optional halo activation anneal can include a laser anneal or rapid thermal anneal.
  • FIG. 2 there is shown the structure of FIG. 1 after forming a pair of recessed regions 30 within the semiconductor substrate 12 at the footprint of the at least one nFET gate stack 18 .
  • the pair of recessed regions 30 is formed within the substrate 12 on opposite sides of a particular gate stack.
  • the pair of recessed regions 30 e.g., source/drain trenches, is formed utilizing an etching technique that is well known to those skilled in the art.
  • the at least one nFET gate stack 18 and, if present, the at least one spacer 26 serve as an etch mask during the etching process.
  • the depth of the recessed regions 30 as measured from the top surface of the substrate 12 to the bottom of the recessed regions 30 , is typically from 20 nm to 150 nm, with from 30 nm to 70 nm being more typical.
  • the etching that can be used in forming the pair of recessed regions 30 includes wet etching, dry etching or a combination of wet and dry etching.
  • an anisotropic etch is employed in forming the pair of recessed regions 30 .
  • an isotropic etch is employed in forming the pair of recessed regions 30 .
  • a combination of anisotropic etching and isotropic etching can be employed in forming the pair of recessed regions 30 .
  • the dry etch can include one of reactive ion etching (RIE), plasma etching, ion beam etching and laser ablation.
  • the wet etch includes any chemical etchant, such as, for example, ammonium hydroxide that selectively etches the exposed nFET device regions 14 of the semiconductor substrate 12 .
  • a crystallographic etching process can be used in forming the pair of recessed regions 30 .
  • the etching provides a pair of recessed regions 30 within the semiconductor substrate 12 that have substantially straight sidewalls 32 .
  • the substantially straight sidewalls 32 may have some taper. It is observed that one of the recessed regions forms a source trench within the semiconductor substrate 12 , while the other recessed region forms a drain trench within the semiconductor substrate 12 .
  • a structure can be formed having a pair of faceted recessed regions.
  • the alternative structure can be formed utilizing a dry etching process, followed by a lateral wet etching process.
  • the lateral wet etch process can include, for example, ammonium hydroxide.
  • the bi-layer nFET embedded stressor element 34 includes a first layer 36 of a first epitaxy semiconductor material and a second layer 38 of a second epitaxy semiconductor material. As shown, the first layer 36 is located on exposed surfaces of semiconductor substrate 12 within recessed regions 30 , while the second layer 38 is located on an upper surface of the first layer 36 .
  • the first layer 36 of the first epitaxy semiconductor material has a different lattice constant than the lattice constant of the semiconductor substrate 12 and is capable enhancing the electron mobility of the device channel 40 .
  • the first layer 36 of the first epitaxy semiconductor material is composed of silicon carbon (Si:C).
  • the first layer 36 of the first epitaxy semiconductor material can be undoped, i.e., has a zero dopant concentration. That is, the first layer 36 can be comprised of an intrinsic semiconductor material.
  • the first layer 36 of the first epitaxy semiconductor material is doped with an n-type dopant.
  • the first layer 36 of the first epitaxy semiconductor material can have a dopant concentration from 5E19 atoms/cm 3 to 1E21 atoms/cm 3 , with a dopant concentration from 1E20 atoms/cm 3 to 7E20 atoms/cm 3 being more typical.
  • the n-type dopant includes an atom from Group VA of the Periodic Table of Elements including, for example, phosphorus (P), arsenic (As), and antimony (Sb), with P being preferred in some embodiments of the invention.
  • the first layer 36 of the first epitaxy semiconductor material fills a lower portion of each of the recessed regions 30 and covers the exposed sidewalls of the semiconductor substrate 12 within each of the recessed regions 30 .
  • the thickness of the first layer 36 of the bi-layer nFET stressor element 34 is typically from 2 nm to 40 nm, with a thickness from 15 nm to 30 nm being even more typical.
  • the first layer 36 of the bi-layer nFET embedded stressor element 34 is formed into the pair of recessed regions 30 utilizing any epitaxial growth process that is well known to those skilled in the art.
  • the epitaxial growth ensures that the first layer 36 of the first epitaxy semiconductor material is crystalline and has a same crystallographic structure as that of the surface of the semiconductor substrate 12 in which the first layer 36 is formed.
  • a conformal epitaxial growth process can be employed in forming the first layer 36 of the first epitaxy semiconductor material.
  • the utilization of a conformal epitaxial process ensures that the first layer 36 of the first epitaxy semiconductor material is conformal to the exposed surfaces of the semiconductor substrate 12 that defines each recessed region.
  • a conformal epitaxial process provides a first layer 36 of first epitaxy semiconductor material within the pair of recessed regions 30 that follows the contour of each recessed region.
  • the first layer 36 can be formed utilizing an in-situ doped epitaxial growth process in which the dopant atoms are incorporated into the precursor gas mixture.
  • the types of precursors used in forming the first layer of the first epitaxy semiconductor material are well known to those skilled in the art.
  • the second layer 38 of the bi-layer nFET embedded stressor element 34 is then formed on the upper surface of the first layer 36 .
  • the second layer 38 of the bi-layer nFET embedded stressor element 34 includes a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material of the first layer 36 . That is, the second epitaxy semiconductor material of the second layer 38 has higher solubility for n-type dopants as compared to the first epitaxy semiconductor material of the first layer 36 .
  • the second epitaxy semiconductor material of the second layer 38 has a lattice constant that is the same as that of the semiconductor substrate 12 .
  • the second layer of the bi-layer nFET stressor element 34 is comprised of silicon.
  • the second layer 38 of the bi-layer nFET embedded stressor element 34 can be undoped, i.e., has a zero dopant concentration. That is, the second layer 38 of the bi-layer nFET embedded stressor element 34 can be comprised of an intrinsic semiconductor material. In another embodiment, the second layer 38 of the bi-layer nFET embedded stressor element 34 is doped with an n-type dopant.
  • the second layer 38 of the bi-layer nFET embedded stressor element 34 can have a dopant concentration from 5E21 atoms/cm 3 to 1E21 atoms/cm 3 , with a dopant concentration from 8E19 atoms/cm 3 to 4E20 atoms/cm 3 being more typical.
  • the n-type dopant includes the same or different, preferably the same n-type dopant present within the first layer 36 of the bi-layer nFET embedded stressor element 34 .
  • the second layer 38 can partially or completely fill the remaining portions of each of the recessed regions.
  • the second layer 38 is substantially co-planar with an upper surface of the semiconductor substrate 12 .
  • the second layer 38 has a top surface that is located below the upper surface of the semiconductor substrate 12 .
  • the second layer 38 can extend atop the upper surface of the semiconductor substrate 12 .
  • the second layer 38 of the bi-layer nFET embedded stressor element 34 can be formed by a conventional epitaxial growth process including the conformal epitaxy process mentioned above with respect to the first epitaxy semiconductor material of the first layer 36 . Any known precursor can be used in forming the second layer 38 .
  • the first and second layers of the bi-layer nFET embedded stressor 34 element can be formed without breaking vacuum between the formation of these layers. In other embodiments, the first and second layers of the bi-layer nFET embedded stressor element 34 are formed by breaking vacuum between each epitaxial growth step.
  • FIG. 4 there is shown the structure of FIG. 3 after further CMOS processing including formation of another spacer 42 (which can be referred to herein as an outer spacer relative to spacer 26 ) and formation of a source region and drain region (collectively referred to herein as source/drain regions 44 ).
  • the optional gate electrode cap 24 can be removed from the structure.
  • the removal of the optional gate electrode cap 24 can be performed utilizing an etchant that selectively removes the gate electrode cap material relative to the at least one spacer 26 , the underlying gate electrode 22 and the second layer 38 of the bi-layer nFET embedded stressor element 34 .
  • An example of such an etchant includes, but is not limited to reactive ion etching.
  • Spacer 42 is formed utilizing the same or different process as used in forming the at least one spacer 26 .
  • Spacer 42 can be comprised of the same or different dielectric material as the at least one spacer 26 .
  • spacer 42 is composed of a different dielectric material as compared to the at least one spacer 26 .
  • spacer 42 is a spacer defining silicide proximity. Spacer 42 can be formed by a conventional silicide process and etching.
  • the at least one spacer 26 can be removed and spacer 42 is formed in direct contact with sidewalls of nFET gate stack 18 .
  • Spacer 42 has a base that is located atop a portion of the first layer 36 of the bi-layer nFET embedded stressor element 34 and a portion that extends onto a portion of the second layer 38 of the bi-layer nFET embedded stressor element 34 .
  • a lateral edge of spacer 42 is in direct contact with a sidewall of the at least one spacer 26 .
  • source/drain regions 44 are formed into an upper exposed surface of the second layer 38 of the bi-layer nFET embedded stressor element 34 .
  • the source/drain regions 44 are formed utilizing a source/drain ion implantation process followed by annealing.
  • Spacer 42 serves as an ion implantation mask.
  • the source/drain ion implantation is performed utilizing conditions such that no portion of the implant occurs into the first layer 36 of the bi-layer nFET stressor element 34 . As such, the first layer 36 of the bi-layer nFET embedded stressor element 34 is not damaged by this ion implantation.
  • the strain imparted to the device channel 40 by the first layer 36 is maintained. That is, no relaxation of the first layer 36 of the bi-layer nFET embedded stressor element 34 occurs during the formation of the source/drain regions 44 . This leads to a lower resistance device in which the drive current thereof is improved. Also, since the first layer 36 of the bi-layer nFET embedded stressor element is not damaged, i.e., it is defect free, the dopants within the first layer 36 of the bi-layer nFET embedded stressor element 34 are impeded. Typically, the source/drain ion implantation is performed utilizing As, 5-40 KeV energy with 1E15 to 5E15 dosage.
  • the metal semiconductor alloy contacts 46 are formed utilizing any process that is capable of forming a metal semiconductor alloy atop a semiconductor material.
  • the metal semiconductor alloy contacts 46 are formed utilizing a silicide process.
  • the silicide process can be self-aligned to the outer edge of the another spacer 42 .
  • the silicide process includes forming a metal capable of forming a metal semiconductor alloy when reacted with a semiconductor material atop at least the second layer 38 of the bi-layer nFET embedded stressor element 34 .
  • the metal used in forming the metal semiconductor alloy contact regions 46 can include, but are not limited to, tantalum, titanium, tungsten, ruthenium, cobalt, nickel, or any suitable combination of those materials.
  • a diffusion barrier such as titanium nitride or tantalum nitride can be formed atop the metal.
  • An anneal is performed that causes reaction between the metal and the underlying semiconductor material forming metal semiconductor alloy regions. Typically, the anneal is performed at a temperature of at least 250° C. or above. A single anneal step or multiple anneal steps can be used. Any non-reacted metal and the optional diffusion barrier are removed after the anneal has been performed.
  • a metal semiconductor alloy contact can be formed directly atop the gate electrode 22 , when the optional gate electrode cap 24 is removed and the gate electrode 22 is composed of a Si-containing material.

Abstract

A semiconductor structure including a bi-layer nFET embedded stressor element is disclosed. The bi-layer nFET embedded stressor element can be integrated into any CMOS process flow. The bi-layer nFET embedded stressor element includes an implant damaged free first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of a semiconductor substrate and imparts a tensile strain in a device channel of an nFET gate stack. Typically, and when the semiconductor is composed of silicon, the first layer of the bi-layer nFET embedded stressor element is composed of Si:C. The bi-layer nFET embedded stressor element further includes a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material. Typically, and when the semiconductor is composed of silicon, the second layer of the bi-layer nFET embedded stressor element is composed of silicon. Only the second layer of the bi-layer nFET embedded stressor element includes the implanted source/drain regions.

Description

    BACKGROUND
  • The present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to a bi-layer embedded stressor element for n-channel field effect transistors (nFETs) which greatly minimizes defect density and is implant damage free as well as a method of fabricating the bi-layer nFET embedded stressor element.
  • Mechanical stresses within a semiconductor device substrate have been widely used to modulate device performance such as, for example drive current. For example, in common silicon technology, the channel of a transistor is oriented along the {110} planes of silicon. In this arrangement, hole mobility is enhanced when the channel is under compressive stress in the film direction and/or under tensile stress in a direction normal of the channel, while the electron mobility is enhanced when the silicon film is under tensile stress in the film direction and/or under compressive stress in the direction normal of the channel. Therefore, compressive and/or tensile stresses can be advantageously created in the channel region of a p-channel field effect transistor (pFET) and/or an n-channel field effect transistor (nFET) in order to enhance the performance of such devices.
  • One possible approach for creating a desirable stressed silicon channel region is to form embedded SiGe or Si:C stressors (i.e., stress wells) within the source and drain regions of a complementary metal oxide semiconductor (CMOS) device to induce compressive or tensile strain in the channel region located between the source region and the drain region. For example, it has been demonstrated that hole mobility can be enhanced significantly in p-channel silicon transistors by using an embedded SiGe stressor in the source and drain regions. For re-channel silicon transistors, it has also been demonstrated that the electron mobility can be enhanced by using selective Si:C in which C is substitutional.
  • When the Si:C stressor includes a high content of C in substitutional sites of Si, a higher tensile strain can be applied to the Si channel. However, it is extremely difficult to obtain selectivity to oxide and nitride with a high content of C in the substitutional sites of Si due to extremely low carbon solubility (on the order of less than 10−6) in Si and the incompatibility of the Si:C precursor reactant gas to obtain selectivity.
  • Moreover, the integration of embedded Si:C into a typical complementary metal oxide semiconductor (CMOS) process is difficult because either the implantation process or the anneal process can completely relax the embedded Si:C. In prior art processes including an embedded Si:C stressor, high defect density is generated and dopant diffusion is uncontrollable in the embedded Si:C stressor.
  • BRIEF SUMMARY
  • A semiconductor structure including a bi-layer nFET embedded stressor element is disclosed. The bi-layer nFET embedded stressor element employed in the instant application overcomes the problems associated with prior art Si:C embedded stressor elements. Moreover, the bi-layer nFET embedded stressor element can be integrated into any CMOS process flow. Furthermore, the bi-layer nFET embedded stressor element includes an implant damaged free first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of a semiconductor substrate and imparts a tensile strain in a device channel of an nFET gate stack. Typically, when the semiconductor is composed of silicon, the first layer of the bi-layer nFET embedded stressor element is composed of Si:C. The bi-layer nFET embedded stressor element further includes a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material. The second layer of the bi-layered nFET embedded stressor element is located on an upper surface of the first layer of the bi-layered nFET embedded stressor element. Typically, and when the semiconductor is composed of silicon, the second layer of the bi-layer nFET embedded stressor element is composed of silicon. The second layer of the bi-layer nFET embedded stressor element includes the implanted source/drain regions.
  • In an aspect of the invention, a semiconductor structure is provided that includes a bi-layer nFET embedded stressor element. The structure includes at least one nFET gate stack located on an upper surface of a semiconductor substrate. A bi-layer nFET embedded stressor element is located at a footprint of the at least one nFET gate stack substantially within a pair of recessed regions which are present on opposite sides of the at least one nFET gate stack. The bi-layer nFET embedded stressor element includes a first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a tensile strain in a device channel that is located beneath the at least one nFET gate stack, and a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material. The structure further includes a source/drain region located within the second layer of the bi-layer nFET embedded stressor element, but not the first layer of the bi-layer nFET embedded stressor element.
  • In one preferred embodiment, a semiconductor structure is provided that includes at least one nFET gate stack located on an upper surface of a semiconductor substrate. A bi-layer nFET embedded stressor element is located at a footprint of the at least one nFET gate stack substantially within a pair of recessed regions which are present on opposite sides of the at least one nFET gate stack. The bi-layer nFET embedded stressor element includes a first layer comprised of Si:C and a second layer comprised of silicon, which is preferably doped with phosphorus, that is located atop the first layer of Si:C. The structure further includes a source/drain region within the second layer of the bi-layer nFET embedded stressor element, but not the first layer of the bi-layer nFET embedded stressor element.
  • In another aspect of the invention, a method of fabricating a semiconductor structure including a bi-layer nFET embedded stressor element is provided. The method includes forming a pair of recessed regions within a semiconductor substrate at a footprint of an nFET gate stack. A bi-layer nFET embedded stressor element is formed within each of the recessed regions. The bi-layer nFET stressor element includes a first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a tensile strain in a device channel that is located beneath the at least one nFET gate stack, and a second layer of a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material. A spacer (i.e., outer spacer) is formed adjoining the nFET gate stack. The spacer has a base that covers an upper surface of the first layer of the bi-layer nFET stressor element and extends onto an upper surface of the second layer of the bi-layer nFET stressor element. A source/drain region is formed within the second layer of the bi-layer nFET embedded stressor element using the spacer as an ion implantation mask, but not said first layer of said bi-layer nFET stressor element.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating an initial structure including a semiconductor structure having a least one nFET gate stack located on an upper surface of a semiconductor substrate that can be employed in one embodiment of the present invention.
  • FIG. 2 is a pictorial representation (through a cross sectional views) illustrating the initial structure of FIG. 1 after forming recessed regions with the semiconductor substrate at the footprint of the at least one nFET gate stack.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 2 after forming a bi-layer n-FET embedded stressor element within each of the recessed regions.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 3 after further CMOS processing including spacer formation and formation of a source region and a drain region, collectively referred to herein as source/drain regions.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 4 after formation of a metal semiconductor alloy, e.g., silicide, contact atop the source/drain region.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of some aspects of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
  • Embodiments of the present invention will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. The drawings of the present application, which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • Reference is first made to FIG. 1 which illustrates an initial structure 10 that can be employed in one embodiment of the present invention. The initial structure 10 includes a semiconductor substrate 12 having at least one nFET device region 14. The semiconductor substrate 12 can also include at least one isolation region (not specifically shown). The initial structure 10 further includes at least one nFET gate stack 18 located on an upper surface of the at least one nFET device region 14 of the semiconductor substrate 12. The at least one nFET gate stack 18, which is typically patterned, includes, from bottom to top, a gate dielectric 20, a gate electrode 22 and an optional gate electrode cap 24; gate electrode cap 24 can also be referred to herein as a dielectric cap. At least one spacer 26 (which can be referred to as an inner spacer) is typically located on the sidewalls of each of the nFET gate stacks that are present in the initial structure 10. In some embodiments, no spacer 26 is present.
  • It is noted that the present invention also contemplates the presence of at least one pFET gate stack (not shown) located on a surface of a pFET device region (not shown) of semiconductor substrate 12. The pFET device region and the at least one pFET gate stack would be located to the left and/or right of the nFET device region 14 shown in FIG. 1.
  • The initial structure 10 shown in FIG. 1 can be formed by conventional methods and include materials well known to those skilled in the art. For example, the semiconductor substrate 12 of the initial structure 10 can be comprised of any semiconductor material including, but not limited to Si, Ge, SiGe, SiC, SiGeC, GaAs, GaN, InAs, InP and all other III/V or II/VI compound semiconductors. The semiconductor material of the semiconductor substrate 12 has a lattice constant that is dependent on the type of semiconductor material employed. Semiconductor substrate 12 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI), a SiGe-on-insulator (SGOI) or a germanium-on-insulator (GOI). In one embodiment of the invention, the semiconductor substrate 12 includes an SOI substrate in which top and bottom semiconductor material layers such as Si are spaced apart by a buried dielectric such as a buried oxide. In a preferred embodiment of the present invention, the semiconductor substrate 12 is composed of bulk silicon or a silicon-on-insulator. The semiconductor substrate 12 may be doped, undoped or contain doped and undoped regions therein. The semiconductor substrate 12 may include a single crystal orientation or it may include at least two coplanar surface regions that have different crystal orientations (the latter substrate is referred to in the art as a hybrid substrate). When a hybrid substrate is employed, an nFET is typically formed on a {100} crystal surface, while a pFET is typically formed on a {110} crystal plane. Thus, for example, nFET device region 14 may have a {100} crystal surface, while a pFET device region (not shown) may have a {110} crystal plane. The hybrid substrate can be formed by techniques that are well known in the art. See, for example, co-owned U.S. Pat. No. 7,329,923, U.S. Publication No. 2005/0116290, dated Jun. 2, 2005 and U.S. Pat. No. 7,023,055, the entire contents of each are incorporated herein by reference.
  • The at least one isolation region (not specifically shown) is typically formed into the semiconductor substrate 12 so as to form device regions within the semiconductor substrate 12. The at least one isolation region may be a trench isolation region or a field oxide isolation region. The trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of the trench with a trench dielectric may be used in forming the trench isolation region. Optionally, a liner may be formed in the trench prior to trench fill, a densification step may be performed after the trench fill and a planarization process may follow the trench fill as well. The height of the trench isolation region can be adjusted by performing a wet etching process such as etching with a solution containing hydrofluoric acid. The field oxide can be formed utilizing a so-called local oxidation of silicon process.
  • The various device regions, such as nFET device region 14, may be doped (e.g., by ion implantation processes) to form well regions within the different device regions. For clarity, the well regions are not specifically shown in the drawings of the present application. The well regions for pFET devices typically include an n-type dopant, and the well regions for nFET devices typically include a p-type dopant. The dopant concentration of the well regions of the same conductivity type device may be the same or different. Likewise, the dopant concentration of the well regions of the different conductivity type may be the same or different.
  • After processing the semiconductor substrate 12, the at least one nFET gate stack 18 is formed utilizing any conventional process that is well known to those skilled in the art; at least one pFET gate stack can also be formed within a pFET device region before, during or after forming the at least one nFET gate stack 18. In one embodiment, the at least one nFET gate stack 18 is formed by deposition of various material layers, followed by patterning the deposited material layers via lithography and etching. In another embodiment of the present invention, the at least one nFET gate stack 18 is formed by a replacement gate process that includes the use of a dummy gate material.
  • Notwithstanding the technique used in forming the at least one nFET gate stack 18, the at least one nFET gate stack 18 includes, from bottom to top, gate dielectric 20, gate electrode 22, and optional gate electrode cap 24. The gate dielectric 20 includes any gate insulating material including for example, an oxide, a nitride, an oxynitride or a multilayer stack thereof. In one embodiment of the invention, the gate dielectric 20 is a semiconductor oxide, a semiconductor nitride or a semiconductor oxynitride. In another embodiment of the invention, the gate dielectric 20 includes a dielectric metal oxide having a dielectric constant that is greater than the dielectric constant of silicon oxide, e.g., 3.9. Typically, the gate dielectric 20 that is employed has a dielectric constant greater than 4.0, with a dielectric constant of greater than 8.0 being more typical. Such dielectric materials are referred to herein as a high k dielectric. Exemplary high k dielectrics include, but are not limited to HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2OxNy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, a silicate thereof, and an alloy thereof. Multilayered stacks of these high k materials can also be employed as the gate dielectric 20. Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2.
  • The thickness of the gate dielectric 20 may vary depending on the technique used to form the same. Typically, the gate dielectric 20 has a thickness from 1 nm to 10 nm, with a thickness from 2 nm to 5 nm being more typical. When a high k gate dielectric is employed as the gate dielectric 20, the high k gate dielectric can have an effective oxide thickness on the order of, or less than, 1 nm.
  • The gate dielectric 20 can be formed by methods well known in the art. In one embodiment of the invention, the gate dielectric 20 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), molecular beam deposition (MBD), pulsed laser deposition (PLD), liquid source misted chemical deposition (LSMCD), and atomic layer deposition (ALD). Alternatively, the gate dielectric 20 can be formed by a thermal process such as, for example, thermal oxidation and/or thermal nitridation.
  • The gate electrode 22 of the at least one nFET gate stack 18 comprises any conductive material including, but not limited to polycrystalline silicon, polycrystalline silicon germanium, an elemental metal, (e.g., tungsten, titanium, tantalum, aluminum, nickel, ruthenium, palladium and platinum), an alloy of at least one elemental metal, an elemental metal nitride (e.g., tungsten nitride, aluminum nitride, and titanium nitride), an elemental metal silicide (e.g., tungsten silicide, nickel silicide, and titanium silicide) and multilayer thereof. In one embodiment, the gate electrode is comprised of nFET metal gate. In one embodiment, the gate electrode is comprised of polycrystalline silicon.
  • The gate electrode 22 can be formed utilizing a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), evaporation, physical vapor deposition (PVD), sputtering, chemical solution deposition, atomic layer deposition (ALD) and other like deposition processes. When Si-containing materials are used as the gate electrode 22, the Si-containing materials can be doped within an appropriate impurity by utilizing either an in-situ doping deposition process or by utilizing deposition, followed by a step such as ion implantation or gas phase doping in which the appropriate impurity is introduced into the Si-containing material. When a metal silicide is formed, a conventional silicidation process is employed.
  • The as deposited gate electrode 22 typically has a thickness from 10 nm to 100 nm, with a thickness from 20 nm to 50 nm being even more typical.
  • In some embodiments of the invention, an optional gate electrode cap 24 can be formed atop the gate electrode 22. The optional gate electrode cap 24 includes a dielectric oxide, nitride, oxynitride or any combination thereof including multilayered stacks. In one embodiment, the optional dielectric electrode cap 24 is comprised of silicon nitride. When the optional gate electrode cap 24 is present, the optional gate electrode cap 24 is formed utilizing a conventional deposition process well known to those skilled in the art including, for example, CVD and PECVD. Alternatively, the optional gate electrode cap 24 can be formed by a thermal process such as, for example, oxidation and/or nitridation. The thickness of the optional gate electrode cap 24 may vary depending on the exact cap material employed as well as the process that is used in forming the same. Typically, the optional gate electrode cap 24 has a thickness from 5 nm to 200 nm, with a thickness from 10 nm to 50 nm being more typical. The optional gate electrode cap 24 is typically employed when the gate electrode 22 is a Si-containing material such as polysilicon.
  • The initial structure 10 shown in FIG. 1 also includes at least one spacer 26 whose base is located on an upper surface of the substrate 12. An edge of the at least one spacer 26 is located on a sidewall of the nFET gate stack 18. The at least one spacer 26 includes any dielectric material such as, for example, an oxide, a nitride, an oxynitride or any combination thereof. Typically, but not necessarily always, the at least one spacer 26 is comprised of a different material than the optional gate electrode cap 24. In one embodiment, the at least one spacer 26 is comprised of silicon oxide or silicon nitride. In another embodiment, the at least one spacer 26 includes a thin inner spacer, and a wider (relative to the inner spacer) outer spacer. In such an embodiment, the thin inner spacer can be comprised of silicon oxide, while the wider outer spacer can be comprised of silicon nitride.
  • The at least one spacer 26 can be formed utilizing processes that are well known to those skilled in the art. For example, the at least one spacer 26 can be formed by deposition of the spacer material, followed by etching. The width of the at least one spacer 26, as measured at its base, is typically from 2 nm to 50 nm, with a width, as measured at its base, from 5 nm to 15 nm being more typical.
  • It is observed that although FIG. 1 as well as the remaining drawings show the presence of a single nFET device region 14 and a single nFET gate stack 18, the present invention can also be practiced when more than one device region and/or more than one gate stack is present. When more than one gate stack is present, the different gate stacks can have the same or different gate dielectrics and/or gate electrode materials. Different gate dielectric and gate electrode materials can be obtained utilizing block masks to block formation of one type of material from one region, while forming the material in another region not including the block mask. When more than one gate stack is provided, the gate stacks can be used in forming a FET of the same (e.g., nFET)/or different (e.g., pFET) conductivity type.
  • The initial structure 10 shown in FIG. 1 further includes nFET extension regions 28. In FIG. 1, one of the regions labeled as 28 is a source nFET extension region, while the other region labeled as 28 is the drain nFET extension region. The nFET extension regions 28 can be formed into the semiconductor substrate 12 utilizing an extension ion implantation process that is well known to those skilled in the art. The at least one nFET gate stack 18 and, if present, the at least one spacer 26, serve as an implantation mask during the extension ion implant process. After implanting the extension regions 28, an anneal can be used to activate the extension regions 28. The anneal, which can be performed any time after the ion implantation step, is typically performed at a temperature greater than 800° C., with a temperature of greater than 850° C. being more typical. The anneal can be performed utilizing any conventional anneal process. Examples of anneals that can be employed include, for example, a rapid thermal anneal, a furnace anneal, a laser anneal, a microwave anneal, or a combination of those techniques. The duration of the anneal, i.e., the annealing time, may vary depending on the exact anneal process utilized as well as the temperature of the anneal. Typically, the anneal is performed for a time period of 10 minutes or less. The anneal is typically performed in an inert ambient such as, for example, helium, nitrogen, and/or argon. In some embodiments, the annealing can be performed utilizing a forming gas (a mix of hydrogen and nitrogen).
  • It is observed that the portion of the semiconductor substrate 12 that is located beneath the at least one nFET gate stack 18 which is bounded by the extension regions 38 is the device channel 40.
  • In some embodiments of the present invention, an optional halo implant is performed that forms optional halo regions (not shown) within the semiconductor substrate 12 of the initial structure 10. The optional halo implant can be performed utilizing any conventional halo implant, such as an angled halo ion implant, that is well known to those skilled in the art. After the optional halo implant, an optional halo activation anneal is typically performed at a temperature of 1350° C. or less. In one embodiment, the optional halo activation anneal can include a laser anneal or rapid thermal anneal.
  • Referring to FIG. 2, there is shown the structure of FIG. 1 after forming a pair of recessed regions 30 within the semiconductor substrate 12 at the footprint of the at least one nFET gate stack 18. It is observed that the pair of recessed regions 30 is formed within the substrate 12 on opposite sides of a particular gate stack. The pair of recessed regions 30, e.g., source/drain trenches, is formed utilizing an etching technique that is well known to those skilled in the art. The at least one nFET gate stack 18 and, if present, the at least one spacer 26 serve as an etch mask during the etching process. The depth of the recessed regions 30, as measured from the top surface of the substrate 12 to the bottom of the recessed regions 30, is typically from 20 nm to 150 nm, with from 30 nm to 70 nm being more typical.
  • The etching that can be used in forming the pair of recessed regions 30 includes wet etching, dry etching or a combination of wet and dry etching. In one embodiment, an anisotropic etch is employed in forming the pair of recessed regions 30. In another embodiment, an isotropic etch is employed in forming the pair of recessed regions 30. In a further embodiment, a combination of anisotropic etching and isotropic etching can be employed in forming the pair of recessed regions 30. When a dry etch is employed in forming the pair of recessed regions 30, the dry etch can include one of reactive ion etching (RIE), plasma etching, ion beam etching and laser ablation. When a wet etch is employed in forming the pair of recessed regions 30, the wet etch includes any chemical etchant, such as, for example, ammonium hydroxide that selectively etches the exposed nFET device regions 14 of the semiconductor substrate 12. In some embodiments, a crystallographic etching process can be used in forming the pair of recessed regions 30.
  • In the embodiment illustrated in FIG. 2, the etching provides a pair of recessed regions 30 within the semiconductor substrate 12 that have substantially straight sidewalls 32. The substantially straight sidewalls 32 may have some taper. It is observed that one of the recessed regions forms a source trench within the semiconductor substrate 12, while the other recessed region forms a drain trench within the semiconductor substrate 12.
  • In an alternative embodiment (not shown), a structure can be formed having a pair of faceted recessed regions. The alternative structure can be formed utilizing a dry etching process, followed by a lateral wet etching process. The lateral wet etch process can include, for example, ammonium hydroxide.
  • Referring to FIG. 3, there is shown the structure of FIG. 2 after forming a bi-layer nFET embedded stressor element 34 within each of the recessed regions 30. The bi-layer nFET embedded stressor element 34 includes a first layer 36 of a first epitaxy semiconductor material and a second layer 38 of a second epitaxy semiconductor material. As shown, the first layer 36 is located on exposed surfaces of semiconductor substrate 12 within recessed regions 30, while the second layer 38 is located on an upper surface of the first layer 36.
  • The first layer 36 of the first epitaxy semiconductor material has a different lattice constant than the lattice constant of the semiconductor substrate 12 and is capable enhancing the electron mobility of the device channel 40. In one preferred embodiment of the invention and when the semiconductor substrate 12 is comprised of silicon, the first layer 36 of the first epitaxy semiconductor material is composed of silicon carbon (Si:C).
  • In one embodiment, the first layer 36 of the first epitaxy semiconductor material can be undoped, i.e., has a zero dopant concentration. That is, the first layer 36 can be comprised of an intrinsic semiconductor material. In another embodiment, the first layer 36 of the first epitaxy semiconductor material is doped with an n-type dopant. When doped, the first layer 36 of the first epitaxy semiconductor material can have a dopant concentration from 5E19 atoms/cm3 to 1E21 atoms/cm3, with a dopant concentration from 1E20 atoms/cm3 to 7E20 atoms/cm3 being more typical. The n-type dopant includes an atom from Group VA of the Periodic Table of Elements including, for example, phosphorus (P), arsenic (As), and antimony (Sb), with P being preferred in some embodiments of the invention.
  • The first layer 36 of the first epitaxy semiconductor material fills a lower portion of each of the recessed regions 30 and covers the exposed sidewalls of the semiconductor substrate 12 within each of the recessed regions 30. The thickness of the first layer 36 of the bi-layer nFET stressor element 34 is typically from 2 nm to 40 nm, with a thickness from 15 nm to 30 nm being even more typical.
  • The first layer 36 of the bi-layer nFET embedded stressor element 34 is formed into the pair of recessed regions 30 utilizing any epitaxial growth process that is well known to those skilled in the art. The epitaxial growth ensures that the first layer 36 of the first epitaxy semiconductor material is crystalline and has a same crystallographic structure as that of the surface of the semiconductor substrate 12 in which the first layer 36 is formed. In one embodiment, a conformal epitaxial growth process can be employed in forming the first layer 36 of the first epitaxy semiconductor material. The utilization of a conformal epitaxial process ensures that the first layer 36 of the first epitaxy semiconductor material is conformal to the exposed surfaces of the semiconductor substrate 12 that defines each recessed region. That is, a conformal epitaxial process provides a first layer 36 of first epitaxy semiconductor material within the pair of recessed regions 30 that follows the contour of each recessed region. In embodiments in which the first layer 36 is doped, the first layer 36 can be formed utilizing an in-situ doped epitaxial growth process in which the dopant atoms are incorporated into the precursor gas mixture. The types of precursors used in forming the first layer of the first epitaxy semiconductor material are well known to those skilled in the art.
  • The second layer 38 of the bi-layer nFET embedded stressor element 34 is then formed on the upper surface of the first layer 36. The second layer 38 of the bi-layer nFET embedded stressor element 34 includes a second epitaxy semiconductor material that has a lower resistance to dopant diffusion than the first epitaxy semiconductor material of the first layer 36. That is, the second epitaxy semiconductor material of the second layer 38 has higher solubility for n-type dopants as compared to the first epitaxy semiconductor material of the first layer 36. Typically, the second epitaxy semiconductor material of the second layer 38 has a lattice constant that is the same as that of the semiconductor substrate 12. In a preferred embodiment of the invention, and when the semiconductor substrate 12 is comprised of silicon, the second layer of the bi-layer nFET stressor element 34 is comprised of silicon.
  • In one embodiment, the second layer 38 of the bi-layer nFET embedded stressor element 34 can be undoped, i.e., has a zero dopant concentration. That is, the second layer 38 of the bi-layer nFET embedded stressor element 34 can be comprised of an intrinsic semiconductor material. In another embodiment, the second layer 38 of the bi-layer nFET embedded stressor element 34 is doped with an n-type dopant. When doped, the second layer 38 of the bi-layer nFET embedded stressor element 34 can have a dopant concentration from 5E21 atoms/cm3 to 1E21 atoms/cm3, with a dopant concentration from 8E19 atoms/cm3 to 4E20 atoms/cm3 being more typical. The n-type dopant includes the same or different, preferably the same n-type dopant present within the first layer 36 of the bi-layer nFET embedded stressor element 34.
  • The second layer 38 can partially or completely fill the remaining portions of each of the recessed regions. In one embodiment, the second layer 38 is substantially co-planar with an upper surface of the semiconductor substrate 12. In another embodiment, the second layer 38 has a top surface that is located below the upper surface of the semiconductor substrate 12. In yet another embodiment, the second layer 38 can extend atop the upper surface of the semiconductor substrate 12.
  • The second layer 38 of the bi-layer nFET embedded stressor element 34 can be formed by a conventional epitaxial growth process including the conformal epitaxy process mentioned above with respect to the first epitaxy semiconductor material of the first layer 36. Any known precursor can be used in forming the second layer 38. In some embodiments of the invention, the first and second layers of the bi-layer nFET embedded stressor 34 element can be formed without breaking vacuum between the formation of these layers. In other embodiments, the first and second layers of the bi-layer nFET embedded stressor element 34 are formed by breaking vacuum between each epitaxial growth step.
  • Referring now to FIG. 4 there is shown the structure of FIG. 3 after further CMOS processing including formation of another spacer 42 (which can be referred to herein as an outer spacer relative to spacer 26) and formation of a source region and drain region (collectively referred to herein as source/drain regions 44). In some embodiments of the invention and prior to the formation of the another spacer 42, the optional gate electrode cap 24 can be removed from the structure. The removal of the optional gate electrode cap 24 can be performed utilizing an etchant that selectively removes the gate electrode cap material relative to the at least one spacer 26, the underlying gate electrode 22 and the second layer 38 of the bi-layer nFET embedded stressor element 34. An example of such an etchant includes, but is not limited to reactive ion etching.
  • Spacer 42 is formed utilizing the same or different process as used in forming the at least one spacer 26. Spacer 42 can be comprised of the same or different dielectric material as the at least one spacer 26. In one embodiment, spacer 42 is composed of a different dielectric material as compared to the at least one spacer 26. In one embodiment, spacer 42 is a spacer defining silicide proximity. Spacer 42 can be formed by a conventional silicide process and etching.
  • In some embodiments, and prior to the formation of spacer 42, the at least one spacer 26 can be removed and spacer 42 is formed in direct contact with sidewalls of nFET gate stack 18. Spacer 42 has a base that is located atop a portion of the first layer 36 of the bi-layer nFET embedded stressor element 34 and a portion that extends onto a portion of the second layer 38 of the bi-layer nFET embedded stressor element 34. In the illustrated embodiment, a lateral edge of spacer 42 is in direct contact with a sidewall of the at least one spacer 26.
  • After formation of spacer 42, source/drain regions 44 are formed into an upper exposed surface of the second layer 38 of the bi-layer nFET embedded stressor element 34. The source/drain regions 44 are formed utilizing a source/drain ion implantation process followed by annealing. Spacer 42 serves as an ion implantation mask. The source/drain ion implantation is performed utilizing conditions such that no portion of the implant occurs into the first layer 36 of the bi-layer nFET stressor element 34. As such, the first layer 36 of the bi-layer nFET embedded stressor element 34 is not damaged by this ion implantation. Since the first layer 36 of the bi-layer nFET embedded stressor element 34 is not damaged, the strain imparted to the device channel 40 by the first layer 36 is maintained. That is, no relaxation of the first layer 36 of the bi-layer nFET embedded stressor element 34 occurs during the formation of the source/drain regions 44. This leads to a lower resistance device in which the drive current thereof is improved. Also, since the first layer 36 of the bi-layer nFET embedded stressor element is not damaged, i.e., it is defect free, the dopants within the first layer 36 of the bi-layer nFET embedded stressor element 34 are impeded. Typically, the source/drain ion implantation is performed utilizing As, 5-40 KeV energy with 1E15 to 5E15 dosage.
  • Referring now to FIG. 5, there is shown the structure of FIG. 4 after further CMOS processing including formation of metal semiconductor alloy contacts 46. The metal semiconductor alloy contacts 46 are formed utilizing any process that is capable of forming a metal semiconductor alloy atop a semiconductor material. In one embodiment of the invention, the metal semiconductor alloy contacts 46 are formed utilizing a silicide process. The silicide process can be self-aligned to the outer edge of the another spacer 42. The silicide process includes forming a metal capable of forming a metal semiconductor alloy when reacted with a semiconductor material atop at least the second layer 38 of the bi-layer nFET embedded stressor element 34. The metal used in forming the metal semiconductor alloy contact regions 46 can include, but are not limited to, tantalum, titanium, tungsten, ruthenium, cobalt, nickel, or any suitable combination of those materials. A diffusion barrier such as titanium nitride or tantalum nitride can be formed atop the metal. An anneal is performed that causes reaction between the metal and the underlying semiconductor material forming metal semiconductor alloy regions. Typically, the anneal is performed at a temperature of at least 250° C. or above. A single anneal step or multiple anneal steps can be used. Any non-reacted metal and the optional diffusion barrier are removed after the anneal has been performed. In some embodiments, a metal semiconductor alloy contact can be formed directly atop the gate electrode 22, when the optional gate electrode cap 24 is removed and the gate electrode 22 is composed of a Si-containing material.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (25)

1. A semiconductor structure comprising:
at least one nFET gate stack located on an upper surface of a semiconductor substrate;
a bi-layer nFET embedded stressor element located at a footprint of the at least one nFET gate stack substantially within a pair of recessed regions which are present on opposite sides of said at least one nFET gate stack, said bi-layer nFET embedded stressor element including a first layer of a first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a tensile strain in a device channel that is located beneath the at least one nFET gate stack, and a second layer of a second epitaxy semiconductor material that has a lower resistance than the first epitaxy semiconductor material; and
a source/drain region located within said second layer of said bi-layer nFET embedded stressor element, but not said first layer of said bi-layer nFET embedded stressor element.
2. The semiconductor structure of claim 1 wherein said semiconductor substrate is bulk Si or a silicon-on-insulator.
3. The semiconductor structure of claim 2 wherein said first layer of said bi-layer nFET embedded stressor element is composed of Si:C, and said second layer of said bi-layer nFET embedded stressor element is composed of silicon.
4. The semiconductor structure of claim 3 wherein said first layer of Si:C and said second layer of silicon are both doped with an n-type dopant.
5. The semiconductor structure of claim 3 wherein said first layer of Si:C and said second layer of silicon are both intrinsic semiconductor materials.
6. The semiconductor structure of claim 1 wherein said pair of recessed regions have substantially straight sidewalls.
7. The semiconductor structure of claim 1 wherein said pair of recessed regions have faceted sidewalls.
8. The semiconductor structure of claim 1 further comprising a metal semiconductor alloy contact located at least on a top surface of said second layer of the bi-layer nFET embedded stressor element.
9. The semiconductor structure of claim 1 further comprising at least one spacer having a base located on a surface of said semiconductor substrate and having a lateral edge in contact with a sidewall of said at least one nFET gate stack, and another spacer having a base in which a portion thereof is located on an a top surface of the second layer of the bi-layer nFET embedded stressor element and a lateral edge in contact with a sidewall of said at least one spacer.
10. The semiconductor structure of claim 9 wherein said another spacer is a silicide spacer.
11. The semiconductor structure of claim 1 wherein said second layer of said bi-layer nFET stressor element has a top surface that is coplanar with, or extends above, said upper surface of said semiconductor substrate.
12. The semiconductor structure of claim 1 wherein said first layer of the bi-layer nFET embedded stressor element includes no implanted defects or damages.
13. A semiconductor structure comprising:
at least one nFET gate stack located on an upper surface of a semiconductor substrate;
a bi-layer nFET embedded stressor element located at a footprint of the at least one nFET gate stack substantially within a pair of recessed regions which are present on opposite sides of said at least one nFET gate stack, said bi-layer nFET embedded stressor element including a first layer comprised of Si:C and a second layer comprised of silicon; and
a source/drain region within said second layer of said bi-layer nFET embedded stressor element, but not said first layer of said bi-layer nFET embedded stressor element.
14. The semiconductor structure of claim 13 wherein said first layer of Si:C and said second layer of silicon are both doped with an n-type dopant.
15. The semiconductor structure of claim 13 wherein said first layer of Si:C and said second layer of silicon are both intrinsic semiconductor materials.
16. A method of fabricating a semiconductor structure comprising:
forming a pair of recessed regions within a semiconductor substrate at a footprint of a nFET gate stack;
forming a first layer of a first epitaxy semiconductor material in each of said recessed regions, said first layer has a lower surface that is in contact with exposed surfaces of the semiconductor substrate within said recessed regions and said first epitaxy semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a tensile strain in a device channel that is located beneath the at least one nFET gate stack;
forming a second layer of a second epitaxy semiconductor material atop the first layer, wherein said second epitaxy semiconductor material has a lower resistance to dopant diffusion than the first epitaxy semiconductor material and said first and second layers form a bi-layer nFET embedded stressor element;
forming a spacer adjoining said nFET gate stack, wherein said spacer has a base that covers an upper surface of the first layer of the bi-layer nFET stressor element and extends onto an upper surface of said second layer of the bi-layer nFET stressor element; and
forming a source/drain region within said second layer of said bi-layer nFET embedded stressor element using said spacer as an ion implantation mask, but not said first layer of said bi-layer nFET stressor element.
17. The method of claim 16 wherein said forming said pair of recessed regions includes wet etching, dry etching, or a combination thereof.
18. The method of claim 16 wherein said forming said pair of recessed regions includes dry etching, followed by a lateral wet etch process.
19. The method of claim 16 wherein said first layer and said second layer of said bi-layer nFET embedded stressor element are both formed by an epitaxial growth process.
20. The method of claim 19 wherein said epitaxial growth process includes an in-situ doped epitaxial growth process.
21. The method of claim 16 wherein said first layer and said second layer of said bi-layer nFET embedded stressor element are formed without breaking a vacuum between formation of each layer.
22. The method of claim 16 further comprising forming a halo implant region within said substrate, said halo implant region is formed prior to forming the bi-layer nFET embedded stressor element.
23. The method of claim 16 further comprising forming a metal semiconductor alloy contact atop the source/drain region.
24. The method of claim 16 wherein spacer is an outer spacer that is in contact at one lateral edge with an inner spacer.
25. The method of claim 24 wherein said outer spacer is a silicide spacer.
US12/607,104 2009-10-28 2009-10-28 Bi-layer nFET embedded stressor element and integration to enhance drive current Active 2029-11-04 US8035141B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/607,104 US8035141B2 (en) 2009-10-28 2009-10-28 Bi-layer nFET embedded stressor element and integration to enhance drive current
PCT/EP2010/065495 WO2011051109A1 (en) 2009-10-28 2010-10-15 BI-LAYER nFET EMBEDDED STRESSOR ELEMENT AND INTEGRATION TO ENHANCE DRIVE CURRENT
CN201080048613.2A CN102598229B (en) 2009-10-28 2010-10-15 Bi-layer nfet embedded stressor element and integration to enhance drive current

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/607,104 US8035141B2 (en) 2009-10-28 2009-10-28 Bi-layer nFET embedded stressor element and integration to enhance drive current

Publications (2)

Publication Number Publication Date
US20110095343A1 true US20110095343A1 (en) 2011-04-28
US8035141B2 US8035141B2 (en) 2011-10-11

Family

ID=43416813

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/607,104 Active 2029-11-04 US8035141B2 (en) 2009-10-28 2009-10-28 Bi-layer nFET embedded stressor element and integration to enhance drive current

Country Status (3)

Country Link
US (1) US8035141B2 (en)
CN (1) CN102598229B (en)
WO (1) WO2011051109A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120021572A1 (en) * 2010-07-26 2012-01-26 Sumitomo Electric Industries, Ltd. Method for fabricating semiconductor device
US20120056275A1 (en) * 2010-09-07 2012-03-08 International Business Machines Corporation High performance low power bulk fet device and method of manufacture
US20120299121A1 (en) * 2011-05-24 2012-11-29 Taiwan Semiconductor Manufacturing Company., Ltd. Source/Drain Formation and Structure
CN102832246A (en) * 2011-06-15 2012-12-19 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacturing the same
CN102931222A (en) * 2011-08-08 2013-02-13 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US20140346608A1 (en) * 2013-05-24 2014-11-27 Samsung Electronics Co., Ltd. Semiconductor device and a method of fabricating the same
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US9768256B2 (en) 2014-03-21 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8236660B2 (en) 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
US20130193517A1 (en) * 2012-01-31 2013-08-01 Toshiba America Electronic Components, Inc. Semiconductor device with lateral and vertical channel confinement and method of fabricating the same
TWI643346B (en) 2012-11-22 2018-12-01 三星電子股份有限公司 Semiconductor devices including a stressor in a recess and methods of forming the same
KR102059526B1 (en) 2012-11-22 2019-12-26 삼성전자주식회사 Method of forming semiconductor device having embedded stressor and related device
CN105575810B (en) * 2014-10-11 2019-03-12 中芯国际集成电路制造(上海)有限公司 The forming method of transistor

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046366A1 (en) * 2004-08-24 2006-03-02 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US20070057287A1 (en) * 2005-09-15 2007-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070093033A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US20070126036A1 (en) * 2005-12-02 2007-06-07 Fujitsu Limited Semiconductor device and semiconductor device manufacturing method
US20070132038A1 (en) * 2005-12-08 2007-06-14 Chartered Semiconductor Mfg, LTD. Embedded stressor structure and process
US20070138570A1 (en) * 2005-12-16 2007-06-21 Chartered Semiconductor Mfg.LTD Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US20070173022A1 (en) * 2006-01-20 2007-07-26 Chih-Hao Wang Defect-free SiGe source/drain formation by epitaxy-free process
US20070184601A1 (en) * 2006-02-08 2007-08-09 Da Zhang Method of forming a semiconductor device
US20080001182A1 (en) * 2006-06-29 2008-01-03 International Business Machines Corporation Improved cmos devices with stressed channel regions, and methods for fabricating the same
US20080006818A1 (en) * 2006-06-09 2008-01-10 International Business Machines Corporation Structure and method to form multilayer embedded stressors
US20080067557A1 (en) * 2006-09-15 2008-03-20 Ming-Hua Yu MOS devices with partial stressor channel
US20080083948A1 (en) * 2006-10-05 2008-04-10 Hsien-Hsin Lin SiGe selective growth without a hard mask
US20080157200A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Stress liner surrounded facetless embedded stressor mosfet
US7413961B2 (en) * 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure
US20080299724A1 (en) * 2007-05-31 2008-12-04 Grudowski Paul A Method of making a semiconductor device with embedded stressor
US20090140351A1 (en) * 2007-11-30 2009-06-04 Hong-Nien Lin MOS Devices Having Elevated Source/Drain Regions
US20090152626A1 (en) * 2007-12-18 2009-06-18 Texas Instruments Incorporated Super Halo Formation Using a Reverse Flow for Halo Implants
US20090221119A1 (en) * 2008-02-29 2009-09-03 Grudowski Paul A Fabrication of a semiconductor device with stressor
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7329923B2 (en) 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7023055B2 (en) 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US20050116290A1 (en) 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
JP4984665B2 (en) * 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7288828B2 (en) * 2005-10-05 2007-10-30 United Microelectronics Corp. Metal oxide semiconductor transistor device
KR101369907B1 (en) * 2007-10-31 2014-03-04 주성엔지니어링(주) Transistor and method of manufacturing the same

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046366A1 (en) * 2004-08-24 2006-03-02 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US20070057287A1 (en) * 2005-09-15 2007-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070093033A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US20070126036A1 (en) * 2005-12-02 2007-06-07 Fujitsu Limited Semiconductor device and semiconductor device manufacturing method
US20070132038A1 (en) * 2005-12-08 2007-06-14 Chartered Semiconductor Mfg, LTD. Embedded stressor structure and process
US20070138570A1 (en) * 2005-12-16 2007-06-21 Chartered Semiconductor Mfg.LTD Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US20070173022A1 (en) * 2006-01-20 2007-07-26 Chih-Hao Wang Defect-free SiGe source/drain formation by epitaxy-free process
US20070184601A1 (en) * 2006-02-08 2007-08-09 Da Zhang Method of forming a semiconductor device
US7446026B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductor, Inc. Method of forming a CMOS device with stressor source/drain regions
US7413961B2 (en) * 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure
US20080006818A1 (en) * 2006-06-09 2008-01-10 International Business Machines Corporation Structure and method to form multilayer embedded stressors
US20080001182A1 (en) * 2006-06-29 2008-01-03 International Business Machines Corporation Improved cmos devices with stressed channel regions, and methods for fabricating the same
US20080067557A1 (en) * 2006-09-15 2008-03-20 Ming-Hua Yu MOS devices with partial stressor channel
US20080083948A1 (en) * 2006-10-05 2008-04-10 Hsien-Hsin Lin SiGe selective growth without a hard mask
US7494884B2 (en) * 2006-10-05 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. SiGe selective growth without a hard mask
US20080157200A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Stress liner surrounded facetless embedded stressor mosfet
US20080299724A1 (en) * 2007-05-31 2008-12-04 Grudowski Paul A Method of making a semiconductor device with embedded stressor
US20090140351A1 (en) * 2007-11-30 2009-06-04 Hong-Nien Lin MOS Devices Having Elevated Source/Drain Regions
US20090152626A1 (en) * 2007-12-18 2009-06-18 Texas Instruments Incorporated Super Halo Formation Using a Reverse Flow for Halo Implants
US20090221119A1 (en) * 2008-02-29 2009-09-03 Grudowski Paul A Fabrication of a semiconductor device with stressor
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120021572A1 (en) * 2010-07-26 2012-01-26 Sumitomo Electric Industries, Ltd. Method for fabricating semiconductor device
US9117755B2 (en) 2010-07-26 2015-08-25 Sumitomo Electric Industries, Ltd. Method for fabricating semiconductor device
US8748303B2 (en) * 2010-07-26 2014-06-10 Sumitomo Electric Industries, Ltd. Method for fabricating semiconductor device
US20120056275A1 (en) * 2010-09-07 2012-03-08 International Business Machines Corporation High performance low power bulk fet device and method of manufacture
US8361872B2 (en) * 2010-09-07 2013-01-29 International Business Machines Corporation High performance low power bulk FET device and method of manufacture
US20120299121A1 (en) * 2011-05-24 2012-11-29 Taiwan Semiconductor Manufacturing Company., Ltd. Source/Drain Formation and Structure
US9537004B2 (en) * 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
CN102832246A (en) * 2011-06-15 2012-12-19 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacturing the same
US8754482B2 (en) 2011-08-08 2014-06-17 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and manufacturing method thereof
WO2013020255A1 (en) * 2011-08-08 2013-02-14 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
CN102931222A (en) * 2011-08-08 2013-02-13 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US20140346608A1 (en) * 2013-05-24 2014-11-27 Samsung Electronics Co., Ltd. Semiconductor device and a method of fabricating the same
US9306054B2 (en) * 2013-05-24 2016-04-05 Samsung Electronics Co., Ltd. Semiconductor device and a method of fabricating the same
US9768256B2 (en) 2014-03-21 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US10153344B2 (en) 2014-03-21 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US10741642B2 (en) 2014-03-21 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of finFET devices
US11211455B2 (en) 2014-03-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices

Also Published As

Publication number Publication date
WO2011051109A1 (en) 2011-05-05
CN102598229A (en) 2012-07-18
CN102598229B (en) 2014-10-22
US8035141B2 (en) 2011-10-11

Similar Documents

Publication Publication Date Title
US8022488B2 (en) High-performance FETs with embedded stressors
US8035141B2 (en) Bi-layer nFET embedded stressor element and integration to enhance drive current
US8299535B2 (en) Delta monolayer dopants epitaxy for embedded source/drain silicide
US8421191B2 (en) Monolayer dopant embedded stressor for advanced CMOS
EP2641271B1 (en) STRUCTURE AND METHOD FOR Vt TUNING AND SHORT CHANNEL CONTROL WITH HIGH K/METAL GATE MOSFETs
JP5745076B2 (en) Method and structure for pFET junction profile with SiGe channel
US7358551B2 (en) Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
US7960798B2 (en) Structure and method to form multilayer embedded stressors
US7772676B2 (en) Strained semiconductor device and method of making same
US8759168B2 (en) MOSFET with thin semiconductor channel and embedded stressor with enhanced junction isolation and method of fabrication
US8962417B2 (en) Method and structure for pFET junction profile with SiGe channel

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHAN, KEVIN K.;DUBE, ABHISHEK;LI, JINGHONG;AND OTHERS;SIGNING DATES FROM 20091022 TO 20091023;REEL/FRAME:023433/0001

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12