US20100252887A1 - Damage Implantation of a Cap Layer - Google Patents

Damage Implantation of a Cap Layer Download PDF

Info

Publication number
US20100252887A1
US20100252887A1 US12/817,829 US81782910A US2010252887A1 US 20100252887 A1 US20100252887 A1 US 20100252887A1 US 81782910 A US81782910 A US 81782910A US 2010252887 A1 US2010252887 A1 US 2010252887A1
Authority
US
United States
Prior art keywords
cap layer
integrated circuit
source
electrically neutral
neutral species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/817,829
Inventor
Mahalingam Nandakumar
Wayne Bather
Narendra Singh Mehta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US12/817,829 priority Critical patent/US20100252887A1/en
Publication of US20100252887A1 publication Critical patent/US20100252887A1/en
Priority to US15/674,266 priority patent/US20170365715A1/en
Priority to US17/548,827 priority patent/US20220102553A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Definitions

  • This invention relates to the fabrication of a semiconductor transistor using a cap layer during the source/drain anneal process.
  • FIG. 1 is a cross-sectional view of a semiconductor structure in accordance with the present invention.
  • FIGS. 2A-2E are cross-sectional diagrams of a process for forming a transistor in accordance with the present invention.
  • FIGS. 3A-3E are cross-sectional diagrams of an alternative process for forming a transistor in accordance with an alternative embodiment of the present invention.
  • FIGS. 4A-4F are cross-sectional diagrams of another alternative process for forming a transistor in accordance with an alternative embodiment of the present invention.
  • FIG. 1 is a cross-sectional view of a portion of a semiconductor wafer 10 in accordance with the present invention.
  • CMOS transistors 60 , 70 are formed within a semiconductor substrate 20 having a p-well 30 containing the NMOS transistor 70 and an n-well 40 containing PMOS transistor 60 .
  • the potions of the semiconductor wafer 10 that are not shown may contain any combination of active and passive devices, such as additional CMOS, BiCMOS and bipolar junction transistors—as well as capacitors, optoelectronic devices, inductors, resistors, and diodes.
  • the CMOS transistors 60 , 70 are electrically insulated from other active devices located within the semiconductor wafer 10 (not shown) by shallow trench isolation structures 50 formed within the semiconductor substrate 20 ; however, any conventional isolation structure may be used such as field oxide regions or implanted isolation regions.
  • the semiconductor substrate 20 may be a single-crystalline substrate that is doped with n-type and p-type dopants; however, it may also be a silicon germanium (“SiGe”) substrate, a silicon-on-insulator (“SOI”) substrate, or a single-crystalline substrate having an epitaxial silicon layer that is doped with n-type and p-type dopants.
  • Transistors such as CMOS transistors 60 , 70 , are generally comprised of a gate, source, and drain. More specifically, as shown in FIG. 1 , the active portion of the CMOS transistors are comprised of source/drain regions 80 , source/drain extension regions 90 , a gate stack that is comprised of a gate dielectric 100 and gate electrode 110 , and a channel region 190 located under the gate dielectric 100 and near the surface of the substrate.
  • the example PMOS transistor 60 is a p-channel MOS transistor. Therefore it is formed within an n-well region 40 of the semiconductor substrate 20 .
  • the deep source/drain regions 80 and the extension regions 90 have p-type dopants, such as boron.
  • the extension regions 90 may be lightly doped (“LDD”), medium doped (“MDD”), or highly doped (“HDD”).
  • sources/drain regions 80 are usually heavily doped.
  • the PMOS gate stack is comprised of a p-type doped polysilicon electrode 110 and gate oxide dielectric 100 . However, it is within the scope of the invention for the PMOS gate stack to have a metal electrode 110 instead of a polysilicon electrode 110 .
  • the example NMOS transistor 70 is an n-channel MOS transistor. Therefore it is formed within a p-well region 30 of the semiconductor substrate 20 .
  • the deep sources and drains 80 and the source and drain extensions 90 have n-type dopants such as arsenic, phosphorous, antimony, or a combination of n-type dopants.
  • the extension regions 90 may be LDD, MDD, or HDD.
  • sources/drain regions 80 are usually heavily doped.
  • the NMOS gate stack is comprised of an n-type doped polysilicon electrode 110 and gate oxide dielectric 100 . However, it is within the scope of the invention for the NMOS gate stack to have a metal electrode 110 instead of a polysilicon electrode 110 .
  • the extension regions 90 are formed using the gate stack 100 , 110 as a mask in the example embodiment. However, it is within the scope of the invention to form the extension regions 90 using the gate stack plus extension sidewalls that are located proximate the gate stack (not shown) as a mask.
  • An offset structure comprising source/drain sidewalls 130 is used during fabrication to enable the proper placement of the source/drain regions 80 . More specifically, the sources/drain regions 80 are formed with the gate stack and source/drain sidewalls 130 as a mask.
  • a sacrificial conformal cap layer 120 (sometimes called a “stress memorization layer”) covers the PMOS and NMOS transistors.
  • the cap layer 120 is used during the fabrication process to impart (or “memorize”) stress into the gate electrode 110 during the source/drain anneal process.
  • the stress that is memorized in the poly gate electrode 110 is transferred to the channel region 190 , thereby improving transistor performance by improving the carrier mobility in the channel region (resulting in an improved transistor drive current without an increase in leakage current).
  • the cap layer 120 is preferably SiN; however, the cap layer 120 may be comprised of any suitable material such as SiON, SiC, SiOCN, or SiOC. In addition, the cap layer 120 is preferably 300-600 ⁇ thick; however, the cap layer may be any suitable thickness between 50-1000 ⁇ .
  • the cap layer 120 in the example application is formed by a plasma enhanced chemical vapor deposition (“PECVD”) process (using silane and ammonia precursors); however, the cap layer 120 may be formed with any suitable process such as chemical vapor deposition (“CVD”) or low pressure chemical vapor deposition (“LPCVD”).
  • PECVD plasma enhanced chemical vapor deposition
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • the cap layer 120 in the example application may be implanted with an electrically neutral species such as Ar.
  • the cap layer 120 may be implanted with other electrically neutral species such as Ge, As, and Sb.
  • the cap layer it is within the scope of the invention for the cap layer to be implanted with any dopant that causes structural damage to the cap layer 120 but is un-reactive with the silicon substrate 20 , such as Ar.
  • the sacrificial cap layer 120 is implanted with one or more of these additional dopants to facilitate an improved etch rate when the cap layer is removed, as described infra.
  • FIGS. 2A-2E are cross-sectional views of a partially fabricated semiconductor wafer 10 illustrating a process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention.
  • the following example application is exemplary but not restrictive of alternative ways of implementing the principles of the invention.
  • features and procedures whose implementations are well known to those skilled in the art are omitted for brevity.
  • the implementation of common fabrication steps lies within the ability of those skilled in the art and accordingly any detailed discussion thereof may be omitted.
  • FIG. 2A is a cross-sectional view of a semiconductor substrate 20 containing partial PMOS and NMOS transistors 60 , 70 that are formed with any standard manufacturing process.
  • a gate oxide layer and a gate polysilicon layer are initially formed over a semiconductor substrate 20 containing shallow trench isolation structures 50 .
  • the gate oxide layer and the gate polysilicon layer are etched (using a patterned photoresist mask) to form the gate stacks of the PMOS and NMOS transistors 60 , 70 .
  • the extension regions 90 may be formed by low-energy ion implantation, gas phase diffusion, or solid phase diffusion.
  • the dopants used to create the extension regions 90 for a PMOS transistor are p-type (i.e. boron).
  • the dopants used to create the extension regions 90 for an NMOS transistor 70 are n-type (i.e. phosphorous and arsenic).
  • the gate stack 100 , 110 is used as the mask to direct the placement of the extension regions 90 ; however, extension sidewalls may be formed proximate the gate stack 100 , 110 and then used as a mask to direct the placement of the extension regions 90 .
  • source/drain sidewalls 130 are formed proximate to the gate stack 100 , 110 .
  • the example source/drain sidewalls 130 are comprised of a layer of nitride and a cap oxide; however, it is within the scope of the invention to use more layers (i.e. an L-shaped cap oxide layer, an L-shaped nitride layer, and a final oxide layer) or less layers (just a silicon oxide layer or just a silicon nitride layer) to create the source/drain sidewalls 130 .
  • the gate stack 100 , 110 and the source/drain sidewalls 110 are used as a template for the source/drain implant 140 of dopants to form the source/drain regions 80 .
  • the source/drain regions 80 may be formed by any standard implantation process, such as deep ion implantation or deep diffusion.
  • the dopants used to create the source/drain regions 80 for a PMOS transistor are typically boron; however, other dopants or combinations for dopants may be used.
  • the dopants used to create the source/drain regions 80 for an NMOS transistor are typically phosphorous and arsenic; however, other dopants or combinations for dopants may be used.
  • a sacrificial cap layer 120 is now formed over the semiconductor wafer 10 , as shown in FIG. 2B .
  • the cap layer 120 is preferably SiN; however, the cap layer 120 may be comprised of any suitable material such as SiON, SiC, SiOCN, or SiOC.
  • the SiN cap layer 120 may have a thickness between 200-1000 ⁇ and the thickness is preferably between 300-600 ⁇ .
  • the cap layer 120 may be formed by any suitable process such as plasma enhanced chemical vapor deposition (“PECVD”) using any suitable machine such as the Centura (sold by AMAT).
  • PECVD plasma enhanced chemical vapor deposition
  • Centura Centura
  • the PECVD process 150 uses silane and ammonia precursors, a pressure of 1-30 Torr, a power level between 50-300 W, and a substrate temperature of 250-450° C.
  • the cap layer 120 may be formed using another standard process, such as CVD or LPCVD (including BTBAS).
  • the next step in the fabrication process is a standard source/drain anneal 160 , as shown in FIG. 2C .
  • the source/drain regions 80 plus the extension regions 90 are activated by the anneal step 160 .
  • This anneal step activates the dopants and repairs the damage to the semiconductor wafer caused by the ion implants.
  • the activation anneal may be performed by any conventional technique such as rapid thermal annealing (“RTA”) or spike annealing.
  • RTA rapid thermal annealing
  • the anneal 160 is preferably performed by a millisecond anneal process such as flash lamp annealing (“FLA”) or laser annealing.
  • FLA flash lamp annealing
  • the anneal step 160 causes lateral and vertical migration of dopants in the sources/drain regions 80 and the extension regions 90 .
  • the anneal step causes the full crystallization of the ion implant areas 80 , 90 .
  • a second anneal (which is generally similar to the first anneal), or multiple conventional and millisecond anneals, may be performed to promote recrystallization and further lateral dopant movement of the ion implant areas 80 , 90 .
  • the anneal 160 also causes the cap layer 120 to change stoichiometrically (by physically restructuring of the bonds of the cap layer 120 ).
  • the SiN cap layer 120 of the example application hydrogen is released in the anneal process—causing the atomic percent of nitrogen and the atomic percent of silicon to increase. The result is that the cap layer 120 will have an increased density (and a reduced thickness). Therefore, the compositional changes of the cap layer 120 that occur during the anneal process causes the cap layer 120 to densify and transfer its stresses to the gate electrode 110 .
  • the change in structure of the cap layer 120 (resulting from the source/drain anneal 160 ) generally reduces the etch rate of the cap layer 120 . As a result, it is sometimes difficult to thoroughly remove the cap layer 120 using standard wafer cleaning processes. Therefore, in accordance with the example embodiment, the semiconductor wafer 10 is subjected to a blanket damage implant process 170 using a standard high current implanter (sold by AMAT or Varian), as shown in FIG. 2D .
  • a standard high current implanter sold by AMAT or Varian
  • the damage implant 170 causes the cap layer 120 to be damaged, thereby increasing the etch rate of the cap layer 120 .
  • the cap layer 120 is implanted with an inert and electrically neutral species such as Ar.
  • other electrically neutral species such as Ge, As, or Sb.
  • implant a combination of species it is also within the scope of the invention to implant any species that will cause structural damage to the cap layer 120 (and is preferably un-reactive with the silicon substrate 20 ).
  • the cap layer 120 is removed, as shown in FIG. 2E .
  • the cap layer 120 is removed with a standard etch 180 such as a wet etch using hot phosphoric acid clean (H 3 PO 4 ).
  • a standard etch 180 such as a wet etch using hot phosphoric acid clean (H 3 PO 4 ).
  • H 3 PO 4 hot phosphoric acid clean
  • other standard cleaning processes such as a plasma dry etch (using a mixture of Cl 2 /HBr/He/O 2 ).
  • the damage implant 170 caused the etch rate of the cap layer 120 to be increased; therefore, it is easier to remove the cap layer 120 with the standard clean process 180 .
  • the damage implant 170 may ensure that the standard clean process 180 thoroughly removes the cap layer 120 .
  • the fabrication of the semiconductor wafer 10 now continues with standard process steps until the semiconductor device is complete. Generally, the next step is the silicidation of the source/drain regions 80 and gate electrode 110 , the formation of the dielectric insulator layer, and then the formation of the contacts within the transistor layer of the integrated circuit. The semiconductor wafer fabrication continues with the completion of the back-end structure that contains the metal interconnects for electrically connecting the PMOS transistor 60 and the NMOS transistor 70 to the remainder of the integrated circuit. Once the fabrication process is complete, the integrated circuit will be tested and then packaged.
  • FIGS. 3A-3E are cross-sectional views of a first alternative process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention.
  • the structures shown in FIGS. 3A-3B are similar to the structures shown in FIGS. 2A-2B .
  • the source/drain implant ( 140 ) is performed in FIG. 3A and the cap layer 120 is formed ( 150 ) in FIG. 3B .
  • the damage implant 170 is performed before the source/drain anneal ( 160 ), as shown in FIG. 3C .
  • the damage implant 170 may be similar to the damage implant 170 described supra. Therefore, the dopant is preferably Ar, but any inert or electrically neutral dopant may be used.
  • the implant dosage is increased (in order to obtain the targeted damage to the cap layer 120 ) because some of the dopants will be released (thereby reversing some of the damage to the cap layer 120 ) during the subsequent source/drain anneal 160 (of FIG. 3D ).
  • the source/drain anneal 160 is performed upon completion of the damage implant 170 .
  • the source/drain anneal 160 is similar to the source/drain anneal 160 described supra; therefore, the cap layer 120 will change composition, becoming densified and reduced in thickness.
  • the cap layer 120 is removed after the source/drain anneal 160 with a standard etch 180 such as a wet etch using hot phosphoric acid clean (H 3 PO 4 ).
  • a standard etch 180 such as a wet etch using hot phosphoric acid clean (H 3 PO 4 ).
  • other standard cleaning processes may be used, such as a plasma dry etch (using a mixture of Cl 2 /HBr/He/O 2 ).
  • the damage implant 170 (performed before the source/drain anneal 160 ) caused the etch rate of the cap layer 120 to be increased; therefore, it is easier to remove the cap layer 120 with a standard clean process 180 .
  • the damage implant 170 may ensure that the standard clean process 180 will thoroughly remove the cap layer 120 .
  • FIGS. 4A-4F are cross-sectional views of a second alternative process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention.
  • the structures shown in FIGS. 4A-4D are similar to the structures shown in FIGS. 3A-3D .
  • the source/drain implant ( 140 ) is performed in FIG. 4A and the cap layer 120 is formed ( 150 ) in FIG. 4B .
  • a first damage implant 170 A is performed before the source/drain anneal ( 160 ).
  • the first damage implant 170 A may be similar to the damage implants 170 described supra. Therefore, the dopant is preferably Ar, but any inert or electrically neutral dopant may be used.
  • the implant dosage is reduced (in order to ultimately obtain the targeted damage to the cap layer 120 ) because additional dopants will be implanted during a second damage implant 170 B (as described infra).
  • a second damage implant 170 B is performed after the source/drain anneal 160 .
  • the second damage implant 170 B may be similar to the damage implants 170 described supra. Therefore, the dopant is preferably Ar, but any inert or electrically neutral dopant may be used. However, it is within the scope of the invention to use a different dopant for the second damage implant 170 B than was used for the first damage implant 170 A. In the example alternative application, the dosage of the second damage implant 170 B is the remaining dosage needed to obtain the targeted damage to the cap layer 120 .
  • the implant energy for the second damage implant 170 B of the example application is increased in order to facilitate the implantation of dopants into the densified cap layer 120 .
  • the implant energies and doses for both implants are optimized to ensure adequate damage to the cap layer and facilitate its easy removal in subsequent cleaning steps. It is to be noted that it may be desirable to use a heavier dopant (such as Sb) for the second damage implant 170 B in order to better penetrate the denser cap layer 120 created by the first damage implant 170 A.
  • the cap layer 120 is removed after the second damage implant 170 B with a standard etch 180 such as a wet etch using hot phosphoric acid clean (H 3 PO 4 ), as shown in FIG. 4F .
  • a standard etch 180 such as a wet etch using hot phosphoric acid clean (H 3 PO 4 ), as shown in FIG. 4F .
  • other standard cleaning processes may be used, such as a plasma dry etch (using a mixture of Cl 2 /HBr/He/O 2 ).
  • the damage implants 170 A and 170 B (performed before and after the source/drain anneal 160 ) caused the etch rate of the cap layer 120 to be increased; therefore, it is easier to remove the cap layer 120 with a standard clean process 180 .
  • the damage implants 170 A and 170 B may ensure that the cap layer 120 is thoroughly removed with the standard clean process 180 .
  • the invention may be used during the fabrication of BiCMOS transistors, diodes, or poly block resistors.
  • the cap layer 120 may contain additional layers such as a silicon oxide liner film that is formed before the SiN layer (to possibly enhance the transistor drive current).
  • Interfacial layers may be formed between any of the layers shown.
  • an anneal process may be performed after any step in the above-described fabrication process.
  • an anneal process may be performed after the implantation of the extension regions 90 but before the implantation of the source/drain regions 80 .
  • the anneal process can improve the microstructure of materials and thereby improve the quality of the semiconductor structure.

Abstract

A method for fabricating a transistor on a semiconductor wafer includes providing a partial transistor containing a gate stack, extension regions, and source/drain sidewalls. The method also includes performing a source/drain implant of the semiconductor wafer, forming a cap layer over the semiconductor wafer, and performing a source/drain anneal. In addition, the method includes performing a damage implant of the cap layer and removing the cap layer over the semiconductor wafer.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a division of application Ser. No. 11/771,269, filed on Jun. 29, 2007, the entire disclosure of which is hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • This invention relates to the fabrication of a semiconductor transistor using a cap layer during the source/drain anneal process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a semiconductor structure in accordance with the present invention.
  • FIGS. 2A-2E are cross-sectional diagrams of a process for forming a transistor in accordance with the present invention.
  • FIGS. 3A-3E are cross-sectional diagrams of an alternative process for forming a transistor in accordance with an alternative embodiment of the present invention.
  • FIGS. 4A-4F are cross-sectional diagrams of another alternative process for forming a transistor in accordance with an alternative embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the invention. Several aspects of the invention are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the invention. One skilled in the relevant art, however, will readily recognize that the invention can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the invention. The present invention is not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with the present invention.
  • Referring to the drawings, FIG. 1 is a cross-sectional view of a portion of a semiconductor wafer 10 in accordance with the present invention. In the example application, CMOS transistors 60, 70 are formed within a semiconductor substrate 20 having a p-well 30 containing the NMOS transistor 70 and an n-well 40 containing PMOS transistor 60. The potions of the semiconductor wafer 10 that are not shown may contain any combination of active and passive devices, such as additional CMOS, BiCMOS and bipolar junction transistors—as well as capacitors, optoelectronic devices, inductors, resistors, and diodes.
  • The CMOS transistors 60, 70 are electrically insulated from other active devices located within the semiconductor wafer 10 (not shown) by shallow trench isolation structures 50 formed within the semiconductor substrate 20; however, any conventional isolation structure may be used such as field oxide regions or implanted isolation regions. The semiconductor substrate 20 may be a single-crystalline substrate that is doped with n-type and p-type dopants; however, it may also be a silicon germanium (“SiGe”) substrate, a silicon-on-insulator (“SOI”) substrate, or a single-crystalline substrate having an epitaxial silicon layer that is doped with n-type and p-type dopants.
  • Transistors, such as CMOS transistors 60, 70, are generally comprised of a gate, source, and drain. More specifically, as shown in FIG. 1, the active portion of the CMOS transistors are comprised of source/drain regions 80, source/drain extension regions 90, a gate stack that is comprised of a gate dielectric 100 and gate electrode 110, and a channel region 190 located under the gate dielectric 100 and near the surface of the substrate.
  • The example PMOS transistor 60 is a p-channel MOS transistor. Therefore it is formed within an n-well region 40 of the semiconductor substrate 20. In addition, the deep source/drain regions 80 and the extension regions 90 have p-type dopants, such as boron. The extension regions 90 may be lightly doped (“LDD”), medium doped (“MDD”), or highly doped (“HDD”). However, sources/drain regions 80 are usually heavily doped. The PMOS gate stack is comprised of a p-type doped polysilicon electrode 110 and gate oxide dielectric 100. However, it is within the scope of the invention for the PMOS gate stack to have a metal electrode 110 instead of a polysilicon electrode 110.
  • The example NMOS transistor 70 is an n-channel MOS transistor. Therefore it is formed within a p-well region 30 of the semiconductor substrate 20. In addition, the deep sources and drains 80 and the source and drain extensions 90 have n-type dopants such as arsenic, phosphorous, antimony, or a combination of n-type dopants. The extension regions 90 may be LDD, MDD, or HDD. However, sources/drain regions 80 are usually heavily doped. The NMOS gate stack is comprised of an n-type doped polysilicon electrode 110 and gate oxide dielectric 100. However, it is within the scope of the invention for the NMOS gate stack to have a metal electrode 110 instead of a polysilicon electrode 110.
  • The extension regions 90 are formed using the gate stack 100,110 as a mask in the example embodiment. However, it is within the scope of the invention to form the extension regions 90 using the gate stack plus extension sidewalls that are located proximate the gate stack (not shown) as a mask. An offset structure comprising source/drain sidewalls 130 is used during fabrication to enable the proper placement of the source/drain regions 80. More specifically, the sources/drain regions 80 are formed with the gate stack and source/drain sidewalls 130 as a mask.
  • In the example application shown in FIG. 1, a sacrificial conformal cap layer 120 (sometimes called a “stress memorization layer”) covers the PMOS and NMOS transistors. The cap layer 120 is used during the fabrication process to impart (or “memorize”) stress into the gate electrode 110 during the source/drain anneal process. In the On-state of the transistor, the stress that is memorized in the poly gate electrode 110 is transferred to the channel region 190, thereby improving transistor performance by improving the carrier mobility in the channel region (resulting in an improved transistor drive current without an increase in leakage current).
  • The cap layer 120 is preferably SiN; however, the cap layer 120 may be comprised of any suitable material such as SiON, SiC, SiOCN, or SiOC. In addition, the cap layer 120 is preferably 300-600 Å thick; however, the cap layer may be any suitable thickness between 50-1000 Å. The cap layer 120 in the example application is formed by a plasma enhanced chemical vapor deposition (“PECVD”) process (using silane and ammonia precursors); however, the cap layer 120 may be formed with any suitable process such as chemical vapor deposition (“CVD”) or low pressure chemical vapor deposition (“LPCVD”).
  • The cap layer 120 in the example application may be implanted with an electrically neutral species such as Ar. However, the cap layer 120 may be implanted with other electrically neutral species such as Ge, As, and Sb. Moreover, it is within the scope of the invention for the cap layer to be implanted with any dopant that causes structural damage to the cap layer 120 but is un-reactive with the silicon substrate 20, such as Ar. The sacrificial cap layer 120 is implanted with one or more of these additional dopants to facilitate an improved etch rate when the cap layer is removed, as described infra.
  • Referring again to the drawings, FIGS. 2A-2E are cross-sectional views of a partially fabricated semiconductor wafer 10 illustrating a process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention. The following example application is exemplary but not restrictive of alternative ways of implementing the principles of the invention. Moreover, features and procedures whose implementations are well known to those skilled in the art are omitted for brevity. For example, the implementation of common fabrication steps lies within the ability of those skilled in the art and accordingly any detailed discussion thereof may be omitted.
  • FIG. 2A is a cross-sectional view of a semiconductor substrate 20 containing partial PMOS and NMOS transistors 60, 70 that are formed with any standard manufacturing process. For example, a gate oxide layer and a gate polysilicon layer are initially formed over a semiconductor substrate 20 containing shallow trench isolation structures 50. Then, the gate oxide layer and the gate polysilicon layer are etched (using a patterned photoresist mask) to form the gate stacks of the PMOS and NMOS transistors 60, 70.
  • The extension regions 90 may be formed by low-energy ion implantation, gas phase diffusion, or solid phase diffusion. The dopants used to create the extension regions 90 for a PMOS transistor are p-type (i.e. boron). The dopants used to create the extension regions 90 for an NMOS transistor 70 are n-type (i.e. phosphorous and arsenic). In the example application, the gate stack 100, 110 is used as the mask to direct the placement of the extension regions 90; however, extension sidewalls may be formed proximate the gate stack 100, 110 and then used as a mask to direct the placement of the extension regions 90.
  • Next, source/drain sidewalls 130 are formed proximate to the gate stack 100,110. The example source/drain sidewalls 130 are comprised of a layer of nitride and a cap oxide; however, it is within the scope of the invention to use more layers (i.e. an L-shaped cap oxide layer, an L-shaped nitride layer, and a final oxide layer) or less layers (just a silicon oxide layer or just a silicon nitride layer) to create the source/drain sidewalls 130. The gate stack 100, 110 and the source/drain sidewalls 110 are used as a template for the source/drain implant 140 of dopants to form the source/drain regions 80. The source/drain regions 80 may be formed by any standard implantation process, such as deep ion implantation or deep diffusion. The dopants used to create the source/drain regions 80 for a PMOS transistor are typically boron; however, other dopants or combinations for dopants may be used. The dopants used to create the source/drain regions 80 for an NMOS transistor are typically phosphorous and arsenic; however, other dopants or combinations for dopants may be used.
  • In accordance with the example embodiment, a sacrificial cap layer 120 is now formed over the semiconductor wafer 10, as shown in FIG. 2B. The cap layer 120 is preferably SiN; however, the cap layer 120 may be comprised of any suitable material such as SiON, SiC, SiOCN, or SiOC. The SiN cap layer 120 may have a thickness between 200-1000 Å and the thickness is preferably between 300-600 Å.
  • The cap layer 120 may be formed by any suitable process such as plasma enhanced chemical vapor deposition (“PECVD”) using any suitable machine such as the Centura (sold by AMAT). In the example application, the PECVD process 150 uses silane and ammonia precursors, a pressure of 1-30 Torr, a power level between 50-300 W, and a substrate temperature of 250-450° C. Alternatively, the cap layer 120 may be formed using another standard process, such as CVD or LPCVD (including BTBAS).
  • The next step in the fabrication process is a standard source/drain anneal 160, as shown in FIG. 2C. In the example application, the source/drain regions 80 plus the extension regions 90 are activated by the anneal step 160. This anneal step activates the dopants and repairs the damage to the semiconductor wafer caused by the ion implants. The activation anneal may be performed by any conventional technique such as rapid thermal annealing (“RTA”) or spike annealing. However, the anneal 160 is preferably performed by a millisecond anneal process such as flash lamp annealing (“FLA”) or laser annealing. Moreover, it is within the scope of the invention to use a combination of conventional and millisecond anneals for step 160.
  • The anneal step 160 causes lateral and vertical migration of dopants in the sources/drain regions 80 and the extension regions 90. In addition, the anneal step causes the full crystallization of the ion implant areas 80, 90. If needed, a second anneal (which is generally similar to the first anneal), or multiple conventional and millisecond anneals, may be performed to promote recrystallization and further lateral dopant movement of the ion implant areas 80, 90.
  • The anneal 160 also causes the cap layer 120 to change stoichiometrically (by physically restructuring of the bonds of the cap layer 120). For the SiN cap layer 120 of the example application, hydrogen is released in the anneal process—causing the atomic percent of nitrogen and the atomic percent of silicon to increase. The result is that the cap layer 120 will have an increased density (and a reduced thickness). Therefore, the compositional changes of the cap layer 120 that occur during the anneal process causes the cap layer 120 to densify and transfer its stresses to the gate electrode 110.
  • The change in structure of the cap layer 120 (resulting from the source/drain anneal 160) generally reduces the etch rate of the cap layer 120. As a result, it is sometimes difficult to thoroughly remove the cap layer 120 using standard wafer cleaning processes. Therefore, in accordance with the example embodiment, the semiconductor wafer 10 is subjected to a blanket damage implant process 170 using a standard high current implanter (sold by AMAT or Varian), as shown in FIG. 2D.
  • The damage implant 170 causes the cap layer 120 to be damaged, thereby increasing the etch rate of the cap layer 120. In the example application, the cap layer 120 is implanted with an inert and electrically neutral species such as Ar. However, it is within the scope of the invention to implant other electrically neutral species such as Ge, As, or Sb. It is also within the scope of the invention to implant a combination of species. Moreover, it is within the scope of the invention to implant any species that will cause structural damage to the cap layer 120 (and is preferably un-reactive with the silicon substrate 20).
  • Once the damage implant 170 is complete, the cap layer 120 is removed, as shown in FIG. 2E. In the example fabrication process, the cap layer 120 is removed with a standard etch 180 such as a wet etch using hot phosphoric acid clean (H3PO4). However, other standard cleaning processes may be used, such as a plasma dry etch (using a mixture of Cl2/HBr/He/O2). It is to be noted that the damage implant 170 caused the etch rate of the cap layer 120 to be increased; therefore, it is easier to remove the cap layer 120 with the standard clean process 180. Moreover, the damage implant 170 may ensure that the standard clean process 180 thoroughly removes the cap layer 120.
  • The fabrication of the semiconductor wafer 10 now continues with standard process steps until the semiconductor device is complete. Generally, the next step is the silicidation of the source/drain regions 80 and gate electrode 110, the formation of the dielectric insulator layer, and then the formation of the contacts within the transistor layer of the integrated circuit. The semiconductor wafer fabrication continues with the completion of the back-end structure that contains the metal interconnects for electrically connecting the PMOS transistor 60 and the NMOS transistor 70 to the remainder of the integrated circuit. Once the fabrication process is complete, the integrated circuit will be tested and then packaged.
  • FIGS. 3A-3E are cross-sectional views of a first alternative process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention. Specifically, the structures shown in FIGS. 3A-3B are similar to the structures shown in FIGS. 2A-2B. The source/drain implant (140) is performed in FIG. 3A and the cap layer 120 is formed (150) in FIG. 3B. However, in the first alternative embodiment, the damage implant 170 is performed before the source/drain anneal (160), as shown in FIG. 3C. The damage implant 170 may be similar to the damage implant 170 described supra. Therefore, the dopant is preferably Ar, but any inert or electrically neutral dopant may be used. However, in the example alternative application, the implant dosage is increased (in order to obtain the targeted damage to the cap layer 120) because some of the dopants will be released (thereby reversing some of the damage to the cap layer 120) during the subsequent source/drain anneal 160 (of FIG. 3D).
  • As shown in FIG. 3D, the source/drain anneal 160 is performed upon completion of the damage implant 170. The source/drain anneal 160 is similar to the source/drain anneal 160 described supra; therefore, the cap layer 120 will change composition, becoming densified and reduced in thickness.
  • In the first alternative fabrication process shown in FIG. 3E, the cap layer 120 is removed after the source/drain anneal 160 with a standard etch 180 such as a wet etch using hot phosphoric acid clean (H3PO4). However, other standard cleaning processes may be used, such as a plasma dry etch (using a mixture of Cl2/HBr/He/O2). It is to be noted that the damage implant 170 (performed before the source/drain anneal 160) caused the etch rate of the cap layer 120 to be increased; therefore, it is easier to remove the cap layer 120 with a standard clean process 180. In addition, the damage implant 170 may ensure that the standard clean process 180 will thoroughly remove the cap layer 120.
  • FIGS. 4A-4F are cross-sectional views of a second alternative process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention. The structures shown in FIGS. 4A-4D are similar to the structures shown in FIGS. 3A-3D. The source/drain implant (140) is performed in FIG. 4A and the cap layer 120 is formed (150) in FIG. 4B. As shown in FIG. 4C, a first damage implant 170A is performed before the source/drain anneal (160). The first damage implant 170A may be similar to the damage implants 170 described supra. Therefore, the dopant is preferably Ar, but any inert or electrically neutral dopant may be used. However, in the example alternative application, the implant dosage is reduced (in order to ultimately obtain the targeted damage to the cap layer 120) because additional dopants will be implanted during a second damage implant 170B (as described infra).
  • Upon completion of the damage implant, the source/drain anneal 160 is performed, as shown in FIG. 4D. The source/drain anneal 160 is similar to the source/drain anneals 160 described supra; therefore, the cap layer 120 will change composition—becoming densified and having a reduced thickness.
  • In the second alternative fabrication process shown in FIG. 4E, a second damage implant 170 B is performed after the source/drain anneal 160. The second damage implant 170B may be similar to the damage implants 170 described supra. Therefore, the dopant is preferably Ar, but any inert or electrically neutral dopant may be used. However, it is within the scope of the invention to use a different dopant for the second damage implant 170B than was used for the first damage implant 170A. In the example alternative application, the dosage of the second damage implant 170B is the remaining dosage needed to obtain the targeted damage to the cap layer 120. In addition, the implant energy for the second damage implant 170B of the example application is increased in order to facilitate the implantation of dopants into the densified cap layer 120. The implant energies and doses for both implants are optimized to ensure adequate damage to the cap layer and facilitate its easy removal in subsequent cleaning steps. It is to be noted that it may be desirable to use a heavier dopant (such as Sb) for the second damage implant 170B in order to better penetrate the denser cap layer 120 created by the first damage implant 170A.
  • The cap layer 120 is removed after the second damage implant 170B with a standard etch 180 such as a wet etch using hot phosphoric acid clean (H3PO4), as shown in FIG. 4F. However, other standard cleaning processes may be used, such as a plasma dry etch (using a mixture of Cl2/HBr/He/O2). It is to be noted that the damage implants 170A and 170B (performed before and after the source/drain anneal 160) caused the etch rate of the cap layer 120 to be increased; therefore, it is easier to remove the cap layer 120 with a standard clean process 180. In addition, the damage implants 170A and 170B may ensure that the cap layer 120 is thoroughly removed with the standard clean process 180.
  • Various additional modifications to the invention as described above are within the scope of the claimed invention. As an example, the invention may be used during the fabrication of BiCMOS transistors, diodes, or poly block resistors. Moreover, the cap layer 120 may contain additional layers such as a silicon oxide liner film that is formed before the SiN layer (to possibly enhance the transistor drive current).
  • Interfacial layers may be formed between any of the layers shown. In addition, an anneal process may be performed after any step in the above-described fabrication process. For example, an anneal process may be performed after the implantation of the extension regions 90 but before the implantation of the source/drain regions 80. When used, the anneal process can improve the microstructure of materials and thereby improve the quality of the semiconductor structure.
  • While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the invention. Thus, the breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.

Claims (20)

1. An integrated circuit comprising:
a cap layer comprising silicon and nitrogen in contact with annealed source/drain regions and a gate electrode of a transistor;
wherein said cap layer contains an electrically neutral species.
2. The integrated circuit of claim 1 wherein said electrically neutral species comprises Ar.
3. The integrated circuit of claim 1 wherein said electrically neutral species comprises Sb.
4. The integrated circuit of claim 1 wherein said cap layer also contains another electrically neutral species.
5. An integrated circuit comprising:
a cap layer comprising silicon and carbon in contact with annealed source/drain regions and a gate electrode of a transistor;
wherein said cap layer contains an electrically neutral species.
6. The integrated circuit of claim 5 wherein said electrically neutral species comprises Ar.
7. The integrated circuit of claim 5 wherein said electrically neutral species comprises Sb.
8. The integrated circuit of claim 5 wherein said cap layer also contains another electrically neutral species.
9. An integrated circuit comprising:
a silicon oxide liner film in contact with annealed source/drain regions and a gate electrode of a transistor; and
a cap layer comprising silicon and nitrogen in contact with said silicon oxide liner film;
wherein said cap layer contains an electrically neutral species.
10. The integrated circuit of claim 9 wherein said electrically neutral species comprises Ar.
11. The integrated circuit of claim 9 wherein said electrically neutral species comprises Sb.
12. The integrated circuit of claim 9 wherein said cap layer also contains another electrically neutral species.
13. An integrated circuit comprising:
a silicon oxide liner film in contact with annealed source/drain regions and a gate electrode of a transistor; and
a cap layer comprising silicon and carbon in contact with said silicon oxide liner film;
wherein said cap layer contains an electrically neutral species.
14. The integrated circuit of claim 13 wherein said electrically neutral species comprises Ar.
15. The integrated circuit of claim 13 wherein said electrically neutral species comprises Sb.
16. The integrated circuit of claim 13 wherein said cap layer also contains another electrically neutral species.
17. An integrated circuit comprising:
a cap layer comprising silicon and nitrogen in contact with unannealed source/drain regions and a gate electrode of a transistor;
wherein said cap layer contains an electrically neutral species.
18. The integrated circuit of claim 17 wherein said electrically neutral species comprises Ar.
19. An integrated circuit comprising:
a cap layer comprising silicon and carbon in contact with unannealed source/drain regions and a gate electrode of a transistor;
wherein said cap layer contains an electrically neutral species.
20. The integrated circuit of claim 19 wherein said electrically neutral species comprises Ar.
US12/817,829 2007-06-29 2010-06-17 Damage Implantation of a Cap Layer Abandoned US20100252887A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/817,829 US20100252887A1 (en) 2007-06-29 2010-06-17 Damage Implantation of a Cap Layer
US15/674,266 US20170365715A1 (en) 2007-06-29 2017-08-10 Damage Implantation of a Cap Layer
US17/548,827 US20220102553A1 (en) 2007-06-29 2021-12-13 Damage implantation of cap layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/771,269 US8859377B2 (en) 2007-06-29 2007-06-29 Damage implantation of a cap layer
US12/817,829 US20100252887A1 (en) 2007-06-29 2010-06-17 Damage Implantation of a Cap Layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/771,269 Division US8859377B2 (en) 2007-06-29 2007-06-29 Damage implantation of a cap layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/674,266 Division US20170365715A1 (en) 2007-06-29 2017-08-10 Damage Implantation of a Cap Layer

Publications (1)

Publication Number Publication Date
US20100252887A1 true US20100252887A1 (en) 2010-10-07

Family

ID=40161075

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/771,269 Active 2030-06-05 US8859377B2 (en) 2007-06-29 2007-06-29 Damage implantation of a cap layer
US12/817,829 Abandoned US20100252887A1 (en) 2007-06-29 2010-06-17 Damage Implantation of a Cap Layer
US15/674,266 Abandoned US20170365715A1 (en) 2007-06-29 2017-08-10 Damage Implantation of a Cap Layer
US17/548,827 Pending US20220102553A1 (en) 2007-06-29 2021-12-13 Damage implantation of cap layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/771,269 Active 2030-06-05 US8859377B2 (en) 2007-06-29 2007-06-29 Damage implantation of a cap layer

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/674,266 Abandoned US20170365715A1 (en) 2007-06-29 2017-08-10 Damage Implantation of a Cap Layer
US17/548,827 Pending US20220102553A1 (en) 2007-06-29 2021-12-13 Damage implantation of cap layer

Country Status (1)

Country Link
US (4) US8859377B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120187495A1 (en) * 2010-03-15 2012-07-26 Xia An Semiconductor device and method for fabricating the same
US20130273727A1 (en) * 2012-04-13 2013-10-17 Jeonggil Lee Semiconductor devices and methods for fabricating the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7772064B2 (en) * 2007-03-05 2010-08-10 United Microelectronics Corp. Method of fabricating self-aligned contact
JP2009188210A (en) * 2008-02-06 2009-08-20 Panasonic Corp Impurity activating thermal processing method, and thermal processing apparatus
KR102455149B1 (en) 2015-05-06 2022-10-18 삼성전자주식회사 Method for manufacturing semiconductor device
US9786496B2 (en) 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
US10163657B1 (en) * 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US20010055845A1 (en) * 2000-06-27 2001-12-27 Sony Corporation Method of production of semiconductor device
US20020016042A1 (en) * 2000-06-05 2002-02-07 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
US6429084B1 (en) * 2001-06-20 2002-08-06 International Business Machines Corporation MOS transistors with raised sources and drains
US6429064B1 (en) * 2000-09-29 2002-08-06 Intel Corporation Reduced contact area of sidewall conductor
US20040043574A1 (en) * 2002-09-03 2004-03-04 Steiner Kurt George Protruding spacers for self-aligned contacts
US6803289B1 (en) * 2002-06-28 2004-10-12 Cypress Semiconductor Corp. Bipolar transistor and method for making the same
US20040245583A1 (en) * 2003-06-05 2004-12-09 Masatada Horiuchi Semiconductor device and manufacturing method thereof
US20050032321A1 (en) * 2003-08-08 2005-02-10 Chien-Chao Huang Strained silicon MOS devices
US20050118770A1 (en) * 2003-10-01 2005-06-02 Texas Instruments, Inc. Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US20050156237A1 (en) * 2003-04-30 2005-07-21 Grudowski Paul A. Transistor sidewall spacer stress modulation
US20050202657A1 (en) * 2004-12-03 2005-09-15 Epion Corporation Formation of ultra-shallow junctions by gas-cluster ion irradiation
US20060246672A1 (en) * 2005-04-29 2006-11-02 Chien-Hao Chen Method of forming a locally strained transistor
US20060267106A1 (en) * 2005-05-26 2006-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Novel semiconductor device with improved channel strain effect
US20070023822A1 (en) * 2005-07-30 2007-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Programmable non-volatile memory (PNVM) device
US20070122987A1 (en) * 2005-11-25 2007-05-31 Hsiao Tsai-Fu Method for fabricating an nmos transistor
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer
US20080085607A1 (en) * 2006-09-19 2008-04-10 Chen-Hua Yu Method for modulating stresses of a contact etch stop layer
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
US20080206943A1 (en) * 2007-02-26 2008-08-28 Jei-Ming Chen Method of forming strained cmos transistor
US20080242020A1 (en) * 2007-03-28 2008-10-02 Jei-Ming Chen Method of manufacturing a mos transistor device

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US20020016042A1 (en) * 2000-06-05 2002-02-07 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
US20010055845A1 (en) * 2000-06-27 2001-12-27 Sony Corporation Method of production of semiconductor device
US6429064B1 (en) * 2000-09-29 2002-08-06 Intel Corporation Reduced contact area of sidewall conductor
US6429084B1 (en) * 2001-06-20 2002-08-06 International Business Machines Corporation MOS transistors with raised sources and drains
US6803289B1 (en) * 2002-06-28 2004-10-12 Cypress Semiconductor Corp. Bipolar transistor and method for making the same
US20040043574A1 (en) * 2002-09-03 2004-03-04 Steiner Kurt George Protruding spacers for self-aligned contacts
US20050156237A1 (en) * 2003-04-30 2005-07-21 Grudowski Paul A. Transistor sidewall spacer stress modulation
US20040245583A1 (en) * 2003-06-05 2004-12-09 Masatada Horiuchi Semiconductor device and manufacturing method thereof
US20050032321A1 (en) * 2003-08-08 2005-02-10 Chien-Chao Huang Strained silicon MOS devices
US20050118770A1 (en) * 2003-10-01 2005-06-02 Texas Instruments, Inc. Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US20050202657A1 (en) * 2004-12-03 2005-09-15 Epion Corporation Formation of ultra-shallow junctions by gas-cluster ion irradiation
US20060246672A1 (en) * 2005-04-29 2006-11-02 Chien-Hao Chen Method of forming a locally strained transistor
US20060267106A1 (en) * 2005-05-26 2006-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Novel semiconductor device with improved channel strain effect
US20070023822A1 (en) * 2005-07-30 2007-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Programmable non-volatile memory (PNVM) device
US20070122987A1 (en) * 2005-11-25 2007-05-31 Hsiao Tsai-Fu Method for fabricating an nmos transistor
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer
US20080085607A1 (en) * 2006-09-19 2008-04-10 Chen-Hua Yu Method for modulating stresses of a contact etch stop layer
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
US20080206943A1 (en) * 2007-02-26 2008-08-28 Jei-Ming Chen Method of forming strained cmos transistor
US20080242020A1 (en) * 2007-03-28 2008-10-02 Jei-Ming Chen Method of manufacturing a mos transistor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120187495A1 (en) * 2010-03-15 2012-07-26 Xia An Semiconductor device and method for fabricating the same
US8541847B2 (en) * 2010-03-15 2013-09-24 Peking University Semiconductor device and method for fabricating the same
US20130273727A1 (en) * 2012-04-13 2013-10-17 Jeonggil Lee Semiconductor devices and methods for fabricating the same

Also Published As

Publication number Publication date
US20170365715A1 (en) 2017-12-21
US20090004805A1 (en) 2009-01-01
US8859377B2 (en) 2014-10-14
US20220102553A1 (en) 2022-03-31

Similar Documents

Publication Publication Date Title
US20220102553A1 (en) Damage implantation of cap layer
US7553717B2 (en) Recess etch for epitaxial SiGe
US7678634B2 (en) Local stress engineering for CMOS devices
US7582934B2 (en) Isolation spacer for thin SOI devices
US8748246B2 (en) Integration scheme for dual work function metal gates
US7247535B2 (en) Source/drain extensions having highly activated and extremely abrupt junctions
US7253049B2 (en) Method for fabricating dual work function metal gates
US20060166457A1 (en) Method of making transistors and non-silicided polysilicon resistors for mixed signal circuits
JP5559639B2 (en) Semiconductor device and manufacturing method thereof
JP2000243854A (en) Semiconductor device and its manufacture
US7892906B2 (en) Method for forming CMOS transistors having FUSI gate electrodes and targeted work functions
US7994073B2 (en) Low stress sacrificial cap layer
JP2012079746A (en) Semiconductor device and manufacturing method for the same
US20070052026A1 (en) Semiconductor device and method of manufacturing the same
US8153537B1 (en) Method for fabricating semiconductor devices using stress engineering
US8466030B2 (en) Semiconductor device and fabricating method thereof
US8987748B2 (en) Drain induced barrier lowering with anti-punch-through implant
US9735012B2 (en) Short-channel nFET device
US7687861B2 (en) Silicided regions for NMOS and PMOS devices
US20070099407A1 (en) Method for fabricating a transistor using a low temperature spike anneal
US8273645B2 (en) Method to attain low defectivity fully silicided gates
US20120126342A1 (en) Field effect transistors with low k sidewall spacers and methods of fabricating same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION