US20100197100A1 - Semiconductor Devices and Methods of Manufacturing Thereof - Google Patents

Semiconductor Devices and Methods of Manufacturing Thereof Download PDF

Info

Publication number
US20100197100A1
US20100197100A1 US12/762,195 US76219510A US2010197100A1 US 20100197100 A1 US20100197100 A1 US 20100197100A1 US 76219510 A US76219510 A US 76219510A US 2010197100 A1 US2010197100 A1 US 2010197100A1
Authority
US
United States
Prior art keywords
semiconductive material
forming
workpiece
recess
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/762,195
Inventor
Jin-Ping Han
Henry Utomo
O. Sung Kwon
Oh Jung Kwon
Judson Robert Holt
Thomas N. Adam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/762,195 priority Critical patent/US20100197100A1/en
Publication of US20100197100A1 publication Critical patent/US20100197100A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Definitions

  • the present invention relates generally to the fabrication of semiconductor devices, and more particularly to the fabrication of transistors.
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment, as examples. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various layers using lithography to form circuit components and elements thereon.
  • a transistor is an element that is utilized extensively in semiconductor devices. There may be millions of transistors on a single integrated circuit (IC), for example.
  • a common type of transistor used in semiconductor device fabrication is a metal oxide semiconductor field effect transistor (MOSFET).
  • MOSFET metal oxide semiconductor field effect transistor
  • a transistor typically includes a gate dielectric disposed over a channel region, and a gate formed over the gate dielectric. A source region and a drain region are formed on either side of the channel region within a substrate or workpiece.
  • a method of manufacturing a semiconductor device includes providing a workpiece, and forming a recess in the workpiece.
  • the recess has a depth having a first dimension.
  • a first semiconductive material is formed in the recess to partially fill the recess in a central region to a height having a second dimension.
  • the second dimension is about one-half or greater of the first dimension.
  • a second semiconductive material is formed over the first semiconductive material in the recess to completely fill the recess, the second semiconductive material being different than the first semiconductive material.
  • FIGS. 1 through 4 show cross-sectional views of a semiconductor device at various stages of manufacturing in accordance with a preferred embodiment of the present invention, wherein recessed source and drain regions of a transistor are partially filled with a first semiconductive material and the remainder of the recesses are filled with a second semiconductive material, after the formation of first sidewall spacers;
  • FIG. 5 shows a cross-sectional view of another preferred embodiment of the present invention, wherein the second semiconductive material extends completely to one edge region of the source and drain regions and a silicide is formed over the second semiconductive material;
  • FIG. 6 shows a cross-sectional view of yet another preferred embodiment of the present invention, wherein the recesses are formed and filled after the formation of second sidewall spacers over the first sidewall spacers;
  • FIG. 7 is a cross-sectional view of embodiments of the present invention implemented in a complementary metal oxide semiconductor (CMOS) device.
  • CMOS complementary metal oxide semiconductor
  • Embodiments of the invention will be described with respect to preferred embodiments in specific contexts, namely implemented in single transistor devices and CMOS two-transistor device applications. Embodiments of the invention may also be implemented in other semiconductor applications such as memory devices and other applications. Embodiments of the invention may also be implemented in other semiconductor applications where introducing stress to an adjacent region is desired, for example.
  • eSiGe embedded SiGe
  • One method used to induce strain is embedded SiGe (eSiGe), which involves creating a recess in the source and drain regions of a MOS transistor, and growing a doped SiGe film within the recess in lieu of conventional silicon source and drain regions.
  • the larger crystal lattice of the eSiGe creates a stress in the channel between the source and drain and thereby enhances the carrier mobility.
  • R s sheet resistance
  • Embodiments of the present invention achieve technical advantages by selectively forming Si on top of eSiGe in source and drain regions of transistors, leaving eSiGe residing near the channel region and also near isolation regions in some embodiments.
  • the Si improves the formation of a subsequently-formed silicide, while the eSiGe proximate the channel region and optionally also the isolation regions improves device performance by altering the stress.
  • FIGS. 1 through 4 show cross-sectional views of a semiconductor device 100 at various stages of manufacturing in accordance with a preferred embodiment of the present invention.
  • a workpiece 102 is provided.
  • the workpiece 102 may include a semiconductor substrate comprising silicon or other semiconductor materials and may be covered by an insulating layer, for example.
  • the workpiece 102 may also include other active components or circuits, not shown.
  • the workpiece 102 may comprise silicon oxide over single-crystal silicon, for example.
  • the workpiece 102 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon.
  • the workpiece 102 may comprise a silicon-on-insulator (SOI) or a germanium-on-insulator (GOI) substrate, as examples.
  • SOI silicon-on-insulator
  • GOI germanium-on-insulator
  • Isolation regions 104 are formed in the workpiece 102 .
  • the isolation regions 104 may comprise shallow trench isolation (STI) regions, deep trench (DT) isolation regions, field oxide isolation regions, or other insulating regions, as examples.
  • the isolation regions 104 may be formed by depositing a hard mask (not shown) over the workpiece 102 and forming trenches in the workpiece 102 and hard mask using a lithography process.
  • the isolation regions 104 may be formed by depositing a photoresist, patterning the photoresist using a lithography mask and an exposure process, developing the photoresist, removing portions of the photoresist, and then using the photoresist and/or hard mask to protect portions of the workpiece 102 while other portions are etched away, forming trenches in the workpiece 102 .
  • the photoresist is then removed, and the trenches are then filled with an insulating material such as an oxide or nitride, or combinations thereof, as examples.
  • the hard mask may then be removed.
  • the isolation regions 104 may be formed using other methods.
  • a gate dielectric material 106 is deposited over the workpiece 102 and the isolation regions 104 .
  • the gate dielectric material 106 preferably comprises about 200 Angstroms or less of an oxide such as SiO 2 , a nitride such as Si 3 N 4 , a high-k dielectric material having a dielectric constant greater than 3.9, such as HfO 2 , HfSiO x , Al 2 O 3 , ZrO 2 , ZrSiO x , Ta 2 O 5 , La 2 O 3 , nitrides thereof, HfAlO x , HfAlO x N 1-x-y , ZrAlO x , ZrAlO x N y , SiAlO x , SiAlO x N 1-x-y , HfSiAlO x , HfSiAlO x N y , ZrSiAlO x , ZrSiAlO x
  • the gate dielectric material 106 may comprise other dimensions and materials, for example.
  • the gate dielectric material 106 may be formed using chemical vapor deposition (CVD), atomic layer deposition (ALD), metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), or jet vapor deposition (JVD), as examples, although alternatively, other methods may also be used.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • MOCVD metal organic chemical vapor deposition
  • PVD physical vapor deposition
  • JVD jet vapor deposition
  • a gate material 108 is deposited over the gate dielectric material 106 .
  • the gate material 108 preferably comprises an electrode material.
  • the gate material 108 preferably comprises a thickness of about 1,500 Angstroms or less, for example.
  • the gate material 108 preferably comprises a semiconductor material, such as polysilicon or amorphous silicon; a metal such as TiN, HfN, TaN, W, Al, Ru, RuTa, TaSiN, NiSi x , CoSi x , TiSi x , Ir, Y, Pt, Ti, PtTi, Pd, Re, Rh, borides, phosphides, or antimonides of Ti, Hf, Zr, TiAlN, Mo, MoN, ZrSiN, ZrN, HfN, HfSiN, WN, Ni, Pr, VN, TiW, TaC, TaCN, TaCNO, or other metals; a partially or fully silicided gate material (FUS
  • the gate material 108 may comprise a variety of different stoichiometry combinations for the components of the exemplary metals listed, for example. Alternatively, the gate material 108 may comprise other dimensions and materials, for example.
  • the gate material 108 may be formed by CVD, PVD, or other suitable deposition methods, for example.
  • the gate material 108 may optionally be implanted with dopants; e.g., the gate material 108 may be predoped or may be doped later, at the same time source and drain regions are implanted with dopants.
  • a hard mask 110 is deposited over the gate material 108 .
  • the hard mask 110 may comprise a nitride material such as silicon nitride, an oxide material such as silicon dioxide, a nitridized oxide, or multiple layers and combinations thereof, for example, although alternatively, the hard mask 110 may comprise other materials.
  • the hard mask 110 will prevent the formation of a first semiconductive material (see 116 in FIG. 3 ) over the gate material 108 in a later processing step, for example.
  • the hard mask 110 preferably comprises about 500 Angstroms or less of silicon nitride, although alternatively, the hard mask 110 may comprise other dimensions and materials.
  • the hard mask 110 , the gate material 108 , and the gate dielectric material 106 are patterned using lithography to form a gate 106 and gate dielectric 104 with a patterned hard mask 110 residing on top, as shown in FIG. 1 .
  • the workpiece 102 may be lightly doped with a dopant species to form lightly doped regions (not shown) in a top surface of the workpiece 102 proximate the gate 108 and gate dielectric 106 , after the patterning of the gate 106 and the gate dielectric 104 .
  • Other implantation processes e.g., pocket implants, halo implants, or double-diffused regions
  • a sidewall spacer material 112 is formed over the top surface of the hard mask 110 , the workpiece 102 , and the isolation regions 104 , and over the sidewalls of the gate 108 , gate dielectric 106 , and hard mask 110 , as shown in FIG. 1 .
  • the sidewall spacer material 112 may comprise one or more liners and may comprise two or more layers of insulating material, e.g., such as silicon nitride, silicon oxide, and/or silicon oxynitride, although other materials may also be used.
  • the sidewall spacer material 112 is preferably conformal as deposited and is preferably etched using an anisotropic or directional etch process.
  • the anisotropic etch process removes the sidewall spacer material 112 from the top surfaces of the hard mask 110 , the workpiece 102 , and the isolation regions 104 , leaving sidewall spacers 112 on the sidewalls of the hard mask 110 , gate 108 , and gate dielectric 106 , as shown in FIG. 2 .
  • the sidewall spacers 112 may comprise downwardly-sloping sidewalls as shown due to the anisotropic etch process, for example.
  • first sidewall spacers 112 which are also referred to herein as first sidewall spacers 112
  • the workpiece 102 may be implanted with a deep implantation of a dopant species proximate the first sidewall spacers 112 , not shown.
  • the first sidewall spacers 112 may comprise temporary spacers that are later removed and replaced with permanent sidewall spacers that remain in the structure in some embodiments, for example, not shown.
  • Exposed portions of the workpiece 102 are then recessed using an etch process, e.g., using an etch process adapted to remove the workpiece 102 material and not the isolation region 104 material, hard mask 110 , or sidewall spacers 112 , forming recesses 114 in the workpiece 102 proximate a first side and a second side of the gate 108 and gate dielectric 106 , as shown in FIG. 2 .
  • the recesses 114 preferably comprise a depth beneath the top surface of the workpiece 102 comprising a dimension d 1 of about 200 nm or less, for example.
  • the recesses 114 preferably comprise a width of about 900 nm to 1 ⁇ m (shown as dimension d 5 in FIG.
  • the recesses 114 may comprise other dimensions.
  • the recesses 114 may comprise substantially oval, round, square, rectangular, triangular, or trapezoidal shapes, as examples, although alternatively, the recesses 114 may comprise other shapes.
  • the recesses 114 comprise two holes in the top surface of the workpiece 102 formed on either side of the gate 108 and gate dielectric 106 .
  • the etch process to form the recesses 114 may be substantially anisotropic, etching material preferentially in a downward direction, as shown.
  • the etch process to form the recesses 114 may be isotropic, slightly undercutting the workpiece 102 beneath the sidewalls spacers 112 , as shown in phantom in FIG. 2 .
  • the etch process to form the recesses 114 may alternatively be partially anisotropic and partially isotropic, as another example.
  • the etch process to form the recesses 114 may comprise a reactive ion etch (RIE) process, or a dry or wet etch process, as examples. Only two recesses 114 are shown in FIGS. 1 through 6 ; however, alternatively, preferably a plurality of recesses 114 are simultaneously formed (e.g., a plurality of transistors are preferably formed at once across the workpiece 102 ).
  • RIE reactive ion etch
  • the recesses 114 are partially filled with a first semiconductive material 116 in a lower portion of the recesses 114 , as shown in FIG. 3 .
  • the first semiconductive material 116 is also formed or disposed in an upper portion of the recesses 114 at least adjacent to the channel region 120 .
  • the first semiconductive material 116 preferably comprises a compound semiconductor comprising silicon (Si) and at least one other element, for example.
  • the other element(s) preferably comprises an atom having a different size than Si and/or a different atom size than the material of the workpiece 102 , so that stress is created in the first semiconductive material 116 which is bounded on both sides by the workpiece 102 , for example.
  • the first semiconductive material 116 preferably comprises a material adapted to alter a stress of the workpiece 102 in a region of the workpiece 102 proximate the first semiconductive material 116 .
  • the first semiconductive material 116 is preferably adapted to alter the stress of the adjacent channel region 120 .
  • the first semiconductive material 116 preferably comprises SiGe, carbon-doped SiGe, or SiC, to be described further herein, although alternatively, the first semiconductive material 116 may also comprise other materials.
  • a first semiconductive material 116 comprising SiGe or carbon-doped SiGe introduces or increases tensile stress of the source region and the drain region, which creates compressive stress on the channel region 120 .
  • a first semiconductive material 116 comprising SiC introduces or increases compressive stress of the source region and the drain region, which creates tensile stress on the channel region 120 .
  • the first semiconductive material 116 may comprise other compound semiconductor materials, for example.
  • the first semiconductive material 116 is preferably epitaxially grown in some embodiments.
  • the first semiconductive material 116 forms only on the exposed, recessed surfaces of the workpiece 102 in the epitaxial growth process.
  • the first semiconductive material 116 may be deposited, using ALD, PVD, CVD, or other deposition methods, for example, and the first semiconductive material 116 may be patterned to remove the first semiconductive material 116 from over the isolation regions 104 , the hard mask 110 , the first sidewall spacers 112 , and other undesired regions of the workpiece 102 .
  • the first semiconductive material 116 is preferably formed to a height in a central region of the recesses 114 having a second dimension d 2 or d 3 as shown.
  • the first semiconductive material 116 comprises a height at a central region of the first semiconductive material 116 such that the second dimension d 2 comprises about one-half or greater of the first dimension d 1 .
  • the depth of the recesses 114 , the first dimension d 1 comprises about 200 nm or less, as an example, then the second dimension d 2 comprising the height of the first semiconductive material 116 in a central region of the recesses 114 preferably comprises about 100 nm or greater in these embodiments.
  • a second semiconductive material 118 may be formed in an upper half or greater of the recess 114 in these embodiments, for example, as shown in FIG. 4 .
  • the second dimension (represented by d 3 in FIG. 3 , shown in phantom) more preferably comprises about three-quarters or greater of the first dimension d 1 , as another example. If the depth of the recess 114 , the first dimension d 1 , comprises about 200 nm, as an example, the second dimension d 3 comprising the height of the first semiconductive material 116 in a central region of the recesses 114 preferably comprises about 150 nm or greater in these embodiments. The second semiconductive material 118 (see FIG. 4 ) may then be formed in an upper quarter or greater of the recess 114 in these embodiments, for example.
  • the first semiconductive material 116 preferably completely lines a sidewall of the recesses 114 at least on one side of the recesses 114 , more preferably on the side of the recesses 114 proximate the channel region 120 .
  • the first semiconductive material 116 may completely line at least two sidewalls of the recesses 114 in some embodiments.
  • the first semiconductive material 116 is shown completely lining both sidewalls of the recesses 114 in a cross-sectional view.
  • the first semiconductive material 116 may completely line all sidewalls of the recesses 114 , in some embodiments (e.g., in the directions extending in and out of the paper, not shown).
  • the thickness d 4 of the first semiconductive material 116 proximate the channel region 120 may also comprise other dimensions. The thickness d 4 of the first semiconductive material 116 proximate the channel region 120 may depend on the size and shape of the recesses 114 , for example.
  • the thickness of the first semiconductive material 116 may be substantially the same as the height d 2 of the first semiconductive material 116 in a central region of the recesses 114 ; e.g., the first semiconductive material 116 may be substantially conformal and may evenly line the sidewalls and bottom surface of the recesses 114 , for example.
  • the first semiconductive material 116 may comprise a first thickness (e.g., dimension d 4 ) on sidewalls of the recesses 114 and may comprise a second thickness (e.g., dimension d 2 ) on a bottom surface of the recesses 114 , the second thickness being substantially the same as the first thickness.
  • the recesses 114 in the workpiece 102 may be formed ex-situ.
  • the recesses 114 may first be completely filled with the first conductive material 116 , and then a portion of the first conductive material 116 may be removed in an upper portion of the recesses 114 .
  • the recesses 114 may be completely filled with the first semiconductive material 116 using a deposition or epitaxial growth process. Then a top portion of the first semiconductive material 116 is removed from within the recess, e.g., using an etch process.
  • the first semiconductive material 116 may be masked during the etch process so that selective portions, e.g., central regions, of the first semiconductive material 116 are etched away, for example.
  • a masking material such as a hard mask and/or a photoresist (not shown) may be deposited over the workpiece 102 , and the masking material may be patterned using lithography to expose a portion of the first semiconductive material 116 .
  • the masking material is used as a mask while the exposed top portion of the first semiconductive material 116 is etched away.
  • Patterning the masking material to expose the portion of the first semiconductive material 116 preferably comprises leaving a portion of the first semiconductive material 116 adjacent to or proximate the gate 108 and gate dielectric 106 covered with the masking material, so a portion of the first semiconductive material 116 remains residing adjacent to the channel region 120 , to be described further herein with respect to FIG. 5 .
  • patterning the masking material to expose the portion of the first semiconductive material 116 preferably also comprises leaving a portion of the first semiconductive material 116 adjacent to or proximate the isolation regions 114 , as well, as shown in FIGS. 3 and 4 .
  • a second semiconductive material 118 is formed in an upper portion of the recesses 114 , over the first semiconductive material 116 in the lower portion of the recesses 114 .
  • the second semiconductive material 118 is formed in an upper portion of the recesses 114 to fill the remainder of the recesses 114 , as shown.
  • the second semiconductive material 118 preferably comprises a different material than the first semiconductive material 116 and preferably completely fills the recesses 114 .
  • the second semiconductive material 118 is preferably epitaxially formed or grown in some embodiments, although alternatively, the second semiconductive material 118 may be deposited using similar methods described for the first semiconductive material 116 . Note that if the second semiconductive material 118 and the first semiconductive material 116 are deposited rather than grown epitaxially, a lithography process may be required to remove these materials 118 and 116 from undesired regions of the workpiece 102 .
  • the second semiconductive material 118 preferably comprises a material adapted to improve the subsequent formation of a silicide material at a top surface of the source and drain regions of the transistor 130 .
  • the second semiconductive material 118 preferably comprises Si, for example, although alternatively, other materials may also be used.
  • the second semiconductive material 118 preferably comprises a thickness of about 100 nm or less, for example, although alternatively, the second semiconductive material 118 may comprise other dimensions depending on the depth of the recesses 114 , for example.
  • the second semiconductive material 118 preferably comprises a thickness of about 5 nm or greater in some embodiments, as another example.
  • the second semiconductive material 118 preferably comprises a material that silicide will form better on than on the first semiconductive material 116 , for example.
  • the workpiece 102 may comprise the same material as the second semiconductive material 118 , for example.
  • the second semiconductive material 118 is formed such that a portion of the first semiconductive material 116 is disposed adjacent the workpiece 102 proximate an upper region of the workpiece 102 , e.g., near the channel region 120 , and optionally also near the isolation regions 104 .
  • the second semiconductive material 118 and the first semiconductive material 116 are preferably formed or grown epitaxially in-situ, without removing the workpiece 102 from a processing chamber.
  • the workpiece 102 is placed in a processing chamber, and then gas sources are introduced into the processing chamber to epitaxially grow the first semiconductive material 116 .
  • a first gas source comprising Si (e.g., SiH 4 or SiH 2 Cl 2 ) and a second gas source comprising Ge (e.g., GeH 4 ) and/or C (e.g., CH 3 Si) may be introduced into the processing chamber, as examples, although alternatively, other gas sources may be used.
  • gases may be included in the gas mixture, such as carrier gases and dopant source gases.
  • An example of a carrier gas is HCl and an example of a p-type dopant source is B 2 H 6 , although alternatively, other gases may be used. If a dopant source gas is not included in the gas mixture, the source and drain regions may be doped later, after the recesses 114 are filled, for example.
  • the first and second gas sources are continued to be introduced until the desired amount or thickness of the first semiconductive material 116 has been formed. Then, without removing the workpiece 102 from the processing chamber, the first gas source is continued to be introduced into the processing chamber while the second gas source is discontinued from being introduced into the processing chamber, resulting in the in-situ epitaxial growth of the second semiconductive material 118 over the first semiconductive material 116 .
  • the process for forming the second semiconductive material 118 is preferably well-controlled.
  • processing parameters such as process controls, temperature, pressure, flow rate and ratios, are preferably selected to achieve the specific shape of the first semiconductive material 116 and the second semiconductive material 118 desired.
  • the processing parameters are preferably selected such that the second semiconductive material 118 preferably does not form on the top surface of the first semiconductive material 116 , e.g., on the surface of the first semiconductive material 116 that is level with a top surface of the workpiece 102 , as shown in FIG. 4 .
  • first and second semiconductive materials 116 and 118 may be formed, because the semiconductive material 116 only forms on the exposed portions of the workpiece 102 in the recesses 114 , for example.
  • the number of lithography steps and lithography mask sets required to manufacture the semiconductor device 100 may be reduced.
  • the second semiconductive material 118 may be grown or deposited such that the recesses 114 are overfilled with the second semiconductive material 118 (not shown in the figures).
  • the second semiconductive material 118 may be formed to slightly overfill the recesses 114 in the workpiece 102 above a top surface of the workpiece 102 by about 0 to 50 nm, for example. A portion of the second semiconductive material 118 may be consumed during a subsequent silicide process, so overfilling the recesses 114 may be advantageous in some applications, for example.
  • the manufacturing process for the semiconductor device 100 is then continued to complete the fabrication of the device 100 .
  • FIG. 5 a cross-sectional view of a portion of a completed semiconductor device 100 is shown.
  • Second sidewall spacers 122 comprising similar materials and liners as described for the first sidewall spacers 112 are formed over the first sidewall spacers 112 , using a similar method described for the first sidewall spacers 112 .
  • the first semiconductive material 116 and the second semiconductive material 118 in the recesses 114 on either side of the gate dielectric 106 and the gate 108 form the source region and the drain region of the transistor 130 .
  • Doped or implanted portions of the workpiece 102 proximate the first and second semiconductive materials 116 and 118 may also comprise a part of the source and drain regions, for example.
  • a channel region 120 of the transistor 130 is located beneath the gate dielectric 106 between the source region and the drain region.
  • a silicide region 124 is formed over the source and drain regions, e.g., over the second semiconductive material 118 , as shown in FIG. 5 .
  • the silicide region 124 may be formed by depositing a silicidation metal over the source and drain regions 116 / 118 , e.g., over all exposed surfaces of the structure, and then subjecting the workpiece 102 to an annealing process.
  • the silicidation metal may comprise nickel, cobalt, copper, molybdenum, titanium, tantalum, tungsten, erbium, zirconium, platinum, or combinations thereof, as examples.
  • the workpiece 102 is heated, causing the metal to diffuse into at least the second semiconductive material 118 , and optionally in some embodiments, also the first semiconductive material 116 , if portions of the first semiconductive material 116 is adjacent to the metal.
  • a silicide region 124 comprising a silicide of the metal is formed over the second semiconductive material 118 and optionally also over portions of the first semiconductive material 116 , for example.
  • the hard mask 110 over the gate 108 may be removed so the gate 108 may also be silicided during the silicidation process, for example, not shown.
  • the layer of silicidation metal is then removed from the semiconductor device 100 .
  • the silicide regions 124 improve the conductivity and reduce the resistance of the source and drain regions 116 / 118 and optionally also the gate 108 , for example.
  • the silicide 124 may partially consume the underlying second semiconductive material 118 , as shown, or the silicide 124 may completely consume the second semiconductive material 118 , as shown in FIG. 7 at 218 a / 224 a and 218 b / 224 b.
  • An optional stress-inducing nitride layer which may also function as a contact etch stop layer may be formed over the transistor 130 at this point (not shown in FIG. 5 ; see FIG. 7 at 234 a and 234 b ).
  • An interlayer dielectric (ILD) layer 126 is then formed over the nitride layer.
  • the ILD layer 126 preferably comprises an insulating material, and preferably comprises a material such as borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), boron-doped silicon glass (BSG), organo-silicate glass (OSG), fluorinated silicate glass (FSG), spun-on-glass (SOG), silicon nitride, silicon dioxide, or plasma enhanced tetraethyloxysilane (PETEOS), as examples, although alternatively, the ILD layer 126 may comprise other materials.
  • BPSG borophosphosilicate glass
  • PSG phosphosilicate glass
  • BSG boron-doped silicon glass
  • OSG organo-silicate glass
  • FSG fluorinated silicate glass
  • SOG spun-on-glass
  • PETEOS plasma enhanced tetraethyloxysilane
  • the ILD layer 126 is etched to form contact holes using lithography, and source and drain contacts 128 are formed through the ILD layer 126 by depositing conductive material to fill the contact holes and make electrical contact to the silicided 124 source/drain regions 116 / 118 .
  • the semiconductor device 100 also includes metallization layers (not shown) disposed above the ILD layer 126 and the source and drain contacts 128 that interconnect the various components of the semiconductor device 100 .
  • Other insulating materials and conductive materials may be formed over the transistor 130 and patterned to make electrical contact to portions of the transistor 130 , for example, not shown.
  • the semiconductor device 100 may be annealed to activate the dopants implanted during the various implantation steps, for example.
  • the semiconductor device 100 shown in FIG. 5 illustrates an embodiment of the present invention wherein the second semiconductive material 118 extends completely to the outer edge regions 132 of the source and drain regions 116 / 118 , advantageously providing an increased amount of surface area for the silicide 124 formation proximate the isolation region 104 .
  • the first semiconductive material 116 is formed using a deposition process.
  • a lithography process is used to pattern the first semiconductive material 116 , removing the first semiconductive material 116 from region 132 and leaving the first semiconductive material 116 proximate and adjacent to the channel region 120 lining the inner edge region of the recesses 114 , as shown at dimension d 4 , thereby allowing the formation of the second semiconductive material 118 proximate and adjacent to the channel region 120 .
  • the second semiconductive material 118 does not extend completely across the edge region 132 so that a silicide 124 does not form adjacent the isolation region 104 , for example, as shown in FIG. 7 (e.g., see silicide 218 a / 224 a and 218 b / 224 b ).
  • the source and drain regions 116 / 118 are formed using an “early eSiGe” process, after the formation of first sidewall spacers 112 .
  • the first sidewall spacers 112 may comprise disposable spacers that are replaced later with permanent sidewall spacers 112 , or the first sidewall spacers 112 may comprise permanent spacers that are left remaining in the structure, for example.
  • embodiments of the present invention may be implemented in a “late eSiGe” process, after the formation of second sidewall spacers 122 , as shown in FIG. 6 .
  • Some transistor 130 designs may require a wider channel region 120 or larger light or deep implantation regions proximate the source and drain regions 116 / 118 , for example.
  • first sidewall spacers 112 are formed over the sidewalls of the gate 108 and gate dielectric 106 , and hard mask 110 if present, and the workpiece 102 may be doped using ion implantation and an optional anneal process, for example. Then second sidewall spacers 122 are formed over the sides of the first sidewall spacers 112 . Then, the workpiece 102 is recessed as described for the embodiment of FIGS. 1 through 4 , and the first and second semiconductive materials 116 and 118 are formed in the recesses 114 , also previously described herein.
  • the first semiconductive material 116 may be substantially conformal as deposited.
  • the first semiconductive material may comprise a first thickness (such as dimension d 4 shown in FIG. 3 ) on sidewalls of the recesses and a second thickness (such as dimension d 2 shown in FIG. 3 ) on a bottom surface of the recesses, wherein the second thickness is substantially the same as the first thickness.
  • the second semiconductive material 118 comprises a lower surface having substantially the same shape (e.g., curvature or edges) of the recesses 114 , although the size may be slightly smaller, e.g., having a smaller diameter.
  • the first semiconductive material 116 may be thicker on the bottom surface than on sidewalls of the recesses 114 proximate the top surface of the workpiece 102 , as shown in FIG. 6 . This may be accomplished by varying the epitaxial growth processing parameters and conditions, for example, or by depositing the first conductive material 116 to completely fill the recesses 114 and then removing a portion of the first conductive material 116 using lithography, for example.
  • the second semiconductive material comprises a lower surface having a shallow bowl shape, as shown in FIG. 6 .
  • the second semiconductive material 118 has a greater thickness in a central region of the recesses and a lesser thickness in edge regions of the recesses, the edge regions being spaced apart from the workpiece at least on one side, and in some embodiments, on two sides or on all sides.
  • the width of the second semiconductive material 118 at a top surface of the workpiece 102 is preferably about 80% or greater of the dimension d 5 of the width of the recesses 114 in this embodiment, for example.
  • the width of the second semiconductive material 118 at a top surface of the workpiece 102 , dimension d 6 is preferably about 90% or greater of the dimension d 5 of the width of the recesses 114 , for example.
  • Embodiments of the present invention may be implemented in PMOS transistors.
  • the first semiconductive material 116 preferably comprises SiGe or carbon-doped SiGe, which introduce or increase tensile stress of the source region and the drain region. Increasing the tensile stress of the source and drain regions 116 / 118 creates compressive stress on the channel region 120 and improves device performance.
  • Embodiments of the present invention may also be implemented in NMOS transistors.
  • the first semiconductive material 116 preferably comprises SiC, which introduces or increases compressive stress of the source region and the drain region. Increasing the compressive stress of the source and drain regions 116 / 118 creates tensile stress on the channel region 120 and improves device performance.
  • Embodiments of the present invention may also be implemented in a CMOS device, on either the PMOS FET or the NMOS FET of the CMOS device. Embodiments of the present invention may also be implemented in a CMOS device on both a PMOS FET 230 a and an NMOS FET 230 b , as shown in FIG. 7 in a cross-sectional view. Like numerals are used the various elements that were used to describe the elements in FIGS. 1 through 6 . To avoid repetition, each reference number shown in FIG. 7 is not described again in detail herein.
  • the preferred and alternative materials and dimensions described for the first semiconductive material 116 and the second semiconductive material 118 in the description for FIGS. 1 through 6 are preferably also used for the first semiconductive materials 216 a and 216 b and the second semiconductive materials 218 a and 218 b shown in FIG. 7 .
  • the PMOS FET 230 a preferably comprises a first semiconductive material 216 a comprising SiGe or carbon-doped SiGe, which increase tensile stress of the source and drain regions 216 a / 218 a and increase compressive stress on the channel region 220 a , which is surrounded on either side by and adjacent to the source and drain regions 216 a / 218 a .
  • the NMOS FET 230 b preferably comprises a first semiconductive material 216 b comprising SiC, which increases compressive stress of the source and drain regions 216 b / 218 b and increases tensile stress on the channel region 220 b .
  • the second semiconductive materials 218 a and 218 b of both transistors 230 a and 230 b preferably comprise Si and are silicided by silicide region 224 a and 224 b , respectively.
  • the silicide 224 a and 224 b completely consumes the second semiconductive materials 218 a and 218 b , as shown at 218 a / 224 a and 218 b / 224 b.
  • first semiconductive materials 216 a and 216 b may also be covered by the silicide regions 224 a and 224 b , respectively. However, preferably, in some embodiments, the silicide 224 a and 224 b does not form over the first semiconductive material 216 a and 216 b , not shown. If the first semiconductive material 216 a and 216 b is silicided, preferably the silicide regions 224 a and 224 b do not extend above a top surface of the workpiece 102 , as shown in FIG. 7 .
  • the silicide 224 a and 224 b is thicker over the second semiconductive material 218 a and 218 b (as shown at 218 a / 224 a and 218 b / 224 b ) than over the first semiconductive material 216 a and 216 b , as shown at 224 a and 224 b over the first semiconductive material 216 a and 216 b , for example.
  • a portion of the first semiconductive material 216 a and 216 b is adjacent to and abuts the channel regions 220 a and 220 b of the transistors 230 a and 230 b , respectively, providing increased stress of the desired type (tensile or compressive, respectively), close to the channel regions 220 a and 220 b and enhances the device 200 performance.
  • stress liners 234 a and 234 b have been formed over the PMOS transistor 230 a and the NMOS transistor 230 b to further create stress on the transistors 230 a and 230 b , respectively.
  • the stress liners 234 a and 234 b preferably create different types of stress on the transistors 230 a and 230 b , for example.
  • Liner 234 a preferably contains compressive stress and liner 234 b preferably contains tensile stress, for example.
  • the various types of stress may be created in a nitride material such as silicon nitride by changing the deposition temperature and various processing conditions, for example.
  • the NMOS transistor 230 b region may be covered with a masking material (not shown) while the PMOS transistor 230 a region is processed in accordance with embodiments of the present invention.
  • the PMOS transistor 230 a region may be covered with a masking material while the NMOS transistor 230 b region is processed (not shown).
  • the masking material may comprise a disposable spacer comprising a nitride material, for example, although other materials may also be used.
  • CMOS device 200 may comprise the novel source and drain regions 216 a / 218 a or 216 b / 218 b of embodiments of the present invention described herein (not shown).
  • Embodiments of the present invention may be implemented in applications where transistors are used, as described herein and shown in the figures.
  • One example of a memory device that embodiments of the present invention may be implemented in that uses both PMOS FET's and NMOS FET's is a static random access memory (SRAM) device.
  • SRAM static random access memory
  • a typical SRAM device includes arrays of thousands of SRAM cells, for example. Each SRAM cell may have four or six transistors (for example).
  • a commonly used SRAM cell is a six-transistor (6T) SRAM cell, which has two PMOS FET's interconnected with four NMOS FET's.
  • 6T six-transistor
  • the novel methods and structures that introduce strain to the channel regions of transistors described herein may be implemented in the transistors of SRAM devices and other memory devices, for example.
  • Embodiments of the present invention may also be implemented in semiconductor device structures other than the transistors 130 , 230 a , and 230 b shown in the drawings.
  • recesses 114 may be formed in a workpiece 102 and the first and second semiconductive materials 116 and 118 may be used to fill the recesses 114 as described herein, in order to alter the stress of an adjacent region within the workpiece 102 in other semiconductor device applications.
  • Embodiments of the present invention include semiconductor devices 100 and 200 and transistors 130 , 230 a , and 230 b including the first semiconductive materials 116 , 216 a , and 216 b and second semiconductive materials 118 , 218 a , and 218 b filling the recesses 114 , 214 a , and 214 b as described herein.
  • Embodiments of the present invention also include methods of fabricating the semiconductor devices 100 and 200 and transistors 130 , 230 a , and 230 b , for example.
  • Advantages of embodiments of the invention include providing novel structures and methods for altering the stress of channel regions of transistors, by altering the stress of source and drain regions of transistors.
  • a portion of the first semiconductive material 116 , 216 a , and 216 b is left remaining adjacent the channel regions 120 , 220 a , and 220 b , so that the beneficial effects of the compound semiconductor material of the first semiconductive material 116 , 216 a , and 216 b is realized in the transistor 130 , 230 a , and 230 b performance.
  • the second semiconductive material 118 , 218 a , and 218 b improves the formation of the silicide regions 124 , 224 a , and 224 b (e.g., regions 218 a / 224 a and 218 b / 224 b ), ensuring a better contact of the silicide material with the second semiconductive material 118 , 218 a , and 218 b , reducing sheet resistance and improving conductivity, which also improves the transistor 130 , 230 a , and 230 b and device 100 and 200 performance.
  • the selectively-formed second semiconductive material 118 a , 218 a , and 218 b provides a localized structure that advantageously minimizes the formation of silicide 124 , 224 a , and 224 b near the channel region 120 , 220 a , and 220 b and also near the isolation regions 204 in some embodiments.
  • Embodiments of the present invention are easily implementable in existing manufacturing process flows, with a small or reduced number of additional processing steps being required, particularly if the first and second semiconductive materials 116 and 118 are formed using an in-situ epitaxial growth process, for example.

Abstract

Semiconductor devices and methods of manufacturing thereof are disclosed. In a preferred embodiment, a method of manufacturing a semiconductor device includes providing a workpiece, and forming a recess in the workpiece. The recess has a depth having a first dimension. A first semiconductive material is formed in the recess to partially fill the recess in a central region to a height having a second dimension. The second dimension is about one-half or greater of the first dimension. A second semiconductive material is formed over the first semiconductive material in the recess to completely fill the recess, the second semiconductive material being different than the first semiconductive material.

Description

  • This is a divisional application of U.S. application Ser. No. 11/804,773, entitled “Semiconductor Devices Having Recesses Filled with Semiconductor Materials,” which was filed on May 21, 2007, and is incorporated herein by reference.
  • TECHNICAL FIELD
  • The present invention relates generally to the fabrication of semiconductor devices, and more particularly to the fabrication of transistors.
  • BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment, as examples. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various layers using lithography to form circuit components and elements thereon.
  • A transistor is an element that is utilized extensively in semiconductor devices. There may be millions of transistors on a single integrated circuit (IC), for example. A common type of transistor used in semiconductor device fabrication is a metal oxide semiconductor field effect transistor (MOSFET). A transistor typically includes a gate dielectric disposed over a channel region, and a gate formed over the gate dielectric. A source region and a drain region are formed on either side of the channel region within a substrate or workpiece.
  • In some transistor designs, it is desirable to introduce stress to the channel region to enhance carrier mobility.
  • What are needed in the art are improved methods and structures for introducing stress in transistors and other semiconductor devices.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by preferred embodiments of the present invention, which provide novel methods and structures for introducing stress to the channel regions of transistors.
  • In accordance with a preferred embodiment of the present invention, a method of manufacturing a semiconductor device includes providing a workpiece, and forming a recess in the workpiece. The recess has a depth having a first dimension. A first semiconductive material is formed in the recess to partially fill the recess in a central region to a height having a second dimension. The second dimension is about one-half or greater of the first dimension. A second semiconductive material is formed over the first semiconductive material in the recess to completely fill the recess, the second semiconductive material being different than the first semiconductive material.
  • The foregoing has outlined rather broadly the features and technical advantages of embodiments of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1 through 4 show cross-sectional views of a semiconductor device at various stages of manufacturing in accordance with a preferred embodiment of the present invention, wherein recessed source and drain regions of a transistor are partially filled with a first semiconductive material and the remainder of the recesses are filled with a second semiconductive material, after the formation of first sidewall spacers;
  • FIG. 5 shows a cross-sectional view of another preferred embodiment of the present invention, wherein the second semiconductive material extends completely to one edge region of the source and drain regions and a silicide is formed over the second semiconductive material;
  • FIG. 6 shows a cross-sectional view of yet another preferred embodiment of the present invention, wherein the recesses are formed and filled after the formation of second sidewall spacers over the first sidewall spacers; and
  • FIG. 7 is a cross-sectional view of embodiments of the present invention implemented in a complementary metal oxide semiconductor (CMOS) device.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • The present invention will be described with respect to preferred embodiments in specific contexts, namely implemented in single transistor devices and CMOS two-transistor device applications. Embodiments of the invention may also be implemented in other semiconductor applications such as memory devices and other applications. Embodiments of the invention may also be implemented in other semiconductor applications where introducing stress to an adjacent region is desired, for example.
  • In some transistor applications, it is desirable to introduce stress in the channel region of the transistor, in order to increase the mobility of semiconductor carriers such as electrons and holes. One method used to induce strain is embedded SiGe (eSiGe), which involves creating a recess in the source and drain regions of a MOS transistor, and growing a doped SiGe film within the recess in lieu of conventional silicon source and drain regions. The larger crystal lattice of the eSiGe creates a stress in the channel between the source and drain and thereby enhances the carrier mobility. However, it is difficult to form silicide on SiGe, resulting in a high sheet resistance (Rs) in the source and drain regions, particularly when higher percentages of Ge are used in the eSiGe, for example.
  • Embodiments of the present invention achieve technical advantages by selectively forming Si on top of eSiGe in source and drain regions of transistors, leaving eSiGe residing near the channel region and also near isolation regions in some embodiments. The Si improves the formation of a subsequently-formed silicide, while the eSiGe proximate the channel region and optionally also the isolation regions improves device performance by altering the stress.
  • FIGS. 1 through 4 show cross-sectional views of a semiconductor device 100 at various stages of manufacturing in accordance with a preferred embodiment of the present invention. To manufacture the device 100, first, a workpiece 102 is provided. The workpiece 102 may include a semiconductor substrate comprising silicon or other semiconductor materials and may be covered by an insulating layer, for example. The workpiece 102 may also include other active components or circuits, not shown. The workpiece 102 may comprise silicon oxide over single-crystal silicon, for example. The workpiece 102 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon. The workpiece 102 may comprise a silicon-on-insulator (SOI) or a germanium-on-insulator (GOI) substrate, as examples.
  • Isolation regions 104 are formed in the workpiece 102. The isolation regions 104 may comprise shallow trench isolation (STI) regions, deep trench (DT) isolation regions, field oxide isolation regions, or other insulating regions, as examples. The isolation regions 104 may be formed by depositing a hard mask (not shown) over the workpiece 102 and forming trenches in the workpiece 102 and hard mask using a lithography process. For example, the isolation regions 104 may be formed by depositing a photoresist, patterning the photoresist using a lithography mask and an exposure process, developing the photoresist, removing portions of the photoresist, and then using the photoresist and/or hard mask to protect portions of the workpiece 102 while other portions are etched away, forming trenches in the workpiece 102. The photoresist is then removed, and the trenches are then filled with an insulating material such as an oxide or nitride, or combinations thereof, as examples. The hard mask may then be removed. Alternatively, the isolation regions 104 may be formed using other methods.
  • A gate dielectric material 106 is deposited over the workpiece 102 and the isolation regions 104. The gate dielectric material 106 preferably comprises about 200 Angstroms or less of an oxide such as SiO2, a nitride such as Si3N4, a high-k dielectric material having a dielectric constant greater than 3.9, such as HfO2, HfSiOx, Al2O3, ZrO2, ZrSiOx, Ta2O5, La2O3, nitrides thereof, HfAlOx, HfAlOxN1-x-y, ZrAlOx, ZrAlOxNy, SiAlOx, SiAlOxN1-x-y, HfSiAlOx, HfSiAlOxNy, ZrSiAlOx, ZrSiAlOxNy, or combinations and multiple layers thereof, as examples. Alternatively, the gate dielectric material 106 may comprise other dimensions and materials, for example. The gate dielectric material 106 may be formed using chemical vapor deposition (CVD), atomic layer deposition (ALD), metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), or jet vapor deposition (JVD), as examples, although alternatively, other methods may also be used.
  • A gate material 108 is deposited over the gate dielectric material 106. The gate material 108 preferably comprises an electrode material. The gate material 108 preferably comprises a thickness of about 1,500 Angstroms or less, for example. The gate material 108 preferably comprises a semiconductor material, such as polysilicon or amorphous silicon; a metal such as TiN, HfN, TaN, W, Al, Ru, RuTa, TaSiN, NiSix, CoSix, TiSix, Ir, Y, Pt, Ti, PtTi, Pd, Re, Rh, borides, phosphides, or antimonides of Ti, Hf, Zr, TiAlN, Mo, MoN, ZrSiN, ZrN, HfN, HfSiN, WN, Ni, Pr, VN, TiW, TaC, TaCN, TaCNO, or other metals; a partially or fully silicided gate material (FUSI), having a silicide layer comprised of titanium silicide, nickel silicide, tantalum silicide, cobalt silicide, or platinum silicide; and/or combinations or multiple layers thereof, as examples. The gate material 108 may comprise a variety of different stoichiometry combinations for the components of the exemplary metals listed, for example. Alternatively, the gate material 108 may comprise other dimensions and materials, for example. The gate material 108 may be formed by CVD, PVD, or other suitable deposition methods, for example. The gate material 108 may optionally be implanted with dopants; e.g., the gate material 108 may be predoped or may be doped later, at the same time source and drain regions are implanted with dopants.
  • A hard mask 110 is deposited over the gate material 108. The hard mask 110 may comprise a nitride material such as silicon nitride, an oxide material such as silicon dioxide, a nitridized oxide, or multiple layers and combinations thereof, for example, although alternatively, the hard mask 110 may comprise other materials. The hard mask 110 will prevent the formation of a first semiconductive material (see 116 in FIG. 3) over the gate material 108 in a later processing step, for example. The hard mask 110 preferably comprises about 500 Angstroms or less of silicon nitride, although alternatively, the hard mask 110 may comprise other dimensions and materials.
  • The hard mask 110, the gate material 108, and the gate dielectric material 106 are patterned using lithography to form a gate 106 and gate dielectric 104 with a patterned hard mask 110 residing on top, as shown in FIG. 1. The workpiece 102 may be lightly doped with a dopant species to form lightly doped regions (not shown) in a top surface of the workpiece 102 proximate the gate 108 and gate dielectric 106, after the patterning of the gate 106 and the gate dielectric 104. Other implantation processes (e.g., pocket implants, halo implants, or double-diffused regions) may also be performed as desired after the patterning of the gate 106 and gate dielectric 104, for example.
  • A sidewall spacer material 112 is formed over the top surface of the hard mask 110, the workpiece 102, and the isolation regions 104, and over the sidewalls of the gate 108, gate dielectric 106, and hard mask 110, as shown in FIG. 1. The sidewall spacer material 112 may comprise one or more liners and may comprise two or more layers of insulating material, e.g., such as silicon nitride, silicon oxide, and/or silicon oxynitride, although other materials may also be used. The sidewall spacer material 112 is preferably conformal as deposited and is preferably etched using an anisotropic or directional etch process. The anisotropic etch process removes the sidewall spacer material 112 from the top surfaces of the hard mask 110, the workpiece 102, and the isolation regions 104, leaving sidewall spacers 112 on the sidewalls of the hard mask 110, gate 108, and gate dielectric 106, as shown in FIG. 2. The sidewall spacers 112 may comprise downwardly-sloping sidewalls as shown due to the anisotropic etch process, for example.
  • After the formation of the sidewall spacers 112, which are also referred to herein as first sidewall spacers 112, optionally, the workpiece 102 may be implanted with a deep implantation of a dopant species proximate the first sidewall spacers 112, not shown. The first sidewall spacers 112 may comprise temporary spacers that are later removed and replaced with permanent sidewall spacers that remain in the structure in some embodiments, for example, not shown.
  • Exposed portions of the workpiece 102 are then recessed using an etch process, e.g., using an etch process adapted to remove the workpiece 102 material and not the isolation region 104 material, hard mask 110, or sidewall spacers 112, forming recesses 114 in the workpiece 102 proximate a first side and a second side of the gate 108 and gate dielectric 106, as shown in FIG. 2. The recesses 114 preferably comprise a depth beneath the top surface of the workpiece 102 comprising a dimension d1 of about 200 nm or less, for example. The recesses 114 preferably comprise a width of about 900 nm to 1 μm (shown as dimension d5 in FIG. 6) or less in some embodiments, as another example. Alternatively, the recesses 114 may comprise other dimensions. The recesses 114 may comprise substantially oval, round, square, rectangular, triangular, or trapezoidal shapes, as examples, although alternatively, the recesses 114 may comprise other shapes.
  • The recesses 114 comprise two holes in the top surface of the workpiece 102 formed on either side of the gate 108 and gate dielectric 106. The etch process to form the recesses 114 may be substantially anisotropic, etching material preferentially in a downward direction, as shown. Alternatively, the etch process to form the recesses 114 may be isotropic, slightly undercutting the workpiece 102 beneath the sidewalls spacers 112, as shown in phantom in FIG. 2. The etch process to form the recesses 114 may alternatively be partially anisotropic and partially isotropic, as another example. The etch process to form the recesses 114 may comprise a reactive ion etch (RIE) process, or a dry or wet etch process, as examples. Only two recesses 114 are shown in FIGS. 1 through 6; however, alternatively, preferably a plurality of recesses 114 are simultaneously formed (e.g., a plurality of transistors are preferably formed at once across the workpiece 102).
  • Next, in accordance with an embodiment of the present invention, the recesses 114 are partially filled with a first semiconductive material 116 in a lower portion of the recesses 114, as shown in FIG. 3. The first semiconductive material 116 is also formed or disposed in an upper portion of the recesses 114 at least adjacent to the channel region 120. The first semiconductive material 116 preferably comprises a compound semiconductor comprising silicon (Si) and at least one other element, for example. The other element(s) preferably comprises an atom having a different size than Si and/or a different atom size than the material of the workpiece 102, so that stress is created in the first semiconductive material 116 which is bounded on both sides by the workpiece 102, for example. The first semiconductive material 116 preferably comprises a material adapted to alter a stress of the workpiece 102 in a region of the workpiece 102 proximate the first semiconductive material 116.
  • For example, the first semiconductive material 116 is preferably adapted to alter the stress of the adjacent channel region 120. The first semiconductive material 116 preferably comprises SiGe, carbon-doped SiGe, or SiC, to be described further herein, although alternatively, the first semiconductive material 116 may also comprise other materials. A first semiconductive material 116 comprising SiGe or carbon-doped SiGe introduces or increases tensile stress of the source region and the drain region, which creates compressive stress on the channel region 120. A first semiconductive material 116 comprising SiC introduces or increases compressive stress of the source region and the drain region, which creates tensile stress on the channel region 120. Alternatively, the first semiconductive material 116 may comprise other compound semiconductor materials, for example.
  • The first semiconductive material 116 is preferably epitaxially grown in some embodiments. The first semiconductive material 116 forms only on the exposed, recessed surfaces of the workpiece 102 in the epitaxial growth process. Alternatively, the first semiconductive material 116 may be deposited, using ALD, PVD, CVD, or other deposition methods, for example, and the first semiconductive material 116 may be patterned to remove the first semiconductive material 116 from over the isolation regions 104, the hard mask 110, the first sidewall spacers 112, and other undesired regions of the workpiece 102.
  • The first semiconductive material 116 is preferably formed to a height in a central region of the recesses 114 having a second dimension d2 or d3 as shown. In some embodiments, preferably the first semiconductive material 116 comprises a height at a central region of the first semiconductive material 116 such that the second dimension d2 comprises about one-half or greater of the first dimension d1. If the depth of the recesses 114, the first dimension d1, comprises about 200 nm or less, as an example, then the second dimension d2 comprising the height of the first semiconductive material 116 in a central region of the recesses 114 preferably comprises about 100 nm or greater in these embodiments. A second semiconductive material 118 may be formed in an upper half or greater of the recess 114 in these embodiments, for example, as shown in FIG. 4.
  • In some embodiments, the second dimension (represented by d3 in FIG. 3, shown in phantom) more preferably comprises about three-quarters or greater of the first dimension d1, as another example. If the depth of the recess 114, the first dimension d1, comprises about 200 nm, as an example, the second dimension d3 comprising the height of the first semiconductive material 116 in a central region of the recesses 114 preferably comprises about 150 nm or greater in these embodiments. The second semiconductive material 118 (see FIG. 4) may then be formed in an upper quarter or greater of the recess 114 in these embodiments, for example.
  • The first semiconductive material 116 preferably completely lines a sidewall of the recesses 114 at least on one side of the recesses 114, more preferably on the side of the recesses 114 proximate the channel region 120. The first semiconductive material 116 may completely line at least two sidewalls of the recesses 114 in some embodiments. For example, in FIG. 3, the first semiconductive material 116 is shown completely lining both sidewalls of the recesses 114 in a cross-sectional view. The first semiconductive material 116 may completely line all sidewalls of the recesses 114, in some embodiments (e.g., in the directions extending in and out of the paper, not shown). Preferably, a thickness represented by dimension d4 in FIG. 3 of the first semiconductive material 116 on the sidewall of the recesses 114 proximate a top surface of the workpiece 102 near the channel region 120 comprises about 10 Angstroms or greater in some embodiments of the present invention, for example. Alternatively, the thickness d4 of the first semiconductive material 116 proximate the channel region 120 may also comprise other dimensions. The thickness d4 of the first semiconductive material 116 proximate the channel region 120 may depend on the size and shape of the recesses 114, for example.
  • As another example, the thickness of the first semiconductive material 116 may be substantially the same as the height d2 of the first semiconductive material 116 in a central region of the recesses 114; e.g., the first semiconductive material 116 may be substantially conformal and may evenly line the sidewalls and bottom surface of the recesses 114, for example. In other words, the first semiconductive material 116 may comprise a first thickness (e.g., dimension d4) on sidewalls of the recesses 114 and may comprise a second thickness (e.g., dimension d2) on a bottom surface of the recesses 114, the second thickness being substantially the same as the first thickness.
  • In some embodiments, the recesses 114 in the workpiece 102 may be formed ex-situ. In these embodiments, the recesses 114 may first be completely filled with the first conductive material 116, and then a portion of the first conductive material 116 may be removed in an upper portion of the recesses 114. The recesses 114 may be completely filled with the first semiconductive material 116 using a deposition or epitaxial growth process. Then a top portion of the first semiconductive material 116 is removed from within the recess, e.g., using an etch process. The first semiconductive material 116 may be masked during the etch process so that selective portions, e.g., central regions, of the first semiconductive material 116 are etched away, for example. A masking material such as a hard mask and/or a photoresist (not shown) may be deposited over the workpiece 102, and the masking material may be patterned using lithography to expose a portion of the first semiconductive material 116. The masking material is used as a mask while the exposed top portion of the first semiconductive material 116 is etched away. Patterning the masking material to expose the portion of the first semiconductive material 116 preferably comprises leaving a portion of the first semiconductive material 116 adjacent to or proximate the gate 108 and gate dielectric 106 covered with the masking material, so a portion of the first semiconductive material 116 remains residing adjacent to the channel region 120, to be described further herein with respect to FIG. 5. In some embodiments, patterning the masking material to expose the portion of the first semiconductive material 116 preferably also comprises leaving a portion of the first semiconductive material 116 adjacent to or proximate the isolation regions 114, as well, as shown in FIGS. 3 and 4.
  • Referring next to FIG. 4, a second semiconductive material 118 is formed in an upper portion of the recesses 114, over the first semiconductive material 116 in the lower portion of the recesses 114. The second semiconductive material 118 is formed in an upper portion of the recesses 114 to fill the remainder of the recesses 114, as shown. The second semiconductive material 118 preferably comprises a different material than the first semiconductive material 116 and preferably completely fills the recesses 114. The second semiconductive material 118 is preferably epitaxially formed or grown in some embodiments, although alternatively, the second semiconductive material 118 may be deposited using similar methods described for the first semiconductive material 116. Note that if the second semiconductive material 118 and the first semiconductive material 116 are deposited rather than grown epitaxially, a lithography process may be required to remove these materials 118 and 116 from undesired regions of the workpiece 102.
  • The second semiconductive material 118 preferably comprises a material adapted to improve the subsequent formation of a silicide material at a top surface of the source and drain regions of the transistor 130. The second semiconductive material 118 preferably comprises Si, for example, although alternatively, other materials may also be used. The second semiconductive material 118 preferably comprises a thickness of about 100 nm or less, for example, although alternatively, the second semiconductive material 118 may comprise other dimensions depending on the depth of the recesses 114, for example. The second semiconductive material 118 preferably comprises a thickness of about 5 nm or greater in some embodiments, as another example. The second semiconductive material 118 preferably comprises a material that silicide will form better on than on the first semiconductive material 116, for example. The workpiece 102 may comprise the same material as the second semiconductive material 118, for example.
  • Preferably, the second semiconductive material 118 is formed such that a portion of the first semiconductive material 116 is disposed adjacent the workpiece 102 proximate an upper region of the workpiece 102, e.g., near the channel region 120, and optionally also near the isolation regions 104.
  • In some embodiments, the second semiconductive material 118 and the first semiconductive material 116 are preferably formed or grown epitaxially in-situ, without removing the workpiece 102 from a processing chamber. After the recesses 114 are formed in the workpiece 102, the workpiece 102 is placed in a processing chamber, and then gas sources are introduced into the processing chamber to epitaxially grow the first semiconductive material 116. A first gas source comprising Si (e.g., SiH4 or SiH2Cl2) and a second gas source comprising Ge (e.g., GeH4) and/or C (e.g., CH3Si) may be introduced into the processing chamber, as examples, although alternatively, other gas sources may be used. Other gases may be included in the gas mixture, such as carrier gases and dopant source gases. An example of a carrier gas is HCl and an example of a p-type dopant source is B2H6, although alternatively, other gases may be used. If a dopant source gas is not included in the gas mixture, the source and drain regions may be doped later, after the recesses 114 are filled, for example.
  • The first and second gas sources are continued to be introduced until the desired amount or thickness of the first semiconductive material 116 has been formed. Then, without removing the workpiece 102 from the processing chamber, the first gas source is continued to be introduced into the processing chamber while the second gas source is discontinued from being introduced into the processing chamber, resulting in the in-situ epitaxial growth of the second semiconductive material 118 over the first semiconductive material 116.
  • The process for forming the second semiconductive material 118 is preferably well-controlled. For example, processing parameters such as process controls, temperature, pressure, flow rate and ratios, are preferably selected to achieve the specific shape of the first semiconductive material 116 and the second semiconductive material 118 desired. The processing parameters are preferably selected such that the second semiconductive material 118 preferably does not form on the top surface of the first semiconductive material 116, e.g., on the surface of the first semiconductive material 116 that is level with a top surface of the workpiece 102, as shown in FIG. 4. This results in some embodiments in the prevention of silicide (see silicide 124 in FIG. 5) on the top surface of the first semiconductive material 116 adjacent the channel region 120, and also adjacent the isolation regions 104, for example.
  • Advantageously, if an epitaxial process is used to form the first and second semiconductive materials 116 and 118, a lithography process to remove undesired first and second semiconductive materials 116 and 118 may be avoided, because the semiconductive material 116 only forms on the exposed portions of the workpiece 102 in the recesses 114, for example. Thus, the number of lithography steps and lithography mask sets required to manufacture the semiconductor device 100 may be reduced.
  • In some embodiments, the second semiconductive material 118 may be grown or deposited such that the recesses 114 are overfilled with the second semiconductive material 118 (not shown in the figures). The second semiconductive material 118 may be formed to slightly overfill the recesses 114 in the workpiece 102 above a top surface of the workpiece 102 by about 0 to 50 nm, for example. A portion of the second semiconductive material 118 may be consumed during a subsequent silicide process, so overfilling the recesses 114 may be advantageous in some applications, for example.
  • The manufacturing process for the semiconductor device 100 is then continued to complete the fabrication of the device 100. For example, in FIG. 5, a cross-sectional view of a portion of a completed semiconductor device 100 is shown. Second sidewall spacers 122 comprising similar materials and liners as described for the first sidewall spacers 112 are formed over the first sidewall spacers 112, using a similar method described for the first sidewall spacers 112. The first semiconductive material 116 and the second semiconductive material 118 in the recesses 114 on either side of the gate dielectric 106 and the gate 108 form the source region and the drain region of the transistor 130. Doped or implanted portions of the workpiece 102 proximate the first and second semiconductive materials 116 and 118 may also comprise a part of the source and drain regions, for example. A channel region 120 of the transistor 130 is located beneath the gate dielectric 106 between the source region and the drain region.
  • A silicide region 124 is formed over the source and drain regions, e.g., over the second semiconductive material 118, as shown in FIG. 5. The silicide region 124 may be formed by depositing a silicidation metal over the source and drain regions 116/118, e.g., over all exposed surfaces of the structure, and then subjecting the workpiece 102 to an annealing process. The silicidation metal may comprise nickel, cobalt, copper, molybdenum, titanium, tantalum, tungsten, erbium, zirconium, platinum, or combinations thereof, as examples. After the metal is deposited over at least the source and drain region 116/118, the workpiece 102 is heated, causing the metal to diffuse into at least the second semiconductive material 118, and optionally in some embodiments, also the first semiconductive material 116, if portions of the first semiconductive material 116 is adjacent to the metal. A silicide region 124 comprising a silicide of the metal is formed over the second semiconductive material 118 and optionally also over portions of the first semiconductive material 116, for example. The hard mask 110 over the gate 108 may be removed so the gate 108 may also be silicided during the silicidation process, for example, not shown. After the silicide region 124 is formed, the layer of silicidation metal is then removed from the semiconductor device 100. The silicide regions 124 improve the conductivity and reduce the resistance of the source and drain regions 116/118 and optionally also the gate 108, for example. The silicide 124 may partially consume the underlying second semiconductive material 118, as shown, or the silicide 124 may completely consume the second semiconductive material 118, as shown in FIG. 7 at 218 a/224 a and 218 b/224 b.
  • An optional stress-inducing nitride layer which may also function as a contact etch stop layer may be formed over the transistor 130 at this point (not shown in FIG. 5; see FIG. 7 at 234 a and 234 b). An interlayer dielectric (ILD) layer 126 is then formed over the nitride layer. The ILD layer 126 preferably comprises an insulating material, and preferably comprises a material such as borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), boron-doped silicon glass (BSG), organo-silicate glass (OSG), fluorinated silicate glass (FSG), spun-on-glass (SOG), silicon nitride, silicon dioxide, or plasma enhanced tetraethyloxysilane (PETEOS), as examples, although alternatively, the ILD layer 126 may comprise other materials.
  • The ILD layer 126 is etched to form contact holes using lithography, and source and drain contacts 128 are formed through the ILD layer 126 by depositing conductive material to fill the contact holes and make electrical contact to the silicided 124 source/drain regions 116/118. Note that the semiconductor device 100 also includes metallization layers (not shown) disposed above the ILD layer 126 and the source and drain contacts 128 that interconnect the various components of the semiconductor device 100. Other insulating materials and conductive materials may be formed over the transistor 130 and patterned to make electrical contact to portions of the transistor 130, for example, not shown. The semiconductor device 100 may be annealed to activate the dopants implanted during the various implantation steps, for example.
  • The semiconductor device 100 shown in FIG. 5 illustrates an embodiment of the present invention wherein the second semiconductive material 118 extends completely to the outer edge regions 132 of the source and drain regions 116/118, advantageously providing an increased amount of surface area for the silicide 124 formation proximate the isolation region 104. In this embodiment, the first semiconductive material 116 is formed using a deposition process. A lithography process is used to pattern the first semiconductive material 116, removing the first semiconductive material 116 from region 132 and leaving the first semiconductive material 116 proximate and adjacent to the channel region 120 lining the inner edge region of the recesses 114, as shown at dimension d4, thereby allowing the formation of the second semiconductive material 118 proximate and adjacent to the channel region 120. However, in some embodiments, the second semiconductive material 118 does not extend completely across the edge region 132 so that a silicide 124 does not form adjacent the isolation region 104, for example, as shown in FIG. 7 (e.g., see silicide 218 a/224 a and 218 b/224 b).
  • In the embodiments shown in FIGS. 1 through 4 and FIG. 5, the source and drain regions 116/118 are formed using an “early eSiGe” process, after the formation of first sidewall spacers 112. The first sidewall spacers 112 may comprise disposable spacers that are replaced later with permanent sidewall spacers 112, or the first sidewall spacers 112 may comprise permanent spacers that are left remaining in the structure, for example. Alternatively, embodiments of the present invention may be implemented in a “late eSiGe” process, after the formation of second sidewall spacers 122, as shown in FIG. 6. Some transistor 130 designs may require a wider channel region 120 or larger light or deep implantation regions proximate the source and drain regions 116/118, for example.
  • In this embodiment, first sidewall spacers 112 are formed over the sidewalls of the gate 108 and gate dielectric 106, and hard mask 110 if present, and the workpiece 102 may be doped using ion implantation and an optional anneal process, for example. Then second sidewall spacers 122 are formed over the sides of the first sidewall spacers 112. Then, the workpiece 102 is recessed as described for the embodiment of FIGS. 1 through 4, and the first and second semiconductive materials 116 and 118 are formed in the recesses 114, also previously described herein.
  • Note that in embodiment shown in FIGS. 1 through 4, the first semiconductive material 116 may be substantially conformal as deposited. The first semiconductive material may comprise a first thickness (such as dimension d4 shown in FIG. 3) on sidewalls of the recesses and a second thickness (such as dimension d2 shown in FIG. 3) on a bottom surface of the recesses, wherein the second thickness is substantially the same as the first thickness. In this embodiment, the second semiconductive material 118 comprises a lower surface having substantially the same shape (e.g., curvature or edges) of the recesses 114, although the size may be slightly smaller, e.g., having a smaller diameter.
  • In other embodiments, the first semiconductive material 116 may be thicker on the bottom surface than on sidewalls of the recesses 114 proximate the top surface of the workpiece 102, as shown in FIG. 6. This may be accomplished by varying the epitaxial growth processing parameters and conditions, for example, or by depositing the first conductive material 116 to completely fill the recesses 114 and then removing a portion of the first conductive material 116 using lithography, for example. In this embodiment, the second semiconductive material comprises a lower surface having a shallow bowl shape, as shown in FIG. 6. The second semiconductive material 118 has a greater thickness in a central region of the recesses and a lesser thickness in edge regions of the recesses, the edge regions being spaced apart from the workpiece at least on one side, and in some embodiments, on two sides or on all sides. Preferably, the width of the second semiconductive material 118 at a top surface of the workpiece 102, represented by dimension d6 in FIG. 6, is preferably about 80% or greater of the dimension d5 of the width of the recesses 114 in this embodiment, for example. More preferably, in some embodiments, the width of the second semiconductive material 118 at a top surface of the workpiece 102, dimension d6, is preferably about 90% or greater of the dimension d5 of the width of the recesses 114, for example.
  • Embodiments of the present invention may be implemented in PMOS transistors. In these embodiments, the first semiconductive material 116 preferably comprises SiGe or carbon-doped SiGe, which introduce or increase tensile stress of the source region and the drain region. Increasing the tensile stress of the source and drain regions 116/118 creates compressive stress on the channel region 120 and improves device performance.
  • Embodiments of the present invention may also be implemented in NMOS transistors. In these embodiments, the first semiconductive material 116 preferably comprises SiC, which introduces or increases compressive stress of the source region and the drain region. Increasing the compressive stress of the source and drain regions 116/118 creates tensile stress on the channel region 120 and improves device performance.
  • Embodiments of the present invention may also be implemented in a CMOS device, on either the PMOS FET or the NMOS FET of the CMOS device. Embodiments of the present invention may also be implemented in a CMOS device on both a PMOS FET 230 a and an NMOS FET 230 b, as shown in FIG. 7 in a cross-sectional view. Like numerals are used the various elements that were used to describe the elements in FIGS. 1 through 6. To avoid repetition, each reference number shown in FIG. 7 is not described again in detail herein.
  • Rather, similar materials x02, x04, x06, x08, etc. . . . are preferably used for the various material layers shown as were described for FIGS. 1 through 6, where x=1 in FIGS. 1 through 6 and x=2 in FIG. 7. As an example, the preferred and alternative materials and dimensions described for the first semiconductive material 116 and the second semiconductive material 118 in the description for FIGS. 1 through 6 are preferably also used for the first semiconductive materials 216 a and 216 b and the second semiconductive materials 218 a and 218 b shown in FIG. 7.
  • The PMOS FET 230 a preferably comprises a first semiconductive material 216 a comprising SiGe or carbon-doped SiGe, which increase tensile stress of the source and drain regions 216 a/218 a and increase compressive stress on the channel region 220 a, which is surrounded on either side by and adjacent to the source and drain regions 216 a/218 a. The NMOS FET 230 b preferably comprises a first semiconductive material 216 b comprising SiC, which increases compressive stress of the source and drain regions 216 b/218 b and increases tensile stress on the channel region 220 b. The second semiconductive materials 218 a and 218 b of both transistors 230 a and 230 b preferably comprise Si and are silicided by silicide region 224 a and 224 b, respectively. In this embodiment, during the silicide process, the silicide 224 a and 224 b completely consumes the second semiconductive materials 218 a and 218 b, as shown at 218 a/224 a and 218 b/224 b.
  • Note that portions of the first semiconductive materials 216 a and 216 b may also be covered by the silicide regions 224 a and 224 b, respectively. However, preferably, in some embodiments, the silicide 224 a and 224 b does not form over the first semiconductive material 216 a and 216 b, not shown. If the first semiconductive material 216 a and 216 b is silicided, preferably the silicide regions 224 a and 224 b do not extend above a top surface of the workpiece 102, as shown in FIG. 7.
  • Because the second semiconductive material 218 a and 218 b is more easily silicided than the first semiconductive material 216 a and 216 b, the silicide 224 a and 224 b is thicker over the second semiconductive material 218 a and 218 b (as shown at 218 a/224 a and 218 b/224 b) than over the first semiconductive material 216 a and 216 b, as shown at 224 a and 224 b over the first semiconductive material 216 a and 216 b, for example.
  • Advantageously, a portion of the first semiconductive material 216 a and 216 b is adjacent to and abuts the channel regions 220 a and 220 b of the transistors 230 a and 230 b, respectively, providing increased stress of the desired type (tensile or compressive, respectively), close to the channel regions 220 a and 220 b and enhances the device 200 performance.
  • Note also that in this embodiment, stress liners 234 a and 234 b have been formed over the PMOS transistor 230 a and the NMOS transistor 230 b to further create stress on the transistors 230 a and 230 b, respectively. The stress liners 234 a and 234 b preferably create different types of stress on the transistors 230 a and 230 b, for example. Liner 234 a preferably contains compressive stress and liner 234 b preferably contains tensile stress, for example. The various types of stress may be created in a nitride material such as silicon nitride by changing the deposition temperature and various processing conditions, for example.
  • To manufacture the CMOS device 200, the NMOS transistor 230 b region may be covered with a masking material (not shown) while the PMOS transistor 230 a region is processed in accordance with embodiments of the present invention. Likewise, the PMOS transistor 230 a region may be covered with a masking material while the NMOS transistor 230 b region is processed (not shown). The masking material may comprise a disposable spacer comprising a nitride material, for example, although other materials may also be used.
  • Note that only one PMOS transistor 230 a or one NMOS transistor 230 b of the CMOS device 200 may comprise the novel source and drain regions 216 a/218 a or 216 b/218 b of embodiments of the present invention described herein (not shown).
  • Embodiments of the present invention may be implemented in applications where transistors are used, as described herein and shown in the figures. One example of a memory device that embodiments of the present invention may be implemented in that uses both PMOS FET's and NMOS FET's is a static random access memory (SRAM) device. A typical SRAM device includes arrays of thousands of SRAM cells, for example. Each SRAM cell may have four or six transistors (for example). A commonly used SRAM cell is a six-transistor (6T) SRAM cell, which has two PMOS FET's interconnected with four NMOS FET's. The novel methods and structures that introduce strain to the channel regions of transistors described herein may be implemented in the transistors of SRAM devices and other memory devices, for example.
  • Embodiments of the present invention may also be implemented in semiconductor device structures other than the transistors 130, 230 a, and 230 b shown in the drawings. For example, recesses 114 may be formed in a workpiece 102 and the first and second semiconductive materials 116 and 118 may be used to fill the recesses 114 as described herein, in order to alter the stress of an adjacent region within the workpiece 102 in other semiconductor device applications.
  • Embodiments of the present invention include semiconductor devices 100 and 200 and transistors 130, 230 a, and 230 b including the first semiconductive materials 116, 216 a, and 216 b and second semiconductive materials 118, 218 a, and 218 b filling the recesses 114, 214 a, and 214 b as described herein. Embodiments of the present invention also include methods of fabricating the semiconductor devices 100 and 200 and transistors 130, 230 a, and 230 b, for example.
  • Advantages of embodiments of the invention include providing novel structures and methods for altering the stress of channel regions of transistors, by altering the stress of source and drain regions of transistors. A portion of the first semiconductive material 116, 216 a, and 216 b is left remaining adjacent the channel regions 120, 220 a, and 220 b, so that the beneficial effects of the compound semiconductor material of the first semiconductive material 116, 216 a, and 216 b is realized in the transistor 130, 230 a, and 230 b performance. The second semiconductive material 118, 218 a, and 218 b improves the formation of the silicide regions 124, 224 a, and 224 b (e.g., regions 218 a/224 a and 218 b/224 b), ensuring a better contact of the silicide material with the second semiconductive material 118, 218 a, and 218 b, reducing sheet resistance and improving conductivity, which also improves the transistor 130, 230 a, and 230 b and device 100 and 200 performance.
  • The selectively-formed second semiconductive material 118 a, 218 a, and 218 b provides a localized structure that advantageously minimizes the formation of silicide 124, 224 a, and 224 b near the channel region 120, 220 a, and 220 b and also near the isolation regions 204 in some embodiments.
  • Embodiments of the present invention are easily implementable in existing manufacturing process flows, with a small or reduced number of additional processing steps being required, particularly if the first and second semiconductive materials 116 and 118 are formed using an in-situ epitaxial growth process, for example.
  • Although embodiments of the present invention and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present invention. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (21)

1. A method of manufacturing a semiconductor device, the method comprising:
forming a recess in a workpiece, the recess comprising a depth having a first dimension;
forming a first semiconductive material in the recess to partially fill the recess in a central region to a height comprising a second dimension, the second dimension comprising about one-half or greater of the first dimension, wherein the first semiconductive material lines a first sidewall of the recess proximate a channel region, and an opposite second sidewall proximate an isolation region;
forming a second semiconductive material over the first semiconductive material in the recess to completely fill the recess, the second semiconductive material being different than the first semiconductive material.
2. The method according to claim 1, wherein the first semiconductive material alters a stress of the workpiece in a region of the workpiece proximate the first semiconductive material.
3. The method according to claim 1, wherein forming the first semiconductive material comprises filling the recess to a height comprising a second dimension wherein the second dimension comprises about three-quarters or greater of the first dimension.
4. The method according to claim 1, wherein providing the workpiece comprises providing a workpiece comprised of the second semiconductive material.
5. The method according to claim 1, further comprising forming a silicide over at least the second semiconductive material, and wherein forming the second semiconductive material comprises forming a material that improves the forming of the silicide.
6. The method according to claim 1, wherein forming the first semiconductive material comprises forming SiGe, carbon-doped SiGe, or SiC, and wherein forming the second semiconductive material comprises forming Si.
7. The method according to claim 6, wherein forming the first semiconductive material comprises epitaxially growing SiGe, carbon-doped SiGe, or SiC by introducing a first gas source of Si and introducing a second gas source of Ge and/or C, and wherein forming the second semiconductive material comprises epitaxially growing the second semiconductive material by continuing to introduce the first gas source while discontinuing the introduction of the second gas source.
8. A method of manufacturing a transistor, the method comprising:
forming a isolation region in a workpiece;
forming a gate dielectric material over the workpiece;
forming a gate material over the gate dielectric material;
patterning the gate material and the gate dielectric material to form a gate and a gate dielectric, the gate and the gate dielectric comprising sidewalls;
forming at least one sidewall spacer over the sidewalls of the gate and the gate dielectric;
recessing the workpiece proximate a first side and a second side of the gate and gate dielectric, the recess in the workpiece comprising a depth comprising a first dimension;
partially filling the recess in the workpiece in a central region with a first semiconductive material to a height comprising a second dimension, the second dimension comprising about one-half or greater of the first dimension, the first semiconductive material formed adjacent a first sidewall of the recess adjacent the gate, and an opposite second sidewall adjacent the isolation region; and
forming a second semiconductive material over the first semiconductive material to completely fill the recess in the workpiece, the second semiconductive material comprising a different material than the first semiconductive material.
9. The method according to claim 8, wherein partially filling the recess in the workpiece in the central region with the first semiconductive material comprises: placing the workpiece in a processing chamber and epitaxially growing the first semiconductive material; and wherein forming the second semiconductive material comprises: after partially filling the recess with the first semiconductive material, without removing the workpiece from the processing chamber, epitaxially growing the second semiconductive material over the first semiconductive material.
10. The method according to claim 8, wherein forming the second semiconductive material comprises overfilling the recess in the workpiece with the second semiconductive material above a top surface of the workpiece by about 0 to 50 nm.
11. The method according to claim 8, wherein partially filling the recess in the workpiece in the central region with a first semiconductive material comprises depositing or epitaxially growing the first semiconductive material to completely fill the recess in the workpiece with the first semiconductive material, and then removing a top portion of the first semiconductive material from within the recess.
12. The method according to claim 11, wherein removing the top portion of the first semiconductive material from within the recess comprises depositing a masking material over the workpiece, patterning the masking material to expose a portion of the first semiconductive material, and using the masking material as a mask while the exposed top portion of the first semiconductive material is etched away.
13. The method according to claim 12, wherein patterning the masking material to expose the portion of the first semiconductive material comprises leaving a portion of the first semiconductive material adjacent to or proximate the gate and gate dielectric covered with the masking material.
14. The method according to claim 8, wherein forming the second semiconductive material over the first semiconductive material comprises depositing or epitaxially growing the second semiconductive material.
15. The method according to claim 8, wherein the method comprises forming first sidewall spacers over the sidewalls of the gate and the gate dielectric, before recessing the workpiece, further comprising forming second sidewall spacers over the first sidewall spacers, after forming the second semiconductive material.
16. The method according to claim 8, wherein the method comprises forming first sidewall spacers over the sidewalls of the gate and the gate dielectric, and forming second sidewall spacers over the first sidewalls spacers, before recessing the workpiece.
17. A method of manufacturing a transistor, the method comprising:
forming a channel region within a workpiece;
forming a gate dielectric over the channel region;
forming a gate over the gate dielectric;
forming a first recess proximate a first side of the channel region;
forming a second recess proximate a second side of the channel region;
filling an upper portion adjacent to the channel region and a lower portion of the first and the second recesses with a first semiconductive material, wherein the first semiconductive material comprises a first thickness in a central region;
depositing a second semiconductive material over the first semiconductive material thereby filling the first and second recesses, the second semiconductive material being different than the first semiconductive material, the second semiconductive material comprising a second thickness, wherein the first thickness is equal to or greater than the second thickness; and
forming a silicide over the first and the second semiconductive material, wherein the silicide contacts the first and the second semiconductive material.
18. The method according to claim 17, wherein the first and the second recesses comprise a first width, wherein the second semiconductive material comprises a top surface having a second width, the second width being about 80% or greater of the first width.
19. The method according to claim 17, further comprising forming a silicide disposed over and adjacent to the gate.
20. The method according to claim 17, wherein the transistor comprises a p channel metal oxide semiconductor (PMOS) field effect transistor (FET), and wherein the first semiconductive material increases a compressive stress of the channel region.
21. The method according to claim 17, wherein the transistor comprises an n channel metal oxide semiconductor (NMOS) field effect transistor (FET), and wherein the first semiconductive material increases a tensile stress of the channel region.
US12/762,195 2007-05-21 2010-04-16 Semiconductor Devices and Methods of Manufacturing Thereof Abandoned US20100197100A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/762,195 US20100197100A1 (en) 2007-05-21 2010-04-16 Semiconductor Devices and Methods of Manufacturing Thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/804,773 US7737468B2 (en) 2007-05-21 2007-05-21 Semiconductor devices having recesses filled with semiconductor materials
US12/762,195 US20100197100A1 (en) 2007-05-21 2010-04-16 Semiconductor Devices and Methods of Manufacturing Thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/804,773 Division US7737468B2 (en) 2007-05-21 2007-05-21 Semiconductor devices having recesses filled with semiconductor materials

Publications (1)

Publication Number Publication Date
US20100197100A1 true US20100197100A1 (en) 2010-08-05

Family

ID=40071577

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/804,773 Active 2028-05-11 US7737468B2 (en) 2007-05-21 2007-05-21 Semiconductor devices having recesses filled with semiconductor materials
US12/762,195 Abandoned US20100197100A1 (en) 2007-05-21 2010-04-16 Semiconductor Devices and Methods of Manufacturing Thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/804,773 Active 2028-05-11 US7737468B2 (en) 2007-05-21 2007-05-21 Semiconductor devices having recesses filled with semiconductor materials

Country Status (1)

Country Link
US (2) US7737468B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110306170A1 (en) * 2008-08-29 2011-12-15 Texas Instruments Incorporated Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888775B2 (en) * 2007-09-27 2011-02-15 Infineon Technologies Ag Vertical diode using silicon formed by selective epitaxial growth
US20090108291A1 (en) * 2007-10-26 2009-04-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
KR101408875B1 (en) * 2008-04-18 2014-06-17 삼성전자주식회사 CMOS transistor using germanium condensation and method of fabricating the same
US7935589B2 (en) * 2008-04-29 2011-05-03 Chartered Semiconductor Manufacturing, Ltd. Enhanced stress for transistors
DE102009015748B4 (en) * 2009-03-31 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Reducing the silicide resistance in SiGe-containing drain / source regions of transistors
US8071481B2 (en) * 2009-04-23 2011-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming highly strained source/drain trenches
US8404538B2 (en) * 2009-10-02 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Device with self aligned stressor and method of making same
CN102237294B (en) * 2010-04-21 2013-11-27 中国科学院微电子研究所 Source and drain region, contact hole and methods for forming source and drain region and contact hole
US9356136B2 (en) * 2013-03-07 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Engineered source/drain region for n-Type MOSFET
KR20140121617A (en) * 2013-04-08 2014-10-16 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9236440B2 (en) * 2013-12-05 2016-01-12 Globalfoundries Inc. Sandwich silicidation for fully silicided gate formation
CN103871902A (en) 2014-03-24 2014-06-18 上海华力微电子有限公司 Semiconductor treatment technology and semiconductor device preparation method
CN105869991B (en) 2015-01-23 2018-05-11 上海华力微电子有限公司 Method and system for the uniformity for improving SiGe thickness
CN105990172B (en) 2015-01-30 2018-07-31 上海华力微电子有限公司 The design of embedded SiGe extension testings block
CN105990342B (en) 2015-02-13 2019-07-19 上海华力微电子有限公司 Semiconductor devices and its manufacturing process with the forming cavity for being embedded in germanium material
CN104851884A (en) 2015-04-14 2015-08-19 上海华力微电子有限公司 Forming chamber for germanium and silicon filling material
CN104821336B (en) 2015-04-20 2017-12-12 上海华力微电子有限公司 For improving the method and system of device surface uniformity using conformal packed layer
CN105097554B (en) 2015-08-24 2018-12-07 上海华力微电子有限公司 For reducing the method and system of the dislocation defects in high concentration epitaxy technique
FR3050315B1 (en) * 2016-04-19 2019-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives TRANSISTOR A OVERLAP OF MASTER ACCESS REGIONS

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050285187A1 (en) * 2004-06-24 2005-12-29 International Business Machines Corporation Strained-silicon CMOS device and method
US20060038230A1 (en) * 2004-08-20 2006-02-23 Samsung Electronics Co., Ltd. Transistor and method of manufacturing the same
US20060145273A1 (en) * 2005-01-06 2006-07-06 Giuseppe Curello Device with stepped source/drain region profile
US20070202641A1 (en) * 2006-02-28 2007-08-30 Andy Wei Transistor device having an increased threshold stability without drive current degradation
US20080203427A1 (en) * 2007-02-28 2008-08-28 Anthony Mowry Semiconductor device having a strained semiconductor alloy concentration profile
US20080242032A1 (en) * 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US20110215375A1 (en) * 2006-11-28 2011-09-08 Cook Jr Ted E Multi-component strain-inducing semiconductor regions

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050285187A1 (en) * 2004-06-24 2005-12-29 International Business Machines Corporation Strained-silicon CMOS device and method
US20060038230A1 (en) * 2004-08-20 2006-02-23 Samsung Electronics Co., Ltd. Transistor and method of manufacturing the same
US20060145273A1 (en) * 2005-01-06 2006-07-06 Giuseppe Curello Device with stepped source/drain region profile
US20070202641A1 (en) * 2006-02-28 2007-08-30 Andy Wei Transistor device having an increased threshold stability without drive current degradation
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US20110215375A1 (en) * 2006-11-28 2011-09-08 Cook Jr Ted E Multi-component strain-inducing semiconductor regions
US20080203427A1 (en) * 2007-02-28 2008-08-28 Anthony Mowry Semiconductor device having a strained semiconductor alloy concentration profile
US20080242032A1 (en) * 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110306170A1 (en) * 2008-08-29 2011-12-15 Texas Instruments Incorporated Novel Method to Improve Performance by Enhancing Poly Gate Doping Concentration in an Embedded SiGe PMOS Process

Also Published As

Publication number Publication date
US20080290370A1 (en) 2008-11-27
US7737468B2 (en) 2010-06-15

Similar Documents

Publication Publication Date Title
US7737468B2 (en) Semiconductor devices having recesses filled with semiconductor materials
US9917191B2 (en) Semiconductor devices and methods of manufacture thereof
US7652336B2 (en) Semiconductor devices and methods of manufacture thereof
US11728219B2 (en) Method for fabricating a semiconductor device
US10037924B2 (en) Fin-FET device and fabrication method thereof
US8647929B2 (en) Semiconductor devices and methods of manufacturing thereof
US7838372B2 (en) Methods of manufacturing semiconductor devices and structures thereof
US8482042B2 (en) Strained semiconductor device and method of making same
US8828820B2 (en) Transistor and method for forming the same
US7772676B2 (en) Strained semiconductor device and method of making same
US20080303060A1 (en) Semiconductor devices and methods of manufacturing thereof
US7122435B2 (en) Methods, systems and structures for forming improved transistors
US20170040449A1 (en) Reduced Local Threshold Voltage Variation MOSFET Using Multiple Layers of Epi for Improved Device Operation
US8361895B2 (en) Ultra-shallow junctions using atomic-layer doping
US20110006373A1 (en) Transistor Structure
KR20090019693A (en) Strained semiconductor device and method of making same
US20080076214A1 (en) Semiconductor device and method of making same
US20080119025A1 (en) Method of making a strained semiconductor device
CN104916542A (en) Structure and method for semiconductor device
US7514317B2 (en) Strained semiconductor device and method of making same
KR100593452B1 (en) Method of forming a mos transistor having fully silicided metal gate electrode
US20220230925A1 (en) Source/drain epi structure for improving contact quality
US20220359752A1 (en) Source/Drain Features With Improved Strain Properties

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION