US20090092926A1 - Lithography Systems and Methods of Manufacturing Using Thereof - Google Patents

Lithography Systems and Methods of Manufacturing Using Thereof Download PDF

Info

Publication number
US20090092926A1
US20090092926A1 US11/868,374 US86837407A US2009092926A1 US 20090092926 A1 US20090092926 A1 US 20090092926A1 US 86837407 A US86837407 A US 86837407A US 2009092926 A1 US2009092926 A1 US 2009092926A1
Authority
US
United States
Prior art keywords
mask
features
light
regions
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/868,374
Other versions
US8715909B2 (en
Inventor
Alois Gutmann
Henning Haffner
Sajan Marokkey
Chandrasekhar Sarma
Roderick Koehle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to US11/868,374 priority Critical patent/US8715909B2/en
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORP. reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAFFNER, HENNING, MAROKKEY, SAJAN, GUTMANN, ALOIS, SARMA, CHANDRASEKHAR
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
Publication of US20090092926A1 publication Critical patent/US20090092926A1/en
Application granted granted Critical
Publication of US8715909B2 publication Critical patent/US8715909B2/en
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KOEHLE, RODERICK
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70208Multiple illumination paths, e.g. radiation distribution devices, microlens illumination systems, multiplexers or demultiplexers for single or multiple projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process

Definitions

  • the present invention relates generally to the fabrication of semiconductor devices, and more particularly to lithography systems used to pattern material layers of semiconductor devices.
  • semiconductor devices are used in a variety of electronic applications, such as computers, cellular phones, personal computing devices, and many other applications.
  • electronic applications such as computers, cellular phones, personal computing devices, and many other applications.
  • Semiconductor devices are manufactured by depositing many different types of material layers over a semiconductor workpiece or wafer, and patterning the various material layers using lithography.
  • the material layers typically comprise thin films of conductive, semiconductive, and insulating materials that are patterned and etched to form integrated circuits (ICs).
  • ICs integrated circuits
  • Optical photolithography involves projecting or transmitting light through a pattern comprising optically opaque areas and optically clear or transparent areas on a mask or reticle.
  • optical lithography techniques such as contact printing, proximity printing, and projection printing have been used to pattern material layers of integrated circuits.
  • Lens projection systems and transmission lithography masks are used for patterning, wherein light is passed through the lithography mask to impinge upon a photosensitive material layer disposed on a semiconductor wafer or workpiece. After development, the photosensitive material layer is then used as a mask to pattern an underlying material layer.
  • the patterned material layers comprise electronic components of the semiconductor device.
  • a method for forming a pattern on a semiconductor body includes simultaneously exposing a first mask and a second mask, the first mask comprising transparent regions bounded by opaque regions and the second mask comprising transparent regions bounded by phase shift regions. Boundaries of the pattern are formed by a superposition of a first light exposed by the transparent regions of the first mask with a second light exposed by the phase shift regions of the second mask.
  • FIG. 1 illustrates the advantage of using coherent illumination as used in various embodiments of the current invention
  • FIG. 2 illustrates a lithography system in accordance with an embodiment of the current invention
  • FIG. 3 illustrates masks used in a lithography system using an embodiment of the current invention
  • FIG. 4 illustrates a flow chart of a method of generating lithography masks for use with lithography systems, in accordance with an embodiment of the current invention
  • FIG. 5 which includes FIGS. 5 a and 5 b, illustrates an alternate method of generating lithography masks for use with the lithography systems, wherein the masks are separated based on feature density and/or OPC requirements, in accordance with an embodiment of the current invention
  • FIG. 6 illustrates an alternate method of generating lithography masks for use with lithography systems, wherein the masks are separated based on feature alignment, in accordance with an embodiment of the current invention
  • FIG. 7 which includes FIGS. 7 a - 7 c, illustrates an alternate method of generating lithography masks for use with lithography systems, wherein the masks form contact holes while preventing formation of side lobes, in accordance with an embodiment of the current invention
  • FIG. 8 includes FIGS. 8 a and 8 b, wherein FIG. 8 a shows a cross-sectional view of a semiconductor device that has a layer of photoresist disposed thereon that has been patterned using embodiments of the present invention, and FIG. 8 b shows the semiconductor device of FIG. 8 a after the layer of photoresist has been used as a mask to pattern a material layer of the semiconductor device.
  • Embodiments of the present invention achieve technical advantages by providing methods of manufacturing using a lithography system, wherein two or more coherent optical beams passing through independent masks constructively or destructively interfere to form patterns.
  • the method utilizes non-coincidence of boundaries of electrical fields emanating from chrome on glass or phase shifted mask features distributed over two masks for the optimization of lithographic process windows, side lobe suppression, or pattern orientation dependent process window optimization employing one mask with polarization rotating film on the backside.
  • increases in basic resolution require decreases in wavelength or increases in optical system numerical aperture.
  • shorter illumination wavelengths cannot use many convenient optical materials, as suitable refractive optical materials are unavailable.
  • Increases in optical system numerical aperture are more difficult to achieve, and increased numerical aperture can reduce the tolerance of lithographic processes to defocus.
  • the k 1 factor depends on the imaging system, but has a theoretical limit of 0.25. Current lithography systems already operate around 0.3 and further reduction in this factor is difficult.
  • the current invention uses multi-beam coherent interference lithography to improve printing resolution, while utilizing current optical lithography equipments, possibly with minimal modifications.
  • FIG. 1 is used to illustrate the potential advantage of coherent interference lithography.
  • the image contrast which in turn depends on a modulation transfer function MTF of the projection lens system of the lithography tool varies with the type of illumination used.
  • MTF modulation transfer function
  • Light passing through a mask is diffracted into a number of diffraction orders.
  • the finite size of the entrance pupil of the projection lens system allows only the lowest few diffraction orders to pass through the projection lens system and recombine to form the image on the wafer.
  • the MTF defines the efficiency of transfer of the higher order components of the diffracted light. Consequently, a loss of higher order diffracted light can result in loss in image quality.
  • FIG. 1 shows the MTF as a function of spatial frequency (depends, for example, inversely on the width of the feature) for different illuminations.
  • the present invention will be described with respect to preferred embodiments in a specific context, namely coherent lithography systems and methods of using it, applied to semiconductor device manufacturing.
  • the invention may also be applied, however, to the printing of other small devices and structures.
  • the invention may also be applied to pattern other types of devices in other applications and other technological fields.
  • FIG. 2 A coherent lithography system described by an embodiment of the current invention is shown in FIG. 2 .
  • FIG. 3 A mask using embodiments of the current invention will then be described using FIG. 3 .
  • FIG. 8 describes the use of embodiments of the current invention in forming semiconductor devices.
  • the lithography system comprises: an illuminator system comprising illuminator 10 , polarizers 11 and 21 , absorption filters 18 and 28 , condenser lenses, diffractive optical elements 13 and 23 , mask or reticle stages 19 and 29 for holding the masks 17 and 27 , projection lens system 50 , and a workpiece holder 60 for holding a wafer or workpiece 70 .
  • the illuminator 10 provides light with suitable optical characteristics such as wavelength, intensity, coherence, etc.
  • the illuminator 10 may emit a single wavelength of coherent light such as from a laser source.
  • a laser source is ArF, an excimer laser, which produces light with a wavelength of 193 nm.
  • the illuminator 10 emits near ultraviolet (UV) or preferably deep ultraviolet (UV) light; e.g., light with wavelengths of 248 nm, 193 nm or 157 nm, although light having other wavelengths may also be used.
  • UV near ultraviolet
  • UV deep ultraviolet
  • other lasers and wavelengths are possible.
  • the illuminator 10 may comprise a pulsed laser or a continuous wave laser.
  • the illuminator 10 may be individually controlled to optimize the characteristics of the exiting light radiation. For example, the light intensity, dose, pulse width or time of exposure may be separately modulated by the illuminators.
  • the optical beam generated by the illuminator 10 is split by a non-polarizing beam splitter 12 , although in some embodiments the beam splitter 12 may comprise a polarizer.
  • the beam splitter 12 generates first and second optical beams 80 and 81 .
  • the first optical beam 80 passes through a polarizer 11
  • the second optical beam 81 passes through a different polarizer 21 .
  • a light of a single wavelength consists of an electromagnetic field in which electric fields and magnetic fields oscillate at a defined frequency.
  • the electric field is unrestricted in that it exists in a plurality of directions relative to the direction of propagation of light.
  • the first and second optical beams 80 and 81 are orthogonally polarized, although in other embodiments they may be non-orthogonal.
  • only the transverse electric (TE) and transverse magnetic (TM) modes are used.
  • only linearly polarized light beams may be used wherein the electric field and magnetic field are oriented along only one single direction.
  • the polarized light may comprise a vertically polarized (“V”) light in which the electric field is restricted to lie along the z-axis for a light propagating along the x-axis, and similarly a horizontally polarized (“H”) light in which the electric field lies along the y-axis.
  • V vertically polarized
  • H horizontally polarized
  • the optical beam 81 is further reflected by mirrors 24 and 25 and redirected back as shown in FIG. 2 .
  • the optical paths for the first and second optical beams 80 and 81 may be specifically controlled to enable constructive or destructive interference.
  • One way of changing the interference pattern between the first and second beams 80 and 81 is to use absorption filters 18 and 28 .
  • the absorption filters 18 and 28 may independently control the optical intensity of each optical beam by changing the transmission.
  • the absorption filters 18 and 28 may also include phase shifters to shift the phase of the first optical beam 80 or second optical beam 81 .
  • the phase of each of the first and second optical beams 80 and 81 may be modulated to obtain a phase difference by ⁇ 2n ⁇ radians (i.e., no phase difference) between the two optical paths.
  • the first optical beam 80 and/or the second optical beam 81 may be altered to produce a phase difference between the two beams in multiples of about (2n ⁇ 1) ⁇ radians, for example, to enable destructive interference.
  • the optical path of the first optical beam 80 and/or the second optical beam 81 may be altered to produce a phase difference between the two beams in multiples of about (2n ⁇ + ⁇ /2) radians.
  • the phase of one of the optical beams 80 and 81 may be shifted by other values to optimize the interference pattern. For example, layout effects arising, for example, from light scattering around trench sidewalls of alternating PSM mask features may be minimized by shifting the optical path of either or both first and second optical beams 80 and 81 . In such embodiments, either one or both first and second optical beams 80 and 81 may be shifted in a smaller range. For example, one of the optical beams 80 and 81 may be phase shifted by about ⁇ 10 degrees.
  • first optical beam 80 and the second optical beam 81 may pass through conventional auxiliary elements such as a condenser lens system (not shown).
  • the condenser (not shown) directs the first and the second optical beams 80 and 81 to diffractive optical elements (DOEs) 13 and 23 .
  • DOEs diffractive optical elements
  • the DOEs 13 and 23 may be two dimensional periodic and/or quasi-periodic arrays of micro optical elements which use diffraction and/or refraction to control wave fronts of the first and the second optical beams 80 and 81 .
  • the DOEs 13 and 23 may include binary optics, diffraction gratings, surface relief diffractive elements, Fresnel lenses, holographic optical elements and other designs that rely on diffraction for their primary optical properties and/or may use refraction as in a conventional optical element.
  • the DOEs 13 and 23 may comprise any element which uses substrates or elements of transparent materials having amplitude and/or phase modulation or patterns which generate distinct amplitude, phase, and intensity patterns at specified fields or spatial positions.
  • DOEs 13 and 23 may, in some embodiments, comprise diffusive optical elements which reduce the directionality of the first and the second optical beams 80 and 81 by generating the effect of a large number of apparent secondary sources.
  • the DOEs 13 and 23 generate desirable illumination distributions of the first and the second optical beams 80 and 81 on the masks 17 and 27 for different photolithographic imaging situations.
  • Off-axis illumination distributions are used to enhance resolution by limiting the interference patterns.
  • the normally incident zero order or un-diffracted beam may be blocked by tilting the beam angle.
  • Off-axis illumination shifts this un-diffracted light and improves image contrast. For example, using off-axis illumination, image formation occurs by the interference of beams from the zero order and either the +1 or ⁇ 1 order diffracted beam.
  • This off-axis illumination distribution of the first and the second optical beams 80 and 81 may comprise annular illumination, dipole, quadrupole illumination, and/or combinations thereof.
  • uniform circular patterns illuminate the masks 17 and 27 .
  • annular illumination patterns illuminate the masks 17 and 27 .
  • a quadrupole illumination comprises forming four separate circular illumination patterns. Consequently, in different embodiments the first and the second optical beams 80 and 81 may comprise different types of illuminations.
  • the illuminator system may also change the transmittance of either the first optical beam 80 or the second optical beam 81 in some embodiments to create, for example, a weak phase shift effect.
  • one of the beams may have a relative transmitted intensity of about 5% to about 30%.
  • the lithography system is set up in such a way that the first optical beam 80 passes through a first mask 17 positioned on a mask stage or first reticle stage 19 and enters the beam splitter 40 .
  • the second optical beam 81 similarly enters the second mask 27 positioned on a mask stage or reticle stage 29 and enters the beam splitter 40 .
  • the beam splitter 40 is, preferably, a non-polarizing beam splitter.
  • the beam splitter 40 combines the first optical beam 80 and the second optical beam 81 and creates a composite optical beam 83 .
  • the composite optical beam 83 having passed through the two separate masks 17 and 27 contains optical information to form a final composite image on a semiconductor device or workpiece 70 .
  • the first and second masks 17 and 27 may comprise any type of masks.
  • the first mask 17 may be a binary mask, an attenuated phase shift mask, an alternating mask, etc.
  • the second mask 27 may be a binary mask, an attenuated phase shift mask, an alternating phase shifting mask, etc.
  • the lithography system may be adapted for enhancing the imaging system further.
  • Suitable modifications to the optical path of the first optical beam 80 and the second optical beam 81 may be introduced to improve the final composite image by changing either the first optical beam 80 or the second optical beam 81 or in some cases both beams.
  • the lithography system further includes a support or stage 60 for a semiconductor device or workpiece 70 and a common projection lens system 50 disposed proximate the semiconductor device 70 and support 60 , as shown in FIG. 2 .
  • the projection lens system 50 may include a plurality of lenses (not shown), and may include a fluid disposed between the semiconductor device 70 mounted on the support 60 and a last lens of the projection lens system 50 , e.g., in an immersion lithography system.
  • the lithography system may comprise a stepper, wherein the stage 60 may be adapted to move the semiconductor device 70 while the masks 17 and 27 are held stationary during the exposure process, for example.
  • the lithography system may comprise a step-and-scan apparatus, wherein the stage 60 and reticle stages 19 and 29 are adapted to move during the exposure process, for example.
  • the lithography system may also be adapted for immersion lithography applications, for example.
  • the lithography system also comprises a feedback mechanism or self-monitor (not shown) to test the optical integrity of the exposure tool.
  • the optical test may include optical characteristics such as path difference, intensity difference between the various optical paths, and misalignment between various components of the tool including the masks.
  • the reticle stages 19 and 29 may, for example, be adapted to include alignment systems that measure the relative position of the masks 17 and 27 mounted on them. For example, before processing a batch of semiconductor wafers, the lithography system may perform an automated self-check. Based on the feed-back from this self-check, various components of the lithography system can be adjusted, for example, to minimize the phase difference between optical beams or to minimize mask alignment errors.
  • the first mask 17 and the second mask 27 may have additional features for testing the alignment of the masks and/or the optical characteristics of the optical beam. These patterns may appear on the wafer as a test pattern.
  • the illumination system may comprise more than one illuminator, and more than two masks and reticle stages.
  • the interference lithography tool can be employed in a variety of different configurations and applications. Further, other lithographic methods aimed at improving resolution may be combined with embodiments of the current invention. Examples include modification to light sources (e.g., Off-Axis Illumination), use of special masks for either or both masks, which exploit light interference phenomena (e.g., weak phase shift methods such as Attenuated Phase Shift Masks, or strong phase shift methods such as Alternating Phase Shift Masks, Chromeless Masks, etc.), and mask layout modifications (e.g., Optical Proximity Corrections).
  • modification to light sources e.g., Off-Axis Illumination
  • special masks for either or both masks which exploit light interference phenomena
  • mask layout modifications e.g., Optical Proximity Corrections.
  • FIG. 3 An embodiment of a mask set using a lithography system comprising a dual mask setup will now be described using FIG. 3 , followed by a method of designing the mask set using the flow chart of FIG. 4 .
  • FIGS. 5-7 Various embodiments of the mask set used in the lithography system will then be described using FIGS. 5-7 .
  • the various embodiments described by FIGS. 3-7 achieve advantageous results using interference of light beams and hence require a coherency between the light beams passing through the multiple masks.
  • first mask 17 and a second mask 27 are shown.
  • the first and second masks 17 and 27 are binary masks. In other embodiments, the first and second masks 17 and 27 may be any type of mask.
  • the first mask 17 comprises a transparent layer 171 and an opaque layer 172 .
  • the second mask comprises a transparent layer 271 and an attenuated phase shift material layer 274 .
  • the transparent layers 171 and 271 of the masks 17 and 27 preferably comprise a transparent quartz layer, although in other embodiments they may comprise other materials, such as fluorinated quartz, calcium fluoride, hafnium oxide, borofloat, or sodalime glass, as examples.
  • the opaque layer 172 comprises an absorbing material or an absorber. The thicknesses of the opaque layer 172 are carefully selected to absorb most of the incident light.
  • the opaque layer 172 preferably comprises chromium (Cr) in some embodiments, although alternatively, the opaque layer 172 may comprise other metals or metallic compounds such as Ta, TaN, Au, Ti, Ga, W, Ni, Sn, SnO 2 , or other materials such as Si, Ge, C, and Si 3 N 4 .
  • the opaque layer 172 may be a single layer or a multi-layer stack. In some embodiments, the opaque layer 172 comprises a stack of chromium oxide on chromium.
  • the opaque layer 172 may be a 5 nm chromium oxide (Cr 2 O 3 ) film over a 70 nm chromium (Cr) film.
  • the opaque layer 172 preferably comprises a thickness of about 50 nm to about 100 nm or less, although alternatively, the opaque layer 172 may comprise other dimensions.
  • any suitable material stack that has the correct combination of transmittance and refractive indices may be used to form the mask layers.
  • the phase shift material layer 274 may comprise a thickness f 27 that may be suitably adjusted to change the phase shift relative to a portion of the beam passing through a transparent part of the second mask 27 .
  • the thickness f 27 of the attenuated phase shift material layer 274 is carefully selected to attain an optical path difference of about half the wavelength for light waves passing through the phase shifter relative to the light waves passing through the transparent region 271 . This results in a phase difference of about 180 degrees between the waves.
  • the transmittance through the attenuated phase shift material layer 274 is selected to be about 4% to about 40% and preferably about 6%.
  • the thickness f 27 of the attenuated phase shift material layer 274 is suitably selected based on both the wavelength of the incident light and the refractive index of the transparent region 271 .
  • any suitable refractive index of the chosen material may be used, thus widening the choices for suitable materials for layer 274 .
  • the required phase difference of 180° between the two optical paths is achieved by a direct phase adjustment of optical path 81 .
  • the attenuated phase shift material layer 274 preferably comprises a thickness of about 100 nm or less, and more preferably for use with a 193 nm incident light comprises a thickness of about 40 nm to about 60 nm.
  • the attenuated phase shift material layer 274 may comprise other dimensions.
  • the thickness of the attenuated phase shift material layer 274 may decrease if a lower wavelength, e.g., 157 nm, is used.
  • the attenuated phase shift material layer 274 preferably comprises an oxide of MoSi, although other materials may also be used.
  • attenuated phase shift material layer 274 may comprise TaSiO, TiSiN, MoSiN, TaN, and/or ZrSiO.
  • the attenuated phase shift material layer may either be a single layer or a multi-layer stack.
  • a multi-layer stack comprising TaN and Si 3 N 4 or Mo and Si may be the attenuated phase shift material layer 274 .
  • the thickness of the transparent regions 171 and 271 are t 17 and t 27 , typically about 1 ⁇ 4 inch. In some embodiments, the transparent regions 171 and 271 may be adjusted to introduce a phase difference between the optical beams 80 and 81 .
  • the opaque layer 172 and the phase shift material layer 274 are patterned to form openings 175 and 275 on the masks 17 and 27 , respectively.
  • the openings 175 on the first mask 17 are separated by patterns of widths d 17
  • openings 275 on the second mask 27 are separated by patterns of widths d 27 .
  • the width d 27 of the second mask 27 is larger than the width d 17 of the first mask 17 .
  • the width d 27 of the second mask 27 is smaller than the width d 17 of the first mask 17 .
  • Optical beams passing through the first and second masks 17 and 27 pass through a beam splitter 40 and form a composite optical beam.
  • the composite beam is formed by the interference of light from the first and second masks 17 and 27 due to differences in patterns in the masks and phase difference between the masks. Further modifications of interference may arise from objects in the optical path, such as absorption filters 18 and 28 (see FIG. 2 ), and variations in illuminations, etc.
  • the resulting electric field of the composite image comprises a superposition of the electric field E 17 of the optical beam 80 and electric field E 27 of the optical beam 81 .
  • the described method in various embodiments allows flexibility in choosing the different regions of the masks 17 and 27 , for example, the widths d 17 and d 27 may be suitably selected to enhance the printing of the final features.
  • Independent control of electric fields E 17 and E 27 enables optimization of final image quality such as image slope or lithographic process window.
  • electric fields E 17 and E 27 may be modified to allow a sharper transition in the intensity profiles.
  • both the location and shape of the attenuated phase shift material layer 274 in the second mask 27 may be independently selected.
  • phase shift material layer 274 may either comprise a weak phase shift material or a strong phase shift material.
  • the transmittance through the phase shift material layer 274 may vary between about 5% to about 100%.
  • the complexity of forming these masks remains within conventional mask making ability. This is because unlike current technologies, the embodiments of the current invention use dual masks or perhaps even multiple masks to form a single image. Hence, each individual mask may be less complex than if only a single mask were used. In various embodiments, the current invention reduces the complexity of mask making, and/or significantly improves image resolution and process window.
  • a method of forming the mask set comprising e.g., masks 17 and 27 shown in FIG. 2 , using embodiments of the current invention will be described using the flow chart of FIG. 4 .
  • a first mask 17 is selected to be a first mask type as shown in box b 1 .
  • the first mask 17 may be formed from any suitable mask type and may be formed from a suitable mask blank such as binary, tritone mask blanks.
  • the mask type of the second mask 27 is selected (box b 2 ).
  • a target layout is selected as shown in box b 3 .
  • the target layout is decomposed into first and second masks 17 and 27 comprising a plurality of features (box b 4 ).
  • the first and second masks 17 and 27 individually by themselves may not have the features of the target layout.
  • the optical characteristics of the first and second beams 80 and 81 are selected.
  • the optical characteristics comprise intensity, wavelength, phase difference, polarization, illumination angle, etc.
  • the mask features of the first and second masks 17 and 27 are determined.
  • the features include all the openings (e.g., 175 and 275 in FIG. 2 ) and patterns on the first and second masks 17 and 27 .
  • the resulting characteristics (electric field, intensity, etc.) of the composite image formed by the interfering optical beams are calculated.
  • critical dimensions on exposed photoresist are calculated.
  • an optimizer selects or modifies parameters of the optical characteristics of each optical beam.
  • the optimizer would likely iterate the algorithm over a large number of iterations to sample the parameter space comprising the dimensions of the mask features and the optical characteristics of each optical beam 80 and 81 .
  • the optimizer may move up the flow chart if sufficient convergence is not reached. For example, the decomposition of the target layout into the two mask layout may be changed in subsequent iterations.
  • a higher order mask type may be selected. For example, if the optimizer fails to converge using a binary mask, a tritone mask comprising three layers may be selected subsequently.
  • the optimizer creates a data file (e.g., a GDS-II file) comprising the first mask 17 and the second mask 27 , and the required optical process window to form the image.
  • the first mask 17 and the second mask 27 after the optimization may comprise features for correcting optical proximity effects.
  • the first mask 17 and the second mask 27 may include sub resolution scatter bars, jogs, hammerheads, and serifs.
  • the optical process window for each optical beam comprises the type of illumination (conventional, dipole, annular, quadropole, etc.), polarizations, exposure intensity, dose, focus and pulse, and required phase shifters.
  • the hierarchy shown in the flow chart may not be followed.
  • the order of precedence while changing parameters may be different than shown above.
  • the optimizer may try to converge simultaneously using more or fewer parameters than discussed above.
  • the flow chart of FIG. 4 described above in various embodiments may be a software program or comprise a part of a larger software program.
  • FIG. 5 illustrates the use of embodiments of the current invention in forming optical proximity correction (OPC) features
  • FIG. 6 illustrates an embodiment using a backside film for selectively polarizing one of the optical paths
  • FIG. 7 illustrates the use of an embodiment of the current invention in preventing side lobes formed during fabrication of contact holes.
  • OPC optical proximity correction
  • SRAFs sub-resolution assist features
  • FIG. 2 A common feature used in OPC is called sub-resolution assist features (SRAFs).
  • SRAFs serve to improve the printing of a plurality of main features by, for example, destructive interference of light passing through the main patterns.
  • An exposure optimized for a first pitch may not be optimum for a second pitch.
  • SRAFs are typically introduced between gate lines of different pitches or openings.
  • pitches are scaled down, the addition of SRAFs becomes difficult.
  • the first mask 17 comprises the first features 181 to be printed.
  • the first features 181 for example, comprise regions of attenuated phase shift regions 174 embedded in transparent regions 171 .
  • the second mask 27 comprises OPC features such as SRAFs vertical features 282 and lateral features 283 .
  • the second mask 27 for example, comprises a background opaque region 272 , and the vertical features 282 and lateral features 283 comprise regions of attenuated phase shift material 274 .
  • the final printed image contains only the first features 181 from the first mask 17 .
  • the primary features are formed on the first mask 17 and the secondary features are formed on the second mask 27 .
  • Such a scheme allows greater freedom in selecting and optimizing SRAFs.
  • lateral features 283 not allowed at tight pitches due to space constraints can be placed easily on the second mask 27 .
  • Greater flexibility in placement of OPC features enables improved OPC correction on the final image.
  • the widest possible process window may be obtained by optimization of the OPC features between the two masks.
  • the size and shapes of SRAFs may be optimized independently.
  • the first mask 17 and the second mask 27 are generated by separating features aligned along different directions.
  • the features are aligned either laterally or vertically.
  • the first mask 17 may comprise vertical features and the second mask 27 may comprise only lateral features.
  • the first mask 17 comprises vertical features comprising opaque regions 172 embedded in a matrix of transparent regions 171 .
  • the vertical features may also be formed by attenuated phase shifter materials in some embodiments.
  • the second mask 27 comprises lateral features comprising opaque regions 272 embedded in a matrix of transparent regions 271 .
  • the lateral features may also be formed by attenuated phase shifter materials in some embodiments.
  • the second mask 27 further includes a polarizer rotating material layer 279 located on the back side of the second mask 27 .
  • the polarizer rotating material layer 279 changes the relative angle of the electric field relative to the direction of the propagation of the light. For example, the polarizer rotating material layer 279 rotates the polarization of incident light by 90 degrees.
  • the plane of polarization may be individually rotated for every mask to achieve the widest lithographic process window for a given pattern direction.
  • the thickness of the polarizer rotating material layer 279 may be suitably optimized. Transmittance requirements on the polarization rotating film may be fairly relaxed as any undesirable intensity loss due to absorption can always be compensated with an increase in incoming light intensity (for example, by proper balancing of light intensities between the first and second optical paths 80 and 81 ).
  • selective placement of polarization rotating film over mask features dramatically increases complexity of mask fabrication.
  • high transmittance is required for the polarization rotating film material.
  • FIG. 7 Another challenge involves geometric scaling that relates to the printing of contact holes, and in particular regarding unwanted printing of features such as side lobes.
  • Side lobes are typically formed due to unwanted exposure of resist layers when using an attenuated phase shift mask.
  • an attenuated phase shift mask the incident light passing through a first region is phase shifted by about 180 degrees compared to a second neighboring region.
  • the images from the two regions destructively interfere near the edge of the first and second regions and result in a clear separation between the images.
  • the edge of the unexposed to the exposed region can be defined with higher precision.
  • the light passing through the first region is too low in intensity to expose the resist under the first region.
  • the intensity distribution in certain areas under the attenuated region may increase due to interference effects and expose the underlying resist. This results in the formation of unwanted side lobes near the main feature in the resist.
  • a second or additional pattern step may be used to cover the mask with opaque material at positions where undesired side lobe intensity would otherwise appear and thus avoid the unwanted side lobes.
  • the mask design involves, for example, patterning a tritone mask into a rim type structure.
  • this technique offers only limited capability for further optimization, as in a single mask such techniques are limited by the available geometries of the various regions.
  • Using a dual mask scheme as described in various embodiments of the current invention allows greater flexibility in designing masks with improved immunity to side lobe formation. An example of this flexible design is described in an embodiment described by FIG. 7 .
  • the top figure illustrates the first mask 17 in a top view, whereas a cross-sectional view at line A-A′ is illustrated by the middle figure.
  • the first mask 17 comprises the third features 184 to be printed.
  • the feature for example, may be a contact hole.
  • the first mask 17 comprises openings or third features 184 formed by transparent regions 171 and attenuated phase shift material layer 174 .
  • a first optical beam 80 passing through the first mask 17 forms the electric field distribution E 17 and E SL .
  • the electric field distributions E 17 are formed by openings 184 and print needed patterns on a semiconductor body. However, the electric field E SL is unwanted and forms deleterious patterns on the semiconductor body.
  • the top figure illustrates the second mask 27 in a top view, whereas a cross-sectional view at line B-B′ is illustrated by the middle figure.
  • the second mask 27 comprises assist holes 284 formed by transparent regions 271 embedded in a background of opaque regions 272 .
  • the electric field distribution E 27 of a second optical beam 81 passing through the second mask 27 is opposite to the electric field distribution E 17 or E SL from the first mask 17 .
  • the difference arises due to the phase difference between the optical beams 80 and 81 .
  • the optical beam 81 is phase shifted by 180 degrees using elements in the illumination lens system (for example, of FIG. 2 ).
  • this embodiment offers at least three distinct benefits unavailable using a single mask approach.
  • the mask design for side lobe prevention is considerably simplified by avoiding complex structures typically used.
  • further optimization or improvement in contrast may follow by simple optimization of dimensions of the various layers.
  • the dimensions of assist holes 284 may be changed until the best optimum is found.
  • independent control of optical properties of the two light sources enables better co-optimization. For example, higher electric field intensity can be used when smaller assist holes 284 are used.
  • this technique allows greater flexibility in the first mask.
  • the phase shift material layer 174 may be less attenuated, for example, have a higher transmission value. Although a higher transmission value helps to improve contrast, it creates increased side lobe formation.
  • the first mask may be tailored to improve contrast. Finally, this optimum can be performed on a local level.
  • the dimensions of the assist holes 284 may be changed based on the features of the contact hole to be formed, but also on the neighboring features that may impact the interference patterns.
  • Embodiments of the present invention include methods of manufacturing semiconductor devices and devices manufactured using the lithography systems of FIG. 2 and mask sets in FIGS. 3 or 4 described herein.
  • FIG. 8 a shows a cross-sectional view of a semiconductor device 70 that has a layer of photoresist 710 disposed thereon that has been patterned using a lithography system of an embodiment of the present invention.
  • FIG. 8 b shows the semiconductor device 70 of FIG. 8 a after the layer of photoresist 710 has been used as a mask to pattern a material layer 720 of the semiconductor device 70 .
  • the semiconductor device 70 includes a workpiece 730 .
  • the workpiece 730 may be held to a wafer support 60 .
  • the workpiece 730 may include a semiconductor substrate comprising silicon or other semiconductor materials covered by an insulating layer, for example.
  • the workpiece 730 may also include other active components or circuits (not shown).
  • the workpiece 730 may comprise silicon oxide over single-crystal silicon, for example.
  • the workpiece 730 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon.
  • the workpiece 730 may comprise a silicon-on-insulator (SOI) substrate, for example.
  • SOI silicon-on-insulator
  • An embodiment of the present invention describes a method using the lithography systems shown in FIG. 2 and the masks of FIGS. 3 or 5 to fabricate a semiconductor device 70 .
  • a sequence of process steps used in the formation of the semiconductor device 70 will now be described.
  • a material layer 720 to be patterned is deposited over the workpiece 730 .
  • the material layer 720 may comprise a conductive, insulating, or semiconductive material, or multiple layers or combinations thereof, as examples.
  • the material layer 720 preferably comprises a semiconductive material such as silicon or polysilicon, for example, although other materials may also be used.
  • the material layer 720 may comprise a gate dielectric material comprising an insulator and a gate material formed over the gate dielectric material, for example.
  • a layer of photosensitive material 710 is deposited over the material layer 720 .
  • the layer of photosensitive material 710 may comprise a photoresist, for example.
  • the layer of photosensitive material 710 is patterned using the lithography masks 17 and 27 of e.g., FIG. 3 to form a latent pattern comprising a plurality of features 711 and 712 to be formed in the material layer 720 .
  • the layer of photosensitive material 710 is developed, as shown in FIG. 8 a.
  • the layer of photosensitive material 710 is used as a mask while the material layer 720 is etched using an etch process, forming a plurality of features 711 and 712 in the material layer 720 , as shown in a cross-sectional view in FIG. 8 b.
  • the layer of photosensitive material 710 is then removed.
  • the plurality of features in the material layer 720 may be contact holes, metal lines, via holes, gate lines, dummy gate lines, features for patterning isolation trench, etc.
  • the layer of photosensitive material 710 is used as a mask to affect an underlying material layer 720 of the semiconductor device 70 , for example.
  • Affecting the material layer 720 may comprise etching away uncovered portions of the material layer 720 , implanting a substance such as a dopant or other materials into the uncovered portions of the material layer 720 , or forming a second material layer over uncovered portions of the material layer 720 , as examples (not shown), although alternatively, the material layer 720 may be affected in other ways. Further processing of the workpiece 730 , using conventional semiconductor manufacturing techniques, forms the semiconductor device 70 .
  • semiconductor device 70 manufactured using the novel methods described herein, may comprise transistor gates, conductive lines, vias, capacitor plates, and other features, as examples.
  • Embodiments of the present invention may be used to pattern features of memory devices, logic circuitry, and/or power circuitry, as examples, although other types of ICs and devices may also be fabricated using the manufacturing techniques and processes described herein.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

Multi-beam lithography systems and methods of manufacturing semiconductor devices using the same are disclosed. For example, the method utilizes non-coincidence of boundaries of electrical fields emanating from chrome on glass or phase shifted mask features distributed over two masks for the optimization of lithographic process windows, side lobe suppression, or pattern orientation dependent process window optimization employing one mask with polarization rotating film on the backside.

Description

    TECHNICAL FIELD
  • The present invention relates generally to the fabrication of semiconductor devices, and more particularly to lithography systems used to pattern material layers of semiconductor devices.
  • BACKGROUND
  • Generally, semiconductor devices are used in a variety of electronic applications, such as computers, cellular phones, personal computing devices, and many other applications. Home, industrial, and automotive devices that in the past comprised only mechanical components now have electronic parts that require semiconductor devices, for example.
  • Semiconductor devices are manufactured by depositing many different types of material layers over a semiconductor workpiece or wafer, and patterning the various material layers using lithography. The material layers typically comprise thin films of conductive, semiconductive, and insulating materials that are patterned and etched to form integrated circuits (ICs). There may be a plurality of transistors, memory devices, switches, conductive lines, diodes, capacitors, logic circuits, and other electronic components formed on a single die or chip, for example.
  • Optical photolithography involves projecting or transmitting light through a pattern comprising optically opaque areas and optically clear or transparent areas on a mask or reticle. For many years in the semiconductor industry, optical lithography techniques such as contact printing, proximity printing, and projection printing have been used to pattern material layers of integrated circuits. Lens projection systems and transmission lithography masks are used for patterning, wherein light is passed through the lithography mask to impinge upon a photosensitive material layer disposed on a semiconductor wafer or workpiece. After development, the photosensitive material layer is then used as a mask to pattern an underlying material layer. The patterned material layers comprise electronic components of the semiconductor device.
  • There is a trend in the semiconductor industry towards scaling down the size of integrated circuits to meet the demands of increased performance and smaller device size. However, as features of semiconductor devices become smaller, it becomes more difficult to pattern the various material layers because of diffraction and other effects that occur during a lithography process. For example, key metrics such as resolution and depth of focus of the imaging systems may suffer when patterning features at small dimensions.
  • A number of next generation techniques are being pursued to overcome these limitations, but most of them use different imaging techniques, tools, and technology. Abandoning more than 25 years of learning and development in optical lithography may not be cost effective and involves tremendous risks.
  • What are needed in the art are lithography systems and methods of manufacture thereof that overcome these limitations, while still retaining the benefits of current lithography tools and techniques.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by embodiments of the present invention which provide lithography masks and methods of manufacture thereof.
  • In accordance with an embodiment of the present invention, a method for forming a pattern on a semiconductor body includes simultaneously exposing a first mask and a second mask, the first mask comprising transparent regions bounded by opaque regions and the second mask comprising transparent regions bounded by phase shift regions. Boundaries of the pattern are formed by a superposition of a first light exposed by the transparent regions of the first mask with a second light exposed by the phase shift regions of the second mask.
  • The foregoing has outlined rather broadly the features and technical advantages of embodiments of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates the advantage of using coherent illumination as used in various embodiments of the current invention;
  • FIG. 2 illustrates a lithography system in accordance with an embodiment of the current invention;
  • FIG. 3 illustrates masks used in a lithography system using an embodiment of the current invention;
  • FIG. 4 illustrates a flow chart of a method of generating lithography masks for use with lithography systems, in accordance with an embodiment of the current invention;
  • FIG. 5, which includes FIGS. 5 a and 5 b, illustrates an alternate method of generating lithography masks for use with the lithography systems, wherein the masks are separated based on feature density and/or OPC requirements, in accordance with an embodiment of the current invention;
  • FIG. 6 illustrates an alternate method of generating lithography masks for use with lithography systems, wherein the masks are separated based on feature alignment, in accordance with an embodiment of the current invention;
  • FIG. 7, which includes FIGS. 7 a-7 c, illustrates an alternate method of generating lithography masks for use with lithography systems, wherein the masks form contact holes while preventing formation of side lobes, in accordance with an embodiment of the current invention; and
  • FIG. 8 includes FIGS. 8 a and 8 b, wherein FIG. 8 a shows a cross-sectional view of a semiconductor device that has a layer of photoresist disposed thereon that has been patterned using embodiments of the present invention, and FIG. 8 b shows the semiconductor device of FIG. 8 a after the layer of photoresist has been used as a mask to pattern a material layer of the semiconductor device.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • Embodiments of the present invention achieve technical advantages by providing methods of manufacturing using a lithography system, wherein two or more coherent optical beams passing through independent masks constructively or destructively interfere to form patterns. In various embodiments, the method utilizes non-coincidence of boundaries of electrical fields emanating from chrome on glass or phase shifted mask features distributed over two masks for the optimization of lithographic process windows, side lobe suppression, or pattern orientation dependent process window optimization employing one mask with polarization rotating film on the backside.
  • The resolution R of an optical lithography system is generally related to a ratio of the optical wavelength λ of the radiation used for exposure to the numerical aperture NA of the optical system used to direct radiation from an irradiated mask to the wafer (or R=k1λ/NA). Thus, increases in basic resolution require decreases in wavelength or increases in optical system numerical aperture. However, shorter illumination wavelengths cannot use many convenient optical materials, as suitable refractive optical materials are unavailable. Increases in optical system numerical aperture are more difficult to achieve, and increased numerical aperture can reduce the tolerance of lithographic processes to defocus. Further, the k1 factor depends on the imaging system, but has a theoretical limit of 0.25. Current lithography systems already operate around 0.3 and further reduction in this factor is difficult.
  • One way of overcoming this barrier is to use multi-beam coherent interference lithography. In various embodiments, the current invention uses multi-beam coherent interference lithography to improve printing resolution, while utilizing current optical lithography equipments, possibly with minimal modifications.
  • The resolution of multi-beam coherent interference lithography is much higher than that of optical lithography allowing printing of critical dimensions of about λ/4. FIG. 1 is used to illustrate the potential advantage of coherent interference lithography. The image contrast which in turn depends on a modulation transfer function MTF of the projection lens system of the lithography tool varies with the type of illumination used. Light passing through a mask is diffracted into a number of diffraction orders. The finite size of the entrance pupil of the projection lens system allows only the lowest few diffraction orders to pass through the projection lens system and recombine to form the image on the wafer.
  • The MTF defines the efficiency of transfer of the higher order components of the diffracted light. Consequently, a loss of higher order diffracted light can result in loss in image quality. FIG. 1 shows the MTF as a function of spatial frequency (depends, for example, inversely on the width of the feature) for different illuminations.
  • In coherent illumination, all the elementary waves in each optical beam have a constant phase difference in space and time. For a system using coherent illumination (shown in FIG. 1 as curve 901), the MTF is a delta function with spatial frequency. Hence, although coherent illumination improves contrast, printing of finer features is significantly eroded due to a fall-off in the modulation transfer function beyond NA/λ. At the other extreme, incoherent illumination 903 lacks the contrast needed to print images. Hence, partial coherence 902 is predominantly adopted in imaging fine features by the semiconductor industry.
  • The present invention will be described with respect to preferred embodiments in a specific context, namely coherent lithography systems and methods of using it, applied to semiconductor device manufacturing. The invention may also be applied, however, to the printing of other small devices and structures. For example, the invention may also be applied to pattern other types of devices in other applications and other technological fields.
  • A coherent lithography system described by an embodiment of the current invention is shown in FIG. 2. A mask using embodiments of the current invention will then be described using FIG. 3. Various embodiments of the current invention, to generate masks for the lithography systems for different design features, will be described using the flow chart of FIG. 4 and FIGS. 5-7. Further, FIG. 8 describes the use of embodiments of the current invention in forming semiconductor devices.
  • As shown in FIG. 2, the lithography system comprises: an illuminator system comprising illuminator 10, polarizers 11 and 21, absorption filters 18 and 28, condenser lenses, diffractive optical elements 13 and 23, mask or reticle stages 19 and 29 for holding the masks 17 and 27, projection lens system 50, and a workpiece holder 60 for holding a wafer or workpiece 70.
  • Referring to FIG. 2, the illuminator 10 provides light with suitable optical characteristics such as wavelength, intensity, coherence, etc. For example, the illuminator 10 may emit a single wavelength of coherent light such as from a laser source. A specific example of such a laser source is ArF, an excimer laser, which produces light with a wavelength of 193 nm. In various embodiments, the illuminator 10 emits near ultraviolet (UV) or preferably deep ultraviolet (UV) light; e.g., light with wavelengths of 248 nm, 193 nm or 157 nm, although light having other wavelengths may also be used. In different embodiments, other lasers and wavelengths are possible. The illuminator 10 may comprise a pulsed laser or a continuous wave laser. The illuminator 10 may be individually controlled to optimize the characteristics of the exiting light radiation. For example, the light intensity, dose, pulse width or time of exposure may be separately modulated by the illuminators.
  • The optical beam generated by the illuminator 10 is split by a non-polarizing beam splitter 12, although in some embodiments the beam splitter 12 may comprise a polarizer. The beam splitter 12 generates first and second optical beams 80 and 81. The first optical beam 80 passes through a polarizer 11, whereas the second optical beam 81 passes through a different polarizer 21.
  • A light of a single wavelength consists of an electromagnetic field in which electric fields and magnetic fields oscillate at a defined frequency. However, the electric field is unrestricted in that it exists in a plurality of directions relative to the direction of propagation of light. After passing through the polarizers 11 and 21, only particular electric field and magnetic field oscillations remain. In some embodiments, the first and second optical beams 80 and 81 are orthogonally polarized, although in other embodiments they may be non-orthogonal. Further, in some embodiments, only the transverse electric (TE) and transverse magnetic (TM) modes are used. In other embodiments, only linearly polarized light beams may be used wherein the electric field and magnetic field are oriented along only one single direction. For example, the polarized light may comprise a vertically polarized (“V”) light in which the electric field is restricted to lie along the z-axis for a light propagating along the x-axis, and similarly a horizontally polarized (“H”) light in which the electric field lies along the y-axis.
  • The optical beam 81 is further reflected by mirrors 24 and 25 and redirected back as shown in FIG. 2. The optical paths for the first and second optical beams 80 and 81 may be specifically controlled to enable constructive or destructive interference. One way of changing the interference pattern between the first and second beams 80 and 81 is to use absorption filters 18 and 28. The absorption filters 18 and 28 may independently control the optical intensity of each optical beam by changing the transmission. In some embodiments, the absorption filters 18 and 28 may also include phase shifters to shift the phase of the first optical beam 80 or second optical beam 81. For example, the phase of each of the first and second optical beams 80 and 81 may be modulated to obtain a phase difference by ±2nπ radians (i.e., no phase difference) between the two optical paths. In various embodiments, the first optical beam 80 and/or the second optical beam 81 may be altered to produce a phase difference between the two beams in multiples of about (2n−1)π radians, for example, to enable destructive interference. However, in some embodiments, the optical path of the first optical beam 80 and/or the second optical beam 81 may be altered to produce a phase difference between the two beams in multiples of about (2nπ+π/2) radians.
  • In various embodiments, the phase of one of the optical beams 80 and 81 may be shifted by other values to optimize the interference pattern. For example, layout effects arising, for example, from light scattering around trench sidewalls of alternating PSM mask features may be minimized by shifting the optical path of either or both first and second optical beams 80 and 81. In such embodiments, either one or both first and second optical beams 80 and 81 may be shifted in a smaller range. For example, one of the optical beams 80 and 81 may be phase shifted by about ±10 degrees.
  • Further, the first optical beam 80 and the second optical beam 81 may pass through conventional auxiliary elements such as a condenser lens system (not shown). The condenser (not shown) directs the first and the second optical beams 80 and 81 to diffractive optical elements (DOEs) 13 and 23.
  • The DOEs 13 and 23 may be two dimensional periodic and/or quasi-periodic arrays of micro optical elements which use diffraction and/or refraction to control wave fronts of the first and the second optical beams 80 and 81. The DOEs 13 and 23 may include binary optics, diffraction gratings, surface relief diffractive elements, Fresnel lenses, holographic optical elements and other designs that rely on diffraction for their primary optical properties and/or may use refraction as in a conventional optical element. The DOEs 13 and 23 may comprise any element which uses substrates or elements of transparent materials having amplitude and/or phase modulation or patterns which generate distinct amplitude, phase, and intensity patterns at specified fields or spatial positions. Similarly, DOEs 13 and 23 may, in some embodiments, comprise diffusive optical elements which reduce the directionality of the first and the second optical beams 80 and 81 by generating the effect of a large number of apparent secondary sources.
  • Further, the DOEs 13 and 23 generate desirable illumination distributions of the first and the second optical beams 80 and 81 on the masks 17 and 27 for different photolithographic imaging situations. Off-axis illumination distributions are used to enhance resolution by limiting the interference patterns. For example, the normally incident zero order or un-diffracted beam may be blocked by tilting the beam angle. Features, smaller than the diffraction limited frequency, transmit un-diffracted light. This un-diffracted light adds to the diffracted zero order light and reduces image contrast. Off-axis illumination shifts this un-diffracted light and improves image contrast. For example, using off-axis illumination, image formation occurs by the interference of beams from the zero order and either the +1 or −1 order diffracted beam. This off-axis illumination distribution of the first and the second optical beams 80 and 81 may comprise annular illumination, dipole, quadrupole illumination, and/or combinations thereof. In a conventional illumination, uniform circular patterns illuminate the masks 17 and 27. In an annular illumination, annular illumination patterns illuminate the masks 17 and 27. Finally, a quadrupole illumination comprises forming four separate circular illumination patterns. Consequently, in different embodiments the first and the second optical beams 80 and 81 may comprise different types of illuminations.
  • The illuminator system may also change the transmittance of either the first optical beam 80 or the second optical beam 81 in some embodiments to create, for example, a weak phase shift effect. In such cases, one of the beams may have a relative transmitted intensity of about 5% to about 30%.
  • The lithography system is set up in such a way that the first optical beam 80 passes through a first mask 17 positioned on a mask stage or first reticle stage 19 and enters the beam splitter 40. The second optical beam 81 similarly enters the second mask 27 positioned on a mask stage or reticle stage 29 and enters the beam splitter 40. The beam splitter 40 is, preferably, a non-polarizing beam splitter. The beam splitter 40 combines the first optical beam 80 and the second optical beam 81 and creates a composite optical beam 83. The composite optical beam 83 having passed through the two separate masks 17 and 27 contains optical information to form a final composite image on a semiconductor device or workpiece 70.
  • The first and second masks 17 and 27 may comprise any type of masks. For example, in various embodiments, the first mask 17 may be a binary mask, an attenuated phase shift mask, an alternating mask, etc. Similarly, the second mask 27 may be a binary mask, an attenuated phase shift mask, an alternating phase shifting mask, etc. In various embodiments, the lithography system may be adapted for enhancing the imaging system further.
  • Suitable modifications to the optical path of the first optical beam 80 and the second optical beam 81 may be introduced to improve the final composite image by changing either the first optical beam 80 or the second optical beam 81 or in some cases both beams.
  • The lithography system further includes a support or stage 60 for a semiconductor device or workpiece 70 and a common projection lens system 50 disposed proximate the semiconductor device 70 and support 60, as shown in FIG. 2. The projection lens system 50 may include a plurality of lenses (not shown), and may include a fluid disposed between the semiconductor device 70 mounted on the support 60 and a last lens of the projection lens system 50, e.g., in an immersion lithography system. The lithography system may comprise a stepper, wherein the stage 60 may be adapted to move the semiconductor device 70 while the masks 17 and 27 are held stationary during the exposure process, for example. In various embodiments, the lithography system may comprise a step-and-scan apparatus, wherein the stage 60 and reticle stages 19 and 29 are adapted to move during the exposure process, for example. The lithography system may also be adapted for immersion lithography applications, for example.
  • The lithography system also comprises a feedback mechanism or self-monitor (not shown) to test the optical integrity of the exposure tool. The optical test may include optical characteristics such as path difference, intensity difference between the various optical paths, and misalignment between various components of the tool including the masks. The reticle stages 19 and 29 may, for example, be adapted to include alignment systems that measure the relative position of the masks 17 and 27 mounted on them. For example, before processing a batch of semiconductor wafers, the lithography system may perform an automated self-check. Based on the feed-back from this self-check, various components of the lithography system can be adjusted, for example, to minimize the phase difference between optical beams or to minimize mask alignment errors.
  • The first mask 17 and the second mask 27 may have additional features for testing the alignment of the masks and/or the optical characteristics of the optical beam. These patterns may appear on the wafer as a test pattern.
  • In various embodiments, the illumination system may comprise more than one illuminator, and more than two masks and reticle stages.
  • As will be clear from other embodiments discussed below, the interference lithography tool can be employed in a variety of different configurations and applications. Further, other lithographic methods aimed at improving resolution may be combined with embodiments of the current invention. Examples include modification to light sources (e.g., Off-Axis Illumination), use of special masks for either or both masks, which exploit light interference phenomena (e.g., weak phase shift methods such as Attenuated Phase Shift Masks, or strong phase shift methods such as Alternating Phase Shift Masks, Chromeless Masks, etc.), and mask layout modifications (e.g., Optical Proximity Corrections).
  • An embodiment of a mask set using a lithography system comprising a dual mask setup will now be described using FIG. 3, followed by a method of designing the mask set using the flow chart of FIG. 4. Various embodiments of the mask set used in the lithography system will then be described using FIGS. 5-7. The various embodiments described by FIGS. 3-7 achieve advantageous results using interference of light beams and hence require a coherency between the light beams passing through the multiple masks.
  • Referring to FIG. 3, a first mask 17 and a second mask 27 are shown. In some embodiments, the first and second masks 17 and 27 are binary masks. In other embodiments, the first and second masks 17 and 27 may be any type of mask. The first mask 17 comprises a transparent layer 171 and an opaque layer 172. Similarly, the second mask comprises a transparent layer 271 and an attenuated phase shift material layer 274.
  • The transparent layers 171 and 271 of the masks 17 and 27 preferably comprise a transparent quartz layer, although in other embodiments they may comprise other materials, such as fluorinated quartz, calcium fluoride, hafnium oxide, borofloat, or sodalime glass, as examples.
  • The opaque layer 172 comprises an absorbing material or an absorber. The thicknesses of the opaque layer 172 are carefully selected to absorb most of the incident light. The opaque layer 172 preferably comprises chromium (Cr) in some embodiments, although alternatively, the opaque layer 172 may comprise other metals or metallic compounds such as Ta, TaN, Au, Ti, Ga, W, Ni, Sn, SnO2, or other materials such as Si, Ge, C, and Si3N4. Similarly, the opaque layer 172 may be a single layer or a multi-layer stack. In some embodiments, the opaque layer 172 comprises a stack of chromium oxide on chromium. For example, in a specific embodiment, the opaque layer 172 may be a 5 nm chromium oxide (Cr2O3) film over a 70 nm chromium (Cr) film. The opaque layer 172 preferably comprises a thickness of about 50 nm to about 100 nm or less, although alternatively, the opaque layer 172 may comprise other dimensions. As is evident from the above discussion, any suitable material stack that has the correct combination of transmittance and refractive indices may be used to form the mask layers.
  • The phase shift material layer 274 may comprise a thickness f27 that may be suitably adjusted to change the phase shift relative to a portion of the beam passing through a transparent part of the second mask 27. In some embodiments, the thickness f27 of the attenuated phase shift material layer 274 is carefully selected to attain an optical path difference of about half the wavelength for light waves passing through the phase shifter relative to the light waves passing through the transparent region 271. This results in a phase difference of about 180 degrees between the waves. Further, the transmittance through the attenuated phase shift material layer 274 is selected to be about 4% to about 40% and preferably about 6%. Hence, the thickness f27 of the attenuated phase shift material layer 274 is suitably selected based on both the wavelength of the incident light and the refractive index of the transparent region 271. In an alterative embodiment, any suitable refractive index of the chosen material may be used, thus widening the choices for suitable materials for layer 274. The required phase difference of 180° between the two optical paths is achieved by a direct phase adjustment of optical path 81. The attenuated phase shift material layer 274 preferably comprises a thickness of about 100 nm or less, and more preferably for use with a 193 nm incident light comprises a thickness of about 40 nm to about 60 nm. In some embodiments, the attenuated phase shift material layer 274 may comprise other dimensions. For example, the thickness of the attenuated phase shift material layer 274 may decrease if a lower wavelength, e.g., 157 nm, is used.
  • The attenuated phase shift material layer 274 preferably comprises an oxide of MoSi, although other materials may also be used. For example, in other embodiments, attenuated phase shift material layer 274 may comprise TaSiO, TiSiN, MoSiN, TaN, and/or ZrSiO. The attenuated phase shift material layer may either be a single layer or a multi-layer stack. For example, in an alternate embodiment, a multi-layer stack comprising TaN and Si3N4 or Mo and Si may be the attenuated phase shift material layer 274.
  • The thickness of the transparent regions 171 and 271 are t17 and t27, typically about ¼ inch. In some embodiments, the transparent regions 171 and 271 may be adjusted to introduce a phase difference between the optical beams 80 and 81.
  • The opaque layer 172 and the phase shift material layer 274 are patterned to form openings 175 and 275 on the masks 17 and 27, respectively. The openings 175 on the first mask 17 are separated by patterns of widths d17, whereas openings 275 on the second mask 27 are separated by patterns of widths d27. In the illustrated embodiment, the width d27 of the second mask 27 is larger than the width d17 of the first mask 17. However, in some embodiments, the width d27 of the second mask 27 is smaller than the width d17 of the first mask 17.
  • Optical beams passing through the first and second masks 17 and 27 pass through a beam splitter 40 and form a composite optical beam. The composite beam is formed by the interference of light from the first and second masks 17 and 27 due to differences in patterns in the masks and phase difference between the masks. Further modifications of interference may arise from objects in the optical path, such as absorption filters 18 and 28 (see FIG. 2), and variations in illuminations, etc.
  • The resulting electric field of the composite image comprises a superposition of the electric field E17 of the optical beam 80 and electric field E27 of the optical beam 81. The described method in various embodiments allows flexibility in choosing the different regions of the masks 17 and 27, for example, the widths d17 and d27 may be suitably selected to enhance the printing of the final features. Independent control of electric fields E17 and E27 enables optimization of final image quality such as image slope or lithographic process window. For example, electric fields E17 and E27 may be modified to allow a sharper transition in the intensity profiles. In various embodiments, both the location and shape of the attenuated phase shift material layer 274 in the second mask 27 may be independently selected.
  • The embodiment discussed above shows a decomposition of an attenuated phase shift mask into two masks whereby higher flexibility in independent parameter selection is gained at the expense of higher complexity as compared to the single mask attenuated PSM approach. However, in various embodiments, use of this technique enables the patterning of features formed using strong phase shifting techniques such as alternating phase shift masks. Hence, in various embodiments, the phase shift material layer 274 may either comprise a weak phase shift material or a strong phase shift material. In other words, the transmittance through the phase shift material layer 274 may vary between about 5% to about 100%.
  • Despite, the potential possibility of forming an image using a large array of distinct phases, the complexity of forming these masks remains within conventional mask making ability. This is because unlike current technologies, the embodiments of the current invention use dual masks or perhaps even multiple masks to form a single image. Hence, each individual mask may be less complex than if only a single mask were used. In various embodiments, the current invention reduces the complexity of mask making, and/or significantly improves image resolution and process window.
  • A method of forming the mask set, comprising e.g., masks 17 and 27 shown in FIG. 2, using embodiments of the current invention will be described using the flow chart of FIG. 4.
  • A first mask 17 is selected to be a first mask type as shown in box b1. As discussed above the first mask 17 may be formed from any suitable mask type and may be formed from a suitable mask blank such as binary, tritone mask blanks. Similarly, the mask type of the second mask 27 is selected (box b2). A target layout is selected as shown in box b3. The target layout is decomposed into first and second masks 17 and 27 comprising a plurality of features (box b4). In different embodiments, the first and second masks 17 and 27 individually by themselves may not have the features of the target layout. As shown in the boxes b11 and b21, the optical characteristics of the first and second beams 80 and 81 are selected. The optical characteristics comprise intensity, wavelength, phase difference, polarization, illumination angle, etc. The mask features of the first and second masks 17 and 27 are determined. The features include all the openings (e.g., 175 and 275 in FIG. 2) and patterns on the first and second masks 17 and 27. The resulting characteristics (electric field, intensity, etc.) of the composite image formed by the interfering optical beams are calculated. Using these calculated intensities, critical dimensions on exposed photoresist are calculated. Based on the differences between the calculated critical dimensions and the target dimensions of the target features, an optimizer selects or modifies parameters of the optical characteristics of each optical beam. The optimizer would likely iterate the algorithm over a large number of iterations to sample the parameter space comprising the dimensions of the mask features and the optical characteristics of each optical beam 80 and 81. The optimizer may move up the flow chart if sufficient convergence is not reached. For example, the decomposition of the target layout into the two mask layout may be changed in subsequent iterations. Similarly, if the optimizer fails to converge, for example, if the target layout comprises complex features, a higher order mask type may be selected. For example, if the optimizer fails to converge using a binary mask, a tritone mask comprising three layers may be selected subsequently.
  • On successful convergence, the optimizer creates a data file (e.g., a GDS-II file) comprising the first mask 17 and the second mask 27, and the required optical process window to form the image. The first mask 17 and the second mask 27 after the optimization may comprise features for correcting optical proximity effects. For example, the first mask 17 and the second mask 27 may include sub resolution scatter bars, jogs, hammerheads, and serifs. Similarly, the optical process window for each optical beam comprises the type of illumination (conventional, dipole, annular, quadropole, etc.), polarizations, exposure intensity, dose, focus and pulse, and required phase shifters.
  • In various embodiments, the hierarchy shown in the flow chart may not be followed. For example, the order of precedence while changing parameters may be different than shown above. For example, in some embodiments, the optimizer may try to converge simultaneously using more or fewer parameters than discussed above.
  • The flow chart of FIG. 4 described above in various embodiments may be a software program or comprise a part of a larger software program.
  • Applications of embodiments of the current invention are described for a few illustrative cases. An embodiment will be described using FIG. 5, followed by various embodiments using FIGS. 6 and 7. In particular, FIG. 5 illustrates the use of embodiments of the current invention in forming optical proximity correction (OPC) features, FIG. 6 illustrates an embodiment using a backside film for selectively polarizing one of the optical paths, and FIG. 7 illustrates the use of an embodiment of the current invention in preventing side lobes formed during fabrication of contact holes.
  • Despite the use of OPC techniques, current process technologies impose a narrow process window especially with continued shrinking of geometries. The lithography system of e.g., FIG. 2 can be used to improve printing of features and to reduce the requirements of OPC features. A common feature used in OPC is called sub-resolution assist features (SRAFs). SRAFs serve to improve the printing of a plurality of main features by, for example, destructive interference of light passing through the main patterns. An exposure optimized for a first pitch may not be optimum for a second pitch. Hence, for example, SRAFs are typically introduced between gate lines of different pitches or openings. However, as pitches are scaled down, the addition of SRAFs becomes difficult.
  • Referring now to FIG. 5 a, the first mask 17 comprises the first features 181 to be printed. The first features 181, for example, comprise regions of attenuated phase shift regions 174 embedded in transparent regions 171. Similarly, as illustrated in FIG. 5 b, the second mask 27 comprises OPC features such as SRAFs vertical features 282 and lateral features 283. The second mask 27, for example, comprises a background opaque region 272, and the vertical features 282 and lateral features 283 comprise regions of attenuated phase shift material 274.
  • The final printed image contains only the first features 181 from the first mask 17. Hence, the primary features are formed on the first mask 17 and the secondary features are formed on the second mask 27. Such a scheme allows greater freedom in selecting and optimizing SRAFs. For example, lateral features 283 not allowed at tight pitches due to space constraints can be placed easily on the second mask 27. Greater flexibility in placement of OPC features enables improved OPC correction on the final image. Hence, the widest possible process window may be obtained by optimization of the OPC features between the two masks. For example, the size and shapes of SRAFs may be optimized independently.
  • An embodiment for selectively altering the interference patterns produced by the optical beams will now be discussed using FIG. 6. In this embodiment, the first mask 17 and the second mask 27 are generated by separating features aligned along different directions. In a typical integrated circuit chip, the features are aligned either laterally or vertically. Hence, the first mask 17 may comprise vertical features and the second mask 27 may comprise only lateral features.
  • Referring to FIG. 6, the first mask 17 comprises vertical features comprising opaque regions 172 embedded in a matrix of transparent regions 171. The vertical features may also be formed by attenuated phase shifter materials in some embodiments. The second mask 27 comprises lateral features comprising opaque regions 272 embedded in a matrix of transparent regions 271. The lateral features may also be formed by attenuated phase shifter materials in some embodiments. The second mask 27 further includes a polarizer rotating material layer 279 located on the back side of the second mask 27. The polarizer rotating material layer 279 changes the relative angle of the electric field relative to the direction of the propagation of the light. For example, the polarizer rotating material layer 279 rotates the polarization of incident light by 90 degrees. The plane of polarization may be individually rotated for every mask to achieve the widest lithographic process window for a given pattern direction. The thickness of the polarizer rotating material layer 279 may be suitably optimized. Transmittance requirements on the polarization rotating film may be fairly relaxed as any undesirable intensity loss due to absorption can always be compensated with an increase in incoming light intensity (for example, by proper balancing of light intensities between the first and second optical paths 80 and 81). On the other hand, for a single mask approach, selective placement of polarization rotating film over mask features dramatically increases complexity of mask fabrication. Moreover, for a single mask approach, high transmittance is required for the polarization rotating film material. Intensity loss through the polarization rotating film would have to be compensated by adjustments of mask feature sizes (as both mask portions covered with and free of polarization rotating film would be illuminated by uniform light intensity across the whole mask). Such problems do not arise with the two-mask approach described in FIG. 6, where deposition of a uniform layer of polarization rotating layer on one mask only could be carried out without technical or manufacturing difficulties.
  • An embodiment for prevention of side lobes will now be discussed using FIG. 7. Another challenge involves geometric scaling that relates to the printing of contact holes, and in particular regarding unwanted printing of features such as side lobes. Side lobes are typically formed due to unwanted exposure of resist layers when using an attenuated phase shift mask. In an attenuated phase shift mask, the incident light passing through a first region is phase shifted by about 180 degrees compared to a second neighboring region. The images from the two regions destructively interfere near the edge of the first and second regions and result in a clear separation between the images. Thus, the edge of the unexposed to the exposed region can be defined with higher precision. Ideally, the light passing through the first region is too low in intensity to expose the resist under the first region. However, when features are printed onto a wafer having a width near the resolution limit of the optical system, the intensity distribution in certain areas under the attenuated region may increase due to interference effects and expose the underlying resist. This results in the formation of unwanted side lobes near the main feature in the resist.
  • A number of solutions exist in the art for the removal of side lobes. For example, a second or additional pattern step may be used to cover the mask with opaque material at positions where undesired side lobe intensity would otherwise appear and thus avoid the unwanted side lobes. Hence, the mask design involves, for example, patterning a tritone mask into a rim type structure. Similarly, the use of this technique offers only limited capability for further optimization, as in a single mask such techniques are limited by the available geometries of the various regions. Using a dual mask scheme as described in various embodiments of the current invention allows greater flexibility in designing masks with improved immunity to side lobe formation. An example of this flexible design is described in an embodiment described by FIG. 7.
  • Referring now to FIG. 7 a, the top figure illustrates the first mask 17 in a top view, whereas a cross-sectional view at line A-A′ is illustrated by the middle figure. The first mask 17 comprises the third features 184 to be printed. The feature, for example, may be a contact hole. The first mask 17 comprises openings or third features 184 formed by transparent regions 171 and attenuated phase shift material layer 174. A first optical beam 80 passing through the first mask 17 forms the electric field distribution E17 and ESL. The electric field distributions E17 are formed by openings 184 and print needed patterns on a semiconductor body. However, the electric field ESL is unwanted and forms deleterious patterns on the semiconductor body.
  • Referring to FIG. 7 b, the top figure illustrates the second mask 27 in a top view, whereas a cross-sectional view at line B-B′ is illustrated by the middle figure. The second mask 27 comprises assist holes 284 formed by transparent regions 271 embedded in a background of opaque regions 272. The electric field distribution E27 of a second optical beam 81 passing through the second mask 27 is opposite to the electric field distribution E17 or ESL from the first mask 17. The difference arises due to the phase difference between the optical beams 80 and 81. The optical beam 81 is phase shifted by 180 degrees using elements in the illumination lens system (for example, of FIG. 2).
  • In a lithography system with simultaneous exposure of the two masks, this difference in electric fields results in a destructive interference around the assist holes 284. The resulting electric field is illustrated in FIG. 7 c. The destructive interference removes the electric field distribution ESL associated with the formation of side lobes. The absence of electric field ESL results in printing contact holes without the associated side lobes.
  • Hence, this embodiment offers at least three distinct benefits unavailable using a single mask approach. First, the mask design for side lobe prevention is considerably simplified by avoiding complex structures typically used. Second, further optimization or improvement in contrast may follow by simple optimization of dimensions of the various layers. For example, the dimensions of assist holes 284 may be changed until the best optimum is found. Also, independent control of optical properties of the two light sources enables better co-optimization. For example, higher electric field intensity can be used when smaller assist holes 284 are used. Similarly, this technique allows greater flexibility in the first mask. For example, the phase shift material layer 174 may be less attenuated, for example, have a higher transmission value. Although a higher transmission value helps to improve contrast, it creates increased side lobe formation. By independently controlling the side lobe effect, the first mask may be tailored to improve contrast. Finally, this optimum can be performed on a local level. In other words, the dimensions of the assist holes 284 may be changed based on the features of the contact hole to be formed, but also on the neighboring features that may impact the interference patterns.
  • Embodiments of the present invention include methods of manufacturing semiconductor devices and devices manufactured using the lithography systems of FIG. 2 and mask sets in FIGS. 3 or 4 described herein. FIG. 8 a shows a cross-sectional view of a semiconductor device 70 that has a layer of photoresist 710 disposed thereon that has been patterned using a lithography system of an embodiment of the present invention. FIG. 8 b shows the semiconductor device 70 of FIG. 8 a after the layer of photoresist 710 has been used as a mask to pattern a material layer 720 of the semiconductor device 70.
  • Referring to FIG. 8 a, the semiconductor device 70 includes a workpiece 730. The workpiece 730 may be held to a wafer support 60. The workpiece 730 may include a semiconductor substrate comprising silicon or other semiconductor materials covered by an insulating layer, for example. The workpiece 730 may also include other active components or circuits (not shown). The workpiece 730 may comprise silicon oxide over single-crystal silicon, for example. The workpiece 730 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon. The workpiece 730 may comprise a silicon-on-insulator (SOI) substrate, for example.
  • An embodiment of the present invention describes a method using the lithography systems shown in FIG. 2 and the masks of FIGS. 3 or 5 to fabricate a semiconductor device 70. A sequence of process steps used in the formation of the semiconductor device 70 will now be described. A material layer 720 to be patterned is deposited over the workpiece 730. The material layer 720 may comprise a conductive, insulating, or semiconductive material, or multiple layers or combinations thereof, as examples. In some embodiments, the material layer 720 preferably comprises a semiconductive material such as silicon or polysilicon, for example, although other materials may also be used. In an embodiment where transistors are formed, the material layer 720 may comprise a gate dielectric material comprising an insulator and a gate material formed over the gate dielectric material, for example.
  • A layer of photosensitive material 710 is deposited over the material layer 720. The layer of photosensitive material 710 may comprise a photoresist, for example. The layer of photosensitive material 710 is patterned using the lithography masks 17 and 27 of e.g., FIG. 3 to form a latent pattern comprising a plurality of features 711 and 712 to be formed in the material layer 720. The layer of photosensitive material 710 is developed, as shown in FIG. 8 a.
  • In some embodiments, the layer of photosensitive material 710 is used as a mask while the material layer 720 is etched using an etch process, forming a plurality of features 711 and 712 in the material layer 720, as shown in a cross-sectional view in FIG. 8 b. The layer of photosensitive material 710 is then removed. The plurality of features in the material layer 720 may be contact holes, metal lines, via holes, gate lines, dummy gate lines, features for patterning isolation trench, etc.
  • In other embodiments, the layer of photosensitive material 710 is used as a mask to affect an underlying material layer 720 of the semiconductor device 70, for example. Affecting the material layer 720 may comprise etching away uncovered portions of the material layer 720, implanting a substance such as a dopant or other materials into the uncovered portions of the material layer 720, or forming a second material layer over uncovered portions of the material layer 720, as examples (not shown), although alternatively, the material layer 720 may be affected in other ways. Further processing of the workpiece 730, using conventional semiconductor manufacturing techniques, forms the semiconductor device 70.
  • Features of semiconductor device 70, manufactured using the novel methods described herein, may comprise transistor gates, conductive lines, vias, capacitor plates, and other features, as examples. Embodiments of the present invention may be used to pattern features of memory devices, logic circuitry, and/or power circuitry, as examples, although other types of ICs and devices may also be fabricated using the manufacturing techniques and processes described herein.
  • Although embodiments of this invention have been described using coherent illumination, in some embodiments, some deviation from coherence may be allowed. For example, a partial coherence may also be used in various embodiments.
  • Although embodiments of the present invention and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present invention.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (19)

1. A method of forming a semiconductor device by forming a pattern on a semiconductor body, the method comprising:
simultaneously exposing a first mask and a second mask, the first mask comprising transparent regions bounded by opaque regions, the second mask comprising transparent regions bounded by phase shift regions, wherein boundaries of the pattern are formed by a superposition of a first light exposed by the transparent regions of the first mask with a second light exposed by the phase shift regions of the second mask.
2. The method of claim 1, wherein the pattern is formed by the superposition of electric fields.
3. The method of claim 1, wherein boundaries of the phase shift regions to the transparent regions of the second mask are misaligned relative to the boundaries of the transparent regions to the opaque regions of the first mask.
4. The method of claim 3, wherein the boundaries are misaligned to enhance an image slope along boundaries of the pattern formed.
5. The method of claim 1, wherein a dimension of one of the phase shift regions of the second mask is larger than a corresponding opaque region of the first mask.
6. The method of claim 1, wherein the first mask is a binary mask and the second mask is an attenuated phase shift mask.
7. A method of forming a semiconductor device, the method comprising:
simultaneously exposing a first mask and a binary mask, the first mask comprising target features, and the binary mask comprising assist holes, wherein the assist holes are aligned relative to target features for preventing side lobes formed during exposure of the target features; and
forming the target features by a superposition of a first light exposed by the first mask with a second light exposed by the binary mask, wherein the first light and the second light are phase shifted by 180 degrees.
8. The method of claim 7, wherein dimensions of the assist holes are adjusted to reduce side lobe formation.
9. The method of claim 7, wherein the second light is optimized for side lobe suppression.
10. The method of claim 9, wherein the optimization of the second light includes changing exposure dose, exposure intensity, polarization, and phase difference.
11. A method of forming a semiconductor device, the method comprising:
exposing a first region of a first mask comprising a plurality of first features, the plurality of first features optimally exposed by a first process window;
simultaneously exposing a second region of a second mask comprising a plurality of second features, the plurality of second features optimally exposed by a second process window; and
forming a pattern on a semiconductor body by interference of light from the first mask and the second mask.
12. The method of claim 11, wherein the first features comprise horizontal features and the second features comprise vertical features.
13. The method of claim 11, wherein the first light and the second light are coherent.
14. The method of claim 11, wherein the second mask further comprises a back side polarizing rotation film.
15. The method of claim 14, wherein the back side polarizing rotation film rotates on a plane of polarization by 90 degrees.
16. The method of claim 11, wherein the first features comprise features to be printed on the semiconductor body.
17. The method of claim 16, wherein the second features comprise sub-resolution scatter bars that are not printed.
18. The method of claim 17, wherein the sub-resolution scatter bars are not constrained for space by the first features.
19. The method of claim 17, wherein the sub-resolution scatter bars are embedded in opaque regions.
US11/868,374 2007-10-05 2007-10-05 Lithography systems and methods of manufacturing using thereof Expired - Fee Related US8715909B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/868,374 US8715909B2 (en) 2007-10-05 2007-10-05 Lithography systems and methods of manufacturing using thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/868,374 US8715909B2 (en) 2007-10-05 2007-10-05 Lithography systems and methods of manufacturing using thereof

Publications (2)

Publication Number Publication Date
US20090092926A1 true US20090092926A1 (en) 2009-04-09
US8715909B2 US8715909B2 (en) 2014-05-06

Family

ID=40523560

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/868,374 Expired - Fee Related US8715909B2 (en) 2007-10-05 2007-10-05 Lithography systems and methods of manufacturing using thereof

Country Status (1)

Country Link
US (1) US8715909B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090200546A1 (en) * 2008-02-13 2009-08-13 Sajan Marokkey Test Structures and Methods
US20090297957A1 (en) * 2008-05-27 2009-12-03 Hynix Semiconductor Inc. Exposure mask and method for manufacturing semiconductor device using the same
US20100002214A1 (en) * 2008-07-03 2010-01-07 Advanced Micro Devices, Inc. In-die focus monitoring with binary mask
US8572522B2 (en) 2011-09-16 2013-10-29 Imec Illumination-source shape definition in optical lithography
US10002222B2 (en) * 2016-07-14 2018-06-19 Arm Limited System and method for perforating redundant metal in self-aligned multiple patterning
EP3933503A4 (en) * 2020-02-22 2022-04-13 Changxin Memory Technologies, Inc. Mask pattern applied to semiconductor photolithography process and method for photolithography process
CN114514474A (en) * 2019-09-27 2022-05-17 Asml控股股份有限公司 Lithographic apparatus, metrology system and illumination system with structured illumination

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008364B2 (en) * 2015-02-27 2018-06-26 Kla-Tencor Corporation Alignment of multi-beam patterning tool
US9773671B1 (en) * 2016-05-31 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for mitigating assist feature pattern transfer

Citations (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595295A (en) * 1982-01-06 1986-06-17 International Business Machines Corporation Alignment system for lithographic proximity printing
US4980896A (en) * 1986-04-15 1990-12-25 Hampshire Instruments, Inc. X-ray lithography system
US5194893A (en) * 1991-03-06 1993-03-16 Nikon Corporation Exposure method and projection exposure apparatus
US5415835A (en) * 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5673103A (en) * 1993-09-24 1997-09-30 Kabushiki Kaisha Toshiba Exposure apparatus and method
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US6048647A (en) * 1994-04-05 2000-04-11 Mitsubishi Denki Kabushiki Kaisha Phase shift mask of attenuation type and manufacturing method thereof
US6134008A (en) * 1996-07-15 2000-10-17 Mitsubishi Denki Kabushiki Kaisha Aligner and patterning method using phase shift mask
US6172752B1 (en) * 1996-08-04 2001-01-09 Matsushita Electric Industrial Co., Ltd. Method and apparatus for simultaneously interferometrically measuring optical characteristics in a noncontact manner
US6201609B1 (en) * 1999-08-27 2001-03-13 Zygo Corporation Interferometers utilizing polarization preserving optical systems
US6233044B1 (en) * 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US20010001247A1 (en) * 1999-09-29 2001-05-17 Finders Jozef M. Lithography apparatus
US20010046038A1 (en) * 1998-04-08 2001-11-29 Johannes Catharinus H. Mulkens Lithography apparatus
US20020045136A1 (en) * 2000-09-13 2002-04-18 Michael Fritze Method of design and fabrication of integrated circuits using regular arrays and gratings
US6392740B1 (en) * 1991-09-11 2002-05-21 Nikon Corporation Projection exposure apparatus
US6400794B1 (en) * 1998-05-05 2002-06-04 Carl-Zeiss-Stiftung Illumination system, particularly for EUV lithography
US20030027366A1 (en) * 2001-07-31 2003-02-06 Dulman H. Daniel Microlithographic device, microlithographic assist features, system for forming contacts and other structures, and method of determining mask patterns
US6563564B2 (en) * 2000-06-14 2003-05-13 Asm Lithography B.V. Method of operating an optical imaging system, lithographic projection apparatus, device manufacturing method, and device manufactured thereby
US20030117598A1 (en) * 2001-11-19 2003-06-26 Andrew Case Method and apparatus for exposing photoresists using programmable masks
US20030162102A1 (en) * 2002-02-26 2003-08-28 Numerical Technologies, Inc. Critical dimension control using full phase and trim masks
US6650399B2 (en) * 2001-02-13 2003-11-18 Asml Netherlands B.V. Lithographic projection apparatus, a grating module, a sensor module, a method of measuring wave front aberrations
US6683710B2 (en) * 2001-06-01 2004-01-27 Optical Research Associates Correction of birefringence in cubic crystalline optical systems
US6700646B2 (en) * 2001-02-14 2004-03-02 Asml Netherlands B.V. Lithographic apparatus, method of manufacturing a device, and device manufactured thereby
US6714282B2 (en) * 2000-12-25 2004-03-30 Nikon Corporation Position detecting method optical characteristic measuring method and unit, exposure apparatus, and device manufacturing method
US6717722B2 (en) * 1998-07-29 2004-04-06 Car Zeiss Catadioptric optical system and exposure apparatus having the same
US6724464B2 (en) * 2000-12-27 2004-04-20 Nikon Corporation Position detecting method and unit, optical characteristic measuring method and unit, exposure apparatus, and device manufacturing method
US6753954B2 (en) * 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
US6757066B2 (en) * 2002-01-28 2004-06-29 Zygo Corporation Multiple degree of freedom interferometer
US6791693B2 (en) * 2002-01-28 2004-09-14 Zygo Corporation Multiple-pass interferometry
US6795168B2 (en) * 2002-04-08 2004-09-21 Numerical Technologies, Inc. Method and apparatus for exposing a wafer using multiple masks during an integrated circuit manufacturing process
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6819434B2 (en) * 2002-01-28 2004-11-16 Zygo Corporation Multi-axis interferometer
US6819425B2 (en) * 2000-08-24 2004-11-16 Asml Netherland B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US6847452B2 (en) * 2001-08-02 2005-01-25 Zygo Corporation Passive zero shear interferometers
USH2114H1 (en) * 2002-11-14 2005-02-01 Nikon Corporation Inspection tool for testing and adjusting a projection unit of a lithography system
US6859515B2 (en) * 1998-05-05 2005-02-22 Carl-Zeiss-Stiftung Trading Illumination system, particularly for EUV lithography
US6894762B1 (en) * 2002-09-17 2005-05-17 Lsi Logic Corporation Dual source lithography for direct write application
US20050130340A1 (en) * 2003-12-12 2005-06-16 Claude Beaulieu Method and apparatus for inducing an index of refraction change on a substrate sensitive to electromagnetic radiation
US20050136340A1 (en) * 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US20050151951A1 (en) * 2004-01-05 2005-07-14 Zygo Corporation Stage alignment in lithography tools
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6989546B2 (en) * 1998-08-19 2006-01-24 Ims-Innenmikrofabrikations Systeme Gmbh Particle multibeam lithography
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7030994B2 (en) * 2002-02-12 2006-04-18 Zygo Corporation Method and apparatus to measure fiber optic pickup errors in interferometry systems
US7046370B2 (en) * 2002-06-24 2006-05-16 Zygo Corporation Interferometer with reduced shear
US7057739B2 (en) * 2002-02-12 2006-06-06 Zygo Corporation Separated beam multiple degree of freedom interferometer
US20060158633A1 (en) * 2005-01-19 2006-07-20 Micron Technology, Inc. Optimized optical lithography illumination source for use during the manufacture of a semiconductor device
US7084960B2 (en) * 2004-03-29 2006-08-01 Intel Corporation Lithography using controlled polarization
US20060203214A1 (en) * 2003-10-28 2006-09-14 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7138212B2 (en) * 2002-11-12 2006-11-21 Asml Masktools B.V. Method and apparatus for performing model-based layout conversion for use with dipole illumination
US20060268248A1 (en) * 2005-05-20 2006-11-30 Christoph Noelscher Lithographic projection apparatus and method of exposing a semiconductor wafer with a pattern from a mask
US20070008547A1 (en) * 2002-07-08 2007-01-11 Hill Henry A Cyclic Error Compensation in Interferometry Systems
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7244665B2 (en) * 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7289212B2 (en) * 2000-08-24 2007-10-30 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufacturing thereby
US20070273854A1 (en) * 2006-02-16 2007-11-29 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7321433B2 (en) * 2002-07-12 2008-01-22 Dansk Fundamental Metrologi A/S Method and apparatus for optically measuring the topography of nearly planar periodic structures
US7321432B2 (en) * 2002-09-09 2008-01-22 Zygo Corporation Measurement and compensation of errors in interferometers
US20080119048A1 (en) * 2006-11-21 2008-05-22 Chandrasekhar Sarma Lithography masks and methods of manufacture thereof
US20080225260A1 (en) * 2007-03-15 2008-09-18 Asml Netherlands B.V. Illuminator for a lithographic apparatus and method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0949541B1 (en) 1998-04-08 2006-06-07 ASML Netherlands B.V. Lithography apparatus
EP1037117A3 (en) 1999-03-08 2003-11-12 ASML Netherlands B.V. Off-axis levelling in lithographic projection apparatus
EP1164436A3 (en) 2000-06-14 2005-01-05 ASML Netherlands B.V. Operation of a lithographic projection apparatus
EP1182509B1 (en) 2000-08-24 2009-04-08 ASML Netherlands B.V. Lithographic apparatus, calibration method thereof and device manufacturing method
EP1231513A1 (en) 2001-02-08 2002-08-14 Asm Lithography B.V. Lithographic projection apparatus with adjustable focal surface
EP1231517A1 (en) 2001-02-13 2002-08-14 ASML Netherlands B.V. Lithographic projection apparatus and method of measuring wave front aberrations
EP1235114A1 (en) 2001-02-14 2002-08-28 ASML Netherlands B.V. Lithographic apparatus and method of manufacturing a device
TW530336B (en) 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US6806962B2 (en) 2001-08-23 2004-10-19 Zygo Corporation Tilted interferometer

Patent Citations (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595295A (en) * 1982-01-06 1986-06-17 International Business Machines Corporation Alignment system for lithographic proximity printing
US4980896A (en) * 1986-04-15 1990-12-25 Hampshire Instruments, Inc. X-ray lithography system
US5194893A (en) * 1991-03-06 1993-03-16 Nikon Corporation Exposure method and projection exposure apparatus
US6392740B1 (en) * 1991-09-11 2002-05-21 Nikon Corporation Projection exposure apparatus
US5415835A (en) * 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5673103A (en) * 1993-09-24 1997-09-30 Kabushiki Kaisha Toshiba Exposure apparatus and method
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US6048647A (en) * 1994-04-05 2000-04-11 Mitsubishi Denki Kabushiki Kaisha Phase shift mask of attenuation type and manufacturing method thereof
US6134008A (en) * 1996-07-15 2000-10-17 Mitsubishi Denki Kabushiki Kaisha Aligner and patterning method using phase shift mask
US6172752B1 (en) * 1996-08-04 2001-01-09 Matsushita Electric Industrial Co., Ltd. Method and apparatus for simultaneously interferometrically measuring optical characteristics in a noncontact manner
US6233044B1 (en) * 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US7061583B2 (en) * 1998-04-08 2006-06-13 Asml Netherlands B.V. Lithography apparatus
US20010046038A1 (en) * 1998-04-08 2001-11-29 Johannes Catharinus H. Mulkens Lithography apparatus
US6452662B2 (en) * 1998-04-08 2002-09-17 Asml Netherlands B.V. Lithography apparatus
US20020167653A1 (en) * 1998-04-08 2002-11-14 Asml Netherlands B.V. Lithography apparatus
US6400794B1 (en) * 1998-05-05 2002-06-04 Carl-Zeiss-Stiftung Illumination system, particularly for EUV lithography
US6859515B2 (en) * 1998-05-05 2005-02-22 Carl-Zeiss-Stiftung Trading Illumination system, particularly for EUV lithography
US7148495B2 (en) * 1998-05-05 2006-12-12 Carl Zeiss Smt Ag Illumination system, particularly for EUV lithography
US6985286B2 (en) * 1998-07-29 2006-01-10 Carl Zeiss Smt Ag Catadioptric optical system and exposure apparatus having the same
US6717722B2 (en) * 1998-07-29 2004-04-06 Car Zeiss Catadioptric optical system and exposure apparatus having the same
US6989546B2 (en) * 1998-08-19 2006-01-24 Ims-Innenmikrofabrikations Systeme Gmbh Particle multibeam lithography
US6201609B1 (en) * 1999-08-27 2001-03-13 Zygo Corporation Interferometers utilizing polarization preserving optical systems
US20010001247A1 (en) * 1999-09-29 2001-05-17 Finders Jozef M. Lithography apparatus
US6563564B2 (en) * 2000-06-14 2003-05-13 Asm Lithography B.V. Method of operating an optical imaging system, lithographic projection apparatus, device manufacturing method, and device manufactured thereby
US20050136340A1 (en) * 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US7289212B2 (en) * 2000-08-24 2007-10-30 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufacturing thereby
US6819425B2 (en) * 2000-08-24 2004-11-16 Asml Netherland B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US20020045136A1 (en) * 2000-09-13 2002-04-18 Michael Fritze Method of design and fabrication of integrated circuits using regular arrays and gratings
US6753954B2 (en) * 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6714282B2 (en) * 2000-12-25 2004-03-30 Nikon Corporation Position detecting method optical characteristic measuring method and unit, exposure apparatus, and device manufacturing method
US6724464B2 (en) * 2000-12-27 2004-04-20 Nikon Corporation Position detecting method and unit, optical characteristic measuring method and unit, exposure apparatus, and device manufacturing method
US6650399B2 (en) * 2001-02-13 2003-11-18 Asml Netherlands B.V. Lithographic projection apparatus, a grating module, a sensor module, a method of measuring wave front aberrations
US6700646B2 (en) * 2001-02-14 2004-03-02 Asml Netherlands B.V. Lithographic apparatus, method of manufacturing a device, and device manufactured thereby
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
US6683710B2 (en) * 2001-06-01 2004-01-27 Optical Research Associates Correction of birefringence in cubic crystalline optical systems
US6947192B2 (en) * 2001-06-01 2005-09-20 Asml Netherlands B.V. Correction of birefringence in cubic crystalline optical systems
US20030027366A1 (en) * 2001-07-31 2003-02-06 Dulman H. Daniel Microlithographic device, microlithographic assist features, system for forming contacts and other structures, and method of determining mask patterns
US6847452B2 (en) * 2001-08-02 2005-01-25 Zygo Corporation Passive zero shear interferometers
US20030117598A1 (en) * 2001-11-19 2003-06-26 Andrew Case Method and apparatus for exposing photoresists using programmable masks
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6757066B2 (en) * 2002-01-28 2004-06-29 Zygo Corporation Multiple degree of freedom interferometer
US6791693B2 (en) * 2002-01-28 2004-09-14 Zygo Corporation Multiple-pass interferometry
US6819434B2 (en) * 2002-01-28 2004-11-16 Zygo Corporation Multi-axis interferometer
US7057739B2 (en) * 2002-02-12 2006-06-06 Zygo Corporation Separated beam multiple degree of freedom interferometer
US7030994B2 (en) * 2002-02-12 2006-04-18 Zygo Corporation Method and apparatus to measure fiber optic pickup errors in interferometry systems
US20030162102A1 (en) * 2002-02-26 2003-08-28 Numerical Technologies, Inc. Critical dimension control using full phase and trim masks
US6795168B2 (en) * 2002-04-08 2004-09-21 Numerical Technologies, Inc. Method and apparatus for exposing a wafer using multiple masks during an integrated circuit manufacturing process
US7046370B2 (en) * 2002-06-24 2006-05-16 Zygo Corporation Interferometer with reduced shear
US20070008547A1 (en) * 2002-07-08 2007-01-11 Hill Henry A Cyclic Error Compensation in Interferometry Systems
US7321433B2 (en) * 2002-07-12 2008-01-22 Dansk Fundamental Metrologi A/S Method and apparatus for optically measuring the topography of nearly planar periodic structures
US7321432B2 (en) * 2002-09-09 2008-01-22 Zygo Corporation Measurement and compensation of errors in interferometers
US6894762B1 (en) * 2002-09-17 2005-05-17 Lsi Logic Corporation Dual source lithography for direct write application
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US7138212B2 (en) * 2002-11-12 2006-11-21 Asml Masktools B.V. Method and apparatus for performing model-based layout conversion for use with dipole illumination
USH2114H1 (en) * 2002-11-14 2005-02-01 Nikon Corporation Inspection tool for testing and adjusting a projection unit of a lithography system
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US20060203214A1 (en) * 2003-10-28 2006-09-14 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US20050130340A1 (en) * 2003-12-12 2005-06-16 Claude Beaulieu Method and apparatus for inducing an index of refraction change on a substrate sensitive to electromagnetic radiation
US20050151951A1 (en) * 2004-01-05 2005-07-14 Zygo Corporation Stage alignment in lithography tools
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7084960B2 (en) * 2004-03-29 2006-08-01 Intel Corporation Lithography using controlled polarization
US7244665B2 (en) * 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US20060158633A1 (en) * 2005-01-19 2006-07-20 Micron Technology, Inc. Optimized optical lithography illumination source for use during the manufacture of a semiconductor device
US20060268248A1 (en) * 2005-05-20 2006-11-30 Christoph Noelscher Lithographic projection apparatus and method of exposing a semiconductor wafer with a pattern from a mask
US20070273854A1 (en) * 2006-02-16 2007-11-29 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US20080119048A1 (en) * 2006-11-21 2008-05-22 Chandrasekhar Sarma Lithography masks and methods of manufacture thereof
US20080225260A1 (en) * 2007-03-15 2008-09-18 Asml Netherlands B.V. Illuminator for a lithographic apparatus and method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090200546A1 (en) * 2008-02-13 2009-08-13 Sajan Marokkey Test Structures and Methods
US20100239964A1 (en) * 2008-02-13 2010-09-23 Sajan Marokkey Test Structures and Methods
US7820458B2 (en) * 2008-02-13 2010-10-26 Infineon Technologies Ag Test structures and methods
US8450122B2 (en) 2008-02-13 2013-05-28 Infineon Technologies Ag Test structures and methods
US8828748B2 (en) 2008-02-13 2014-09-09 Infineon Technologies Ag Test structures and methods
US20090297957A1 (en) * 2008-05-27 2009-12-03 Hynix Semiconductor Inc. Exposure mask and method for manufacturing semiconductor device using the same
US20100002214A1 (en) * 2008-07-03 2010-01-07 Advanced Micro Devices, Inc. In-die focus monitoring with binary mask
US8009274B2 (en) * 2008-07-03 2011-08-30 Advanced Micro Devices, Inc. In-die focus monitoring with binary mask
US8572522B2 (en) 2011-09-16 2013-10-29 Imec Illumination-source shape definition in optical lithography
US10002222B2 (en) * 2016-07-14 2018-06-19 Arm Limited System and method for perforating redundant metal in self-aligned multiple patterning
CN114514474A (en) * 2019-09-27 2022-05-17 Asml控股股份有限公司 Lithographic apparatus, metrology system and illumination system with structured illumination
EP3933503A4 (en) * 2020-02-22 2022-04-13 Changxin Memory Technologies, Inc. Mask pattern applied to semiconductor photolithography process and method for photolithography process

Also Published As

Publication number Publication date
US8715909B2 (en) 2014-05-06

Similar Documents

Publication Publication Date Title
US8715909B2 (en) Lithography systems and methods of manufacturing using thereof
US7790337B2 (en) Photomask, pattern formation method using the same and mask data creation method
US7583360B2 (en) Method for photolithography using multiple illuminations and a single fine feature mask
US7569312B2 (en) Mask data creation method
US5863677A (en) Aligner and patterning method using phase shift mask
US7897298B2 (en) Photomask, photomask fabrication method, pattern formation method using the photomask and mask data creation method
US7629087B2 (en) Photomask, method of making a photomask and photolithography method and system using the same
KR20080109559A (en) Mask providing locally modified illumination and manufacturing method therefor
US5642183A (en) Spatial filter used in a reduction-type projection printing apparatus
EP1752825B1 (en) Lithography Masks and Methods
WO2001037053A1 (en) Imaging method using phase boundary masking with modified illumination
JP2009043789A (en) Pattern forming method, and mask
JP2001272764A (en) Photomask for projection exposure and for projection exposure method using the photomask
KR100549319B1 (en) A Novel Chromeless Alternating Reticle For Producing Semiconductor Device Features
US20090091729A1 (en) Lithography Systems and Methods of Manufacturing Using Thereof
US7732106B2 (en) Methods for etching devices used in lithography
JP2007018005A (en) Photomask
JP2006210928A (en) Structure for projecting pattern on image surface
JP3759138B2 (en) Photo mask
JP2006053589A (en) Pattern forming method
JP3133618B2 (en) Spatial filter used in reduction projection exposure apparatus
US7312020B2 (en) Lithography method
US6406819B1 (en) Method for selective PSM with assist OPC
US20060050389A1 (en) Polarizing reticle
JPH10115932A (en) Exposure method using phase shift mask

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GUTMANN, ALOIS;HAFFNER, HENNING;MAROKKEY, SAJAN;AND OTHERS;REEL/FRAME:020095/0783;SIGNING DATES FROM 20070917 TO 20070918

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GUTMANN, ALOIS;HAFFNER, HENNING;MAROKKEY, SAJAN;AND OTHERS;SIGNING DATES FROM 20070917 TO 20070918;REEL/FRAME:020095/0783

AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:020130/0145

Effective date: 20071119

Owner name: INFINEON TECHNOLOGIES AG,GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:020130/0145

Effective date: 20071119

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KOEHLE, RODERICK;REEL/FRAME:035128/0072

Effective date: 20071002

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20220506