US20060068331A1 - Exposure method - Google Patents

Exposure method Download PDF

Info

Publication number
US20060068331A1
US20060068331A1 US11/274,676 US27467605A US2006068331A1 US 20060068331 A1 US20060068331 A1 US 20060068331A1 US 27467605 A US27467605 A US 27467605A US 2006068331 A1 US2006068331 A1 US 2006068331A1
Authority
US
United States
Prior art keywords
pattern
contact
mask
size
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/274,676
Inventor
Miyoko Kawashima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/274,676 priority Critical patent/US20060068331A1/en
Publication of US20060068331A1 publication Critical patent/US20060068331A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Definitions

  • the present invention relates generally to a mask pattern and a method for setting an optimal illumination condition to the mask pattern, and more particularly to a mask pattern and a method for setting an illumination condition suitable of a method of exposing a mask that arranges a desired pattern and an auxiliary or dummy pattern (these terms are used interchangeably in this application) smaller than the desired pattern, by illuminating the mask (reticle) using plural kinds of light so as to resolve the desired pattern and restrain the auxiliary pattern from resolving on an object to be exposed via a projection optical system.
  • the projection exposure apparatus is used in manufacturing devices, such as ICs, LSIs and liquid crystal panels, using the photolithography.
  • the projection exposure apparatus has generally shortened its exposure wavelength and enlarged a numerical aperture (“NA”) in the projection optical system.
  • NA numerical aperture
  • the resolving power improves with a shortened wavelength of exposure light and increased NA
  • the projection exposure apparatus from its nature, resolves some patterns relatively easily but cannot resolve other patterns easily.
  • a line pattern more easily resolves than a contact-hole pattern, and thus a width in the contact-hole pattern is larger than that of the line pattern in a semiconductor chip. It is therefore an issue in fine processing in the photolithography to make a fine contact-hole pattern.
  • exposure cannot easily obtain the resolving power, depth of focus (“DOF”), and exposure dose tolerance for contact holes.
  • the contact holes have a much larger mask error enhancement factor (“MEF”) that is a degree to emphasize a mask error, than the line pattern, which hinders fine processing.
  • MEF mask error enhancement factor
  • Japanese Patent No. 3,119,217 (corresponding to U.S. Pat. No. 6,150,059) as one solution for this problem discloses a method to improve resolving power and DOF to some extent by forming, among openings, auxiliary openings so dimensioned that they are not resolved by exposure.
  • Japanese Laid-Open Patent Application No. 2002-122976 (corresponding to U.S. Patent Application Publication No. 2002-045136) provides more concrete embodiments to this method.
  • the effective light source has a shape shown in FIG. 1 or 4 .
  • An exposure method of still another aspect of the present invention includes the step of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and the step of illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein 0.7 ⁇ d/t ⁇ 0.80 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
  • An exposure method of another aspect of the present invention include the step of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and the step of illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein 0.75 ⁇ d/t ⁇ 0.90 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
  • a condition 0.85L ⁇ t ⁇ 1.15L may be met where L is a hole diameter of the contact-hole pattern.
  • a device fabricating method of still another aspect of the present invention includes the step of exposing an object using the above exposure method, and performing a predetermined process for the exposed object. Claims for a device fabricating method that performs operations similar to that of the above exposure apparatus cover devices as intermediate and final products. Such devices include semiconductor chips like an LSI and VLSI, CCDs, LCDs, magnetic sensors, thin film magnetic heads, and the like.
  • FIG. 1 is a schematic plane view of an effective light source shape used for an exposure method according to the present invention.
  • FIG. 2 is a schematic plane view of a mask pattern used for the inventive exposure method.
  • FIG. 3 is a desired image pattern when the mask pattern shown in FIG. 2 images.
  • FIG. 4 is a schematic plane view as a variation of an effective light-source shape shown in FIG. 1 .
  • FIG. 5 is a schematic plane view of one example of an effective light-source shape unsuitable for the inventive exposure method.
  • FIG. 6 is a schematic plane view of another example of an effective light-source shape unsuitable for the inventive exposure method.
  • FIG. 7 is a table showing a relationship between pattern bias and critical dimension error deviation.
  • FIG. 8 is a table showing a relationship between pattern bias and mask enhancement factor.
  • FIG. 9 is a table showing a relationship between pattern bias and depth of focus.
  • FIG. 10 is a graph for showing a relationship between a half pitch in a mask pattern and the mask enhancement factor.
  • FIG. 11 is a graph for showing a relationship between the mask enhancement factor and effective light-source parameters.
  • FIG. 12 is a graph for showing a relationship between the depth of focus and effective light-source parameters.
  • FIG. 13 is a graph for showing a relationship between the mask enhancement factor and effective light-source parameters.
  • FIG. 14 is a graph for showing a relationship between the depth of focus and effective light-source parameters.
  • FIG. 15 is a graph for showing a relationship between a depth of focus and effective light-source parameters when exposure dose changes by 5%.
  • FIG. 16 is an exposure test result of one embodiment according to the present invention.
  • FIG. 17 is a process window showing a relationship between the exposure dose and defocus amount.
  • FIG. 18 is a schematic block diagram of an exposure apparatus used for one embodiment according to the present invention.
  • FIG. 19 is a flowchart for explaining a device fabricating method using the exposure apparatus of the present invention.
  • FIG. 20 is a detailed flowchart for step 4 shown in FIG. 19 .
  • the parameters include a size or hole diameter L of the contact-hole pattern to be finally formed on an image plane, a size “t” of each hole in the mask pattern to be resolved, a size “d” of a dummy or auxiliary opening not to be resolved, a parameter a in an effective light source shown in FIG. 1 , which will be described later, i.e., a ratio of a NA of an illumination optical system to the NA of the projection optical system, and sizes A and B of central light-shielding part.
  • FIG. 3 shows an exemplary desired pattern to be resolved.
  • a size of the opening is 120 nm or smaller.
  • the mask pattern size has four or five times as large as the desired size according to the magnification of the exposure apparatus, but this embodiment converts the size into that on the image plane or without taking the magnification into consideration.
  • a binary mask has a mask pattern and light-shielding part around the mask pattern, as shown in FIG. 2 . While the following description uses the binary mask as an exemplary mask, the mask may use an attenuated phase shift mask that allows light-shielding part to slightly transmit the light and shifts its light phase by 180 degrees from that of opening part.
  • the mask pattern includes a desired pattern shown in FIG. 3 and unresolved auxiliary openings around the desired pattern.
  • the auxiliary openings are positioned determined such that the desired pattern and auxiliary openings form periodicity.
  • the desired pattern and auxiliary opening are illustrated as square shapes in the drawing, they are not limited to a square shape and may use a octagon that has been formed by chamfering corners of the square, a circle, and any other shape.
  • an opening size of the pattern is set to “t” for a contact-hole size to be resolved, and “d” for an auxiliary opening not to be resolved.
  • the illumination system in the exposure apparatus forms an effective light source as shown in FIG. 1 .
  • black part is light-shielding part while white part is light-transmitting part.
  • a shape of the light source is determined by “ ⁇ ” as a ratio of a NA of an illumination optical system to the NA of the projection optical system, and sizes A and B of the central light-shielding part.
  • a shape of the effective light source is not limited to FIG. 1 , but may use a shape shown in FIG. 4 that notches corners or a shape that allows the light to slightly cross the boarder of the light-shielding area.
  • the pattern has a size of 120 nm shown in FIG. 3 , and diameters of nine holes on the image plane exposed by the exposure apparatus have been investigated. The exposure dose this time is determined so that the lower left hole shown in FIG. 3 has a desired size of 120 nm.
  • a deviation of the size of CD of the other eight holes from 120 nm (L:desired size) is calculated as a ratio of a difference (%) by dividing the difference by the desired size.
  • MEF is a standardized index formed by dividing a difference between a size (CD) of a pattern on the image plane without any error in a mask pattern and a size (CD′) of a pattern on the image plane with a certain error (CD_mask_error) in the mask pattern, by the error amount applied to the mask pattern.
  • An error amount is given in longitudinal and lateral directions to the mask pattern while a size of the nine major openings is made equal to that of auxiliary openings, and the image includes nine different sizes in the longitudinal and lateral directions.
  • MEF was calculated by dividing the maximum size change by the error amount.
  • a size change in the lateral direction indicates a size change of the major opening “t” (including 100%, 105%, 110% and 115% of the desired size from the left) and a size change in the longitudinal direction indicates a size change of the auxiliary opening “d” (including 75%, 80%, 85%, 90% and 95% of the desired size from the bottom).
  • the CD deviation result shown in FIG. 7 the CD deviation becomes smaller with the larger size d of the auxiliary opening and the larger size t of the major opening.
  • the smaller CD deviation is desirable.
  • the MEF result shown in FIG. 8 the MEF becomes smaller with the smaller size d of the auxiliary opening and a larger difference in size t of the major opening.
  • the smaller MEF is desirable.
  • the DOF becomes larger with the larger size d of the auxiliary opening close to the size t of the major opening.
  • the larger DOF is desirable. Therefore, MEF and CD deviation or DOF show preferable results in reverse directions.
  • the CD deviation may be corrected by expecting the size change on the mask pattern in advance, but the DOF and mask error sensitivity or MEF are both important factors and cannot sacrifice the other.
  • a MEF value is at most 3.2 or smaller in the varying auxiliary opening size d and major opening size t. Since the contact-hole process may permit a MEF value of 3.5 or smaller, the DOF takes precedence such that a ratio d/t between the auxiliary-opening size d and the major-opening size t meets 0.75 ⁇ d/t ⁇ 0.9.
  • the upper limit is the maximum auxiliary-opening size such that the auxiliary opening does not appear on the image plane, and this value slightly changes according to types of resist.
  • values of the MEF and DOF vary although relative relationships between d/t as a ratio of opening sizes and MEF, and d/t and DOF do not change.
  • this range of d/t is valid under the effective light-source shape like in FIG. 1 because the MEF has a large value and appropriate DOF is unavailable for any d/t without the effective light-source shape like FIG. 1 .
  • This range of d/t is particularly effective to fine hole whose hole diameter meets k 1 ⁇ 0.4.
  • the way of actually setting d and t depends upon a type of resist.
  • the conventional exposure result empirically tells that the resist of exclusive use for holes provides a better result when the major-opening size t is close to the desired pattern size, while the resist used for line and space provides a better result when the major-opening size t is 1.1 times as large as that of the desired pattern size.
  • the major-opening size t is 0.8 to 1.15 times as large as that of the desired size L.
  • an actual opening size on the mask corresponds to this size multiplied by a reciprocal of the magnification of the projection optical system.
  • the parameters of effective light-source shape are optimized by setting the auxiliary-opening size d to be 80% of the desired size, the major-opening size t to be 100% of the desired size, and a ratio d/t to be 0.8.
  • Usual contact-holes include only one type of hole diameter or plural types of hole diameters within a limited range of hole diameters. The number of holes different in size, if any, is small.
  • the pattern includes various intervals, e.g., an interval that has the same as the hole diameter to form one-to-one arrangement, an interval smaller than the hole diameter, an interval twice as long as the hole diameter, and an interval three times or more as long as the hole diameter or enough long to isolate the pattern.
  • the typical contact-hole pattern includes only one type of hole diameter and various intervals or pitches between holes.
  • the instant embodiment investigates the MEF with only one type of hole diameter and variable pitches or intervals between holes in order to recognize general tendency of MEF.
  • the fact that the MEF becomes the largest with the minimum pitch means that only the MEF with the minimum pitch on a mask may be considered in order to optimize the effective light-source parameters ⁇ , A and B and thereby minimize the MEF, since the MEF is small with the minimum pitch and smaller with the larger pitch.
  • a pattern is used with the minimum pitch for optimization, which equalizes the half pitch to the hole diameter or has duty of 1 between the hole diameter and the interval.
  • FIGS. 11 and 12 show results of MEF and DOF, respectively.
  • the result of MEF in FIG. 11 shows that the MEF becomes small as the effective light-source parameter B is small. As discussed, the smaller MEF is preferable.
  • the result of DOF in FIG. 12 shows that the DOF becomes large as the effective light-source parameter B is large. As discussed, the larger DOF is preferable.
  • FIGS. 13 and 14 show MEF and DOF with A fixed to 0.70, and B varied as 0.45, 0.5, 0.55 and 0.6.
  • the abscissa axis is ⁇ , and the ordinate axis is MEF or DOF in FIGS. 13 and 14 .
  • a color version of FIGS. 13 and 14 is attached to this application for better understanding.
  • large ⁇ includes more incident light component that is effective to fine resolution and provide contrast necessary for a fine pattern.
  • the high contrast affects a resist image by only an error amount in the mask pattern, whereas the low contrast expands the error in the mask pattern and transfers it to the resist. Therefore, it is expected that larger ⁇ provides better contrast and is less influential on the MEF:
  • the result in FIG. 13 shows that larger a reduces MEF.
  • MEF becomes minimum with certain ⁇ , A and B.
  • FIG. 14 appears to demonstrate this tendency even in the inventive method.
  • Smaller ⁇ expands the DOF, but deteriorates the MEF and the resolution of a fine pattern near the resolution limit. Therefore, the necessary DOF should be secured without deteriorating the MEF and the resolution of a fine pattern.
  • the larger exposure dose tolerance is practically preferable, because the exposure dose tolerance means that the resolved contact-hole size does not change even with a slight error in the exposure dose and permits an error of the exposure dose. Therefore, constant resolving power and resolved hole size should be secured within a certain exposure dose range. Accordingly, a change of DOF is investigated when the exposure dose changes by ⁇ 5%, and the exposure light-source parameters in the illumination system are optimized so as to reduce the reduction amount.
  • DOF is investigated with the optimal exposure dose, and a reduction of the DOF is investigated when the exposure dose changes by +5% compared with the former.
  • DOF is defined as the minimum defocus amount value when the size of the nine holes changes by 10% from the size at the best focus.
  • FIG. 15 shows the result. DOF reduces by about 5% in the holes of 120 nm when the exposure does changes by ⁇ 5% even when the effective light-source parameter values slightly change in the illumination system. The smaller hole size would start dependency upon the effective light-source parameter values.
  • a color version of FIG. 15 is attached to the instant application for better understanding.
  • a value of the effective light-source parameter A is important for hole size to be resolved in the fine hole pattern with k 1 is 0.4 or less.
  • B may be a constant value near 0.55.
  • ⁇ excessively close to A would decrease the light-source area on the X-axis and Y-axis and deteriorate the mask error sensitivity. Therefore, a difference between ⁇ and A should be 0.1 or larger, i.e., ⁇ A+0.1, so as not to prevent the MEF from deteriorating.
  • FIG. 18 is a schematic block diagram of the exposure apparatus.
  • the exposure apparatus includes, as shown in FIG. 18 , an illumination apparatus 100 , a mask 200 , a projection optical system 300 , and a wafer 400 .
  • the exposure apparatus of the instant embodiment is an exposure apparatus that exposes a circuit pattern created on the mask 200 onto the wafer 400 in a step-and-scan manner.
  • the illumination apparatus 100 illuminates the binary mask 200 that forms a contact-hole pattern, and includes a light source section 110 and an illumination optical system 120 .
  • the light source section 110 includes KrF excimer laser with a wavelength of about 248 nm as a light source and a necessary beam shaping system.
  • the illumination optical system 120 is an optical system to illuminates the mask 200 , and includes a condenser optical system 130 , a fly-eye lens 140 , an aperture stop 150 , and a condenser lens 160 in the instant embodiment.
  • the condenser optical system 130 includes one or more mirrors and lenses necessary for deflection, and maintains the light that has passed them substantially parallel to any lens element at the center and peripheral of the fly-eye lens 140 .
  • the condenser optical system 130 includes an exposure-dose adjustment part 132 that may change the exposure dose of the illumination light to the binary mask 200 for each illumination.
  • the exposure-dose adjustment part 132 adjusts the exposure dose based on contrast necessary for the desired contact holes on the mask 200 and/or wafer 400 .
  • the fly-eye lens 140 makes uniform the illumination light to the mask 200 , and emits the light while converting an angular distribution of the incident light into a positional distribution.
  • the aperture stop 150 is provided just after the exit surface of the fly-eye lens 140 , which has a fixed shape and diameter. This aperture stop 150 has an aperture shape shown in FIG. 1 or 4 to illuminate the mask 200 , and thus restrains the exposure dose to the dummy resolution pattern while enhancing the exposure dose to the desired contact-hole pattern.
  • the aperture stop 150 is located at a position conjugate with a pupil surface 320 in the projection optical system 300 , and the aperture shape of the aperture stop 150 corresponds to the effective light source shape on the pupil surface 320 in the projection optical system 300 .
  • the projection optical system 300 images diffracted light through the contact-hole pattern formed on the mask 200 onto the wafer 400 .
  • 320 is the pupil surface in this projection optical system 300 .
  • the projection optical system 300 of the instant embodiment has an NA of 0.73. Photoresist is applied onto the wafer 400 .
  • the exposure apparatus obtains a size of a desired hole by reading out a barcode on the mask 200 , and automatically sets an optimal effective light source in the illumination optical system.
  • the effective light source in the illumination system may be set by inputting effective light-source parameters into the exposure apparatus.
  • the exposure apparatus may output optimal parameter values with warning on its console screen.
  • an error message emits so as not to enter the input value and prompt to input the optimal parameter value.
  • the instant embodiment sets effective ⁇ of the illumination light to 0.85, the lengths A and B in the light-shielding part to 0.7 and 0.5.
  • the exposure-dose adjustment part 132 sets a light intensity ratio to 1:1 between the illumination light that has an intensity distribution peak of the light near the optical axis and the illumination light that has an intensity distribution peak near at diagonals, adjusting the exposure dose evenly.
  • the exposure apparatus exposes the binary mask 200 after setting a wafer plate onto which an anti-reflection film AR3 (60 nm) and photoresist TDK-DP746HC with a thickness of 350 nm are applied. Then, the post exposure bake (“PEB”) continues for 90 seconds at temperature of 140° C., followed by the development for 60 seconds at 23° C. using developer of NMD-3. The exposure sets the exposure dose every 5 mJ/cm 2 from 250 mJ/cm 2 to 400 mJ/cm 2 and varies it in a focus direction.
  • PEB post exposure bake
  • FIG. 16 shows an exposure result with the exposure dose of 340 mJ/cm 2 .
  • An exposure result with other exposure dose is omitted.
  • the exposure result changes a focus position at a pitch of 0.05 ⁇ m with a defocus amount of ⁇ 0.25 ⁇ m to 0.30 ⁇ m in a lateral direction.
  • the exposure result at 0.30 ⁇ m shows that part of holes does not perforate through the resist while the resist shows successful perforation with other defocus amounts of ⁇ 0.25 ⁇ m to 0.25 ⁇ m without hole size reduction.
  • the hole size deviates within ⁇ 10% of a certain size with the defocus amount from 0.15 ⁇ m to 0.20 ⁇ m. In other words, each hole is dimensioned from 108 nm to 132 nm for a certain size of 120 nm. DOF of 0.35 ⁇ m is obtained.
  • FIG. 17 shows a process window when the exposure dose and defocus amount change, in which the abscissa axis indicates a change of focus and the ordinate axis indicates a change of exposure dose.
  • FIG. 17 uses ⁇ to show a successful perforation of the hole down to the wafer substrate without hole size reduction, and ⁇ to show that an observed value of the hole size is within ⁇ 10% of the certain size. Strict criteria applied because ⁇ is not given when the hole perforates but with hole size reduction and ⁇ is not given when even one hole among the nine holes deviates from the predetermined range by 1 nm. Nevertheless, the exposure dose tolerance of 20 mJ/cm 2 provides about 0.3 DOF.
  • FIG. 19 is a flowchart for explaining how to fabricate devices (i.e., semiconductor chips such as IC and LSI, LCDs, CCDs, and the like).
  • a description will be given of the fabrication of a semiconductor chip as an example.
  • Step 1 circuit design
  • Step 2 mask fabrication
  • Step 3 wafer making
  • Step 4 wafer process
  • a pretreatment forms actual circuitry on the wafer through lithography using the mask and wafer.
  • Step 5 (assembly), which is also referred to as a post-treatment, forms into a semiconductor chip the wafer formed in Step 4 and includes an assembly step (e.g., dicing, bonding), a packaging step (chip sealing), and the like.
  • Step 6 (inspection) performs various tests for the semiconductor device made in Step 5 , such as a validity test and a durability test. Through these steps, a semiconductor device is finished and shipped (Step 7 ).
  • FIG. 20 is a detailed flowchart of the wafer process in Step 4 .
  • Step 11 oxidation
  • Step 12 CVD
  • Step 13 electrode formation
  • Step 14 ion implantation
  • Step 15 resist process
  • Step 16 exposure
  • Step 17 development
  • Step 18 etching
  • Step 19 resist stripping

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

An exposure method includes the steps of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein the following conditions are met A=−1.7k1+C1, 1.2≦C1≦1.3, 0.5≦B≦0.55 and B≦A−0.1, 0.80≦σ≦0.9 and σ≧A+0.1, k1=(L/λ) NA, where k1 is resolving power, L is a hole diameter of the contact-hole pattern, λ is a wavelength for exposure, NA is a numerical aperture of the projection optical system, σ is a ratio of a numerical aperture of an illumination optical system to the numerical aperture of the projection optical system, A and B are distances from two orthogonal axes to a boarder of a light-shielding part in an effective light source for illumination of plural kinds of light, the light-shielding part being symmetrical with respect to the two orthogonal axes.

Description

  • This application claims the right of priority under 35 U.S.C. § 119 based on Japanese Patent Application No. 2002-164978, filed on Jun. 5, 2002, which is hereby incorporated by reference herein in its entirety as if fully set forth herein.
  • This is a continuation of co-pending application Ser. No. 10/456,387, filed on Jun. 5, 2003, which is hereby incorporated by reference herein in its entirety as if fully set forth herein.
  • BACKGROUND OF THE INVENTION
  • The present invention relates generally to a mask pattern and a method for setting an optimal illumination condition to the mask pattern, and more particularly to a mask pattern and a method for setting an illumination condition suitable of a method of exposing a mask that arranges a desired pattern and an auxiliary or dummy pattern (these terms are used interchangeably in this application) smaller than the desired pattern, by illuminating the mask (reticle) using plural kinds of light so as to resolve the desired pattern and restrain the auxiliary pattern from resolving on an object to be exposed via a projection optical system.
  • The projection exposure apparatus is used in manufacturing devices, such as ICs, LSIs and liquid crystal panels, using the photolithography. For improved resolution, the projection exposure apparatus has generally shortened its exposure wavelength and enlarged a numerical aperture (“NA”) in the projection optical system.
  • In general, the resolving power improves with a shortened wavelength of exposure light and increased NA, while the projection exposure apparatus, from its nature, resolves some patterns relatively easily but cannot resolve other patterns easily. In general, it may be said that a line pattern more easily resolves than a contact-hole pattern, and thus a width in the contact-hole pattern is larger than that of the line pattern in a semiconductor chip. It is therefore an issue in fine processing in the photolithography to make a fine contact-hole pattern. More specifically, exposure cannot easily obtain the resolving power, depth of focus (“DOF”), and exposure dose tolerance for contact holes. In addition, the contact holes have a much larger mask error enhancement factor (“MEF”) that is a degree to emphasize a mask error, than the line pattern, which hinders fine processing.
  • Accordingly, Japanese Patent No. 3,119,217 (corresponding to U.S. Pat. No. 6,150,059) as one solution for this problem discloses a method to improve resolving power and DOF to some extent by forming, among openings, auxiliary openings so dimensioned that they are not resolved by exposure. Japanese Laid-Open Patent Application No. 2002-122976 (corresponding to U.S. Patent Application Publication No. 2002-045136) provides more concrete embodiments to this method.
  • These methods are effective to resolve contact holes of about 200 nm as in their embodiments but insufficient to resolve currently demanded 120 nm contact holes. More specifically, when the resolving power to 200-nm holes is converted into resolving power k1 for exposure using a general exposure apparatus at the time of filing of these applications which uses, for example, a KrF laser light source and NA=0.6 to 0.5, k1 becomes between 0.48 and 0.40. This conversion is performed with k1=(hole diameter of a desired contact hole)/(λ/NA) as a variation of Rayleigh's equation: (hole diameter of a desired contact hole)=k1(λ/NA). This converted k1 value corresponds to the resolving power of 163 to 136 nm for exposure using a currently available general exposure apparatus which uses, for example, a KrF laser light source and NA=0.73. It is therefore understood that the methods disclosed in these applications may resolve holes with a size of 163 to 136 nm, but cannot resolve holes with a size of 120 nm or smaller. Incidentally, 163 nm and 136 nm may be obtained as follows: (hole diameter of a desired contact hole)=k1(λ/NA)=0.48×248/0.73=163 (nm) for k1=0.48, while (hole diameter of a desired contact hole)=k1(λ/NA)=0.40×248/0.73=136 (nm) for k1=0.40.
  • BRIEF SUMMARY OF THE INVENTION
  • Accordingly, it is an exemplified object of the present invention to provide an exposure method for resolving fine contact holes of 120 nm or smaller with resolving power k1=0.35 under practical DOF, using a current typical exposure apparatus that uses a KrF laser light source with an approximately wavelength of 248 nm and NA=0.73.
  • Japanese Patent Application No. 2002-123268 (corresponding to U.S. patent application Ser. No. 10/132,001, filed on Apr. 24, 2002) proposes, for the resolving power k1=0.35 or smaller, an exposure method for exposing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, by illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system. It is a more specific object of the present invention to obtain larger process tolerance including DOF and exposure dose or establish a stable process less influenced by the mask errors and exposure conditions, such as a change of exposure dose and a defocus error.
  • An exposure method of one aspect of the present invention includes the steps of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein A=−1.7k1+C1, 1.2≦C1≦1.3, 0.5≦B≦0.55 and B≦A−0.1, 0.80≦σ≦0.9 and σ≧A+0.1, k1=(L/λ) NA, where k1 is resolving power, L is a hole diameter of the contact-hole pattern, k is a wavelength for exposure, NA is a numerical aperture of the projection optical system, σ is a ratio of a numerical aperture of an illumination optical system to the numerical aperture of the projection optical system, A and B are distances from two orthogonal axes to a boarder of a light-shielding part in an effective light source for illumination of plural kinds of light, the light-shielding part being symmetrical with respect to the two orthogonal axes.
  • Conditions A=−1.7k1+1.25, k1≦0.4, B=0.55, σ=0.85 may be met irrespective of the hole diameter. An exposure method of the present invention includes the steps of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein A=1/(4k1)−C2/(4k1)2, 0.07≦C2≦0.20, 0.5≦B≦0.55 and B≦A−0.1, 0.80≦σ≦0.9 and σ≧A+0.1, k1=(L/λ)NA, where k1 is resolving power, L is a hole diameter of the contact-hole pattern, λ is a wavelength for exposure, NA is a numerical aperture of the projection optical system, σ is a ratio of a numerical aperture of an illumination optical system to the numerical aperture of the projection optical system, A and B are distances from two orthogonal axes to a boarder of a light-shielding part in an effective light source for illumination of plural kinds of light, the light-shielding part being symmetrical with respect to the two orthogonal axes.
  • The effective light source has a shape shown in FIG. 1 or 4.
  • An exposure method of still another aspect of the present invention includes the step of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and the step of illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein 0.7≦d/t≦0.80 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
  • An exposure method of another aspect of the present invention include the step of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and the step of illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein 0.75≦d/t≦0.90 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
  • A condition 0.85L≦t≦1.15L may be met where L is a hole diameter of the contact-hole pattern.
  • A device fabricating method of still another aspect of the present invention includes the step of exposing an object using the above exposure method, and performing a predetermined process for the exposed object. Claims for a device fabricating method that performs operations similar to that of the above exposure apparatus cover devices as intermediate and final products. Such devices include semiconductor chips like an LSI and VLSI, CCDs, LCDs, magnetic sensors, thin film magnetic heads, and the like.
  • Other objects and further features of the present invention will become readily apparent from the following description of the preferred embodiments with reference to accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic plane view of an effective light source shape used for an exposure method according to the present invention.
  • FIG. 2 is a schematic plane view of a mask pattern used for the inventive exposure method.
  • FIG. 3 is a desired image pattern when the mask pattern shown in FIG. 2 images.
  • FIG. 4 is a schematic plane view as a variation of an effective light-source shape shown in FIG. 1.
  • FIG. 5 is a schematic plane view of one example of an effective light-source shape unsuitable for the inventive exposure method.
  • FIG. 6 is a schematic plane view of another example of an effective light-source shape unsuitable for the inventive exposure method.
  • FIG. 7 is a table showing a relationship between pattern bias and critical dimension error deviation.
  • FIG. 8 is a table showing a relationship between pattern bias and mask enhancement factor.
  • FIG. 9 is a table showing a relationship between pattern bias and depth of focus.
  • FIG. 10 is a graph for showing a relationship between a half pitch in a mask pattern and the mask enhancement factor.
  • FIG. 11 is a graph for showing a relationship between the mask enhancement factor and effective light-source parameters.
  • FIG. 12 is a graph for showing a relationship between the depth of focus and effective light-source parameters.
  • FIG. 13 is a graph for showing a relationship between the mask enhancement factor and effective light-source parameters.
  • FIG. 14 is a graph for showing a relationship between the depth of focus and effective light-source parameters.
  • FIG. 15 is a graph for showing a relationship between a depth of focus and effective light-source parameters when exposure dose changes by 5%.
  • FIG. 16 is an exposure test result of one embodiment according to the present invention.
  • FIG. 17 is a process window showing a relationship between the exposure dose and defocus amount.
  • FIG. 18 is a schematic block diagram of an exposure apparatus used for one embodiment according to the present invention.
  • FIG. 19 is a flowchart for explaining a device fabricating method using the exposure apparatus of the present invention.
  • FIG. 20 is a detailed flowchart for step 4 shown in FIG. 19.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • It is an exemplary object to optimize parameters for an exposure method for exposing with a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, by illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system. The parameters include a size or hole diameter L of the contact-hole pattern to be finally formed on an image plane, a size “t” of each hole in the mask pattern to be resolved, a size “d” of a dummy or auxiliary opening not to be resolved, a parameter a in an effective light source shown in FIG. 1, which will be described later, i.e., a ratio of a NA of an illumination optical system to the NA of the projection optical system, and sizes A and B of central light-shielding part.
  • FIG. 3 shows an exemplary desired pattern to be resolved. A size of the opening is 120 nm or smaller. The mask pattern size has four or five times as large as the desired size according to the magnification of the exposure apparatus, but this embodiment converts the size into that on the image plane or without taking the magnification into consideration. A binary mask has a mask pattern and light-shielding part around the mask pattern, as shown in FIG. 2. While the following description uses the binary mask as an exemplary mask, the mask may use an attenuated phase shift mask that allows light-shielding part to slightly transmit the light and shifts its light phase by 180 degrees from that of opening part. The mask pattern includes a desired pattern shown in FIG. 3 and unresolved auxiliary openings around the desired pattern. The auxiliary openings are positioned determined such that the desired pattern and auxiliary openings form periodicity. Although the desired pattern and auxiliary opening are illustrated as square shapes in the drawing, they are not limited to a square shape and may use a octagon that has been formed by chamfering corners of the square, a circle, and any other shape.
  • As shown in FIG. 2, an opening size of the pattern is set to “t” for a contact-hole size to be resolved, and “d” for an auxiliary opening not to be resolved. The resolving power k1 in this case may be standardized using an exposure wavelength λ to expose the wafer and an NA of the projection optical system expressed as a modification of Rayleigh's equation as follows:
    Resolving Power k 1=(L/λ)NA
    where L is a size or hole diameter of the contact-hole pattern to be finally obtained.
  • The illumination system in the exposure apparatus forms an effective light source as shown in FIG. 1. In FIG. 1, black part is light-shielding part while white part is light-transmitting part. A shape of the light source is determined by “σ” as a ratio of a NA of an illumination optical system to the NA of the projection optical system, and sizes A and B of the central light-shielding part. A shape of the effective light source is not limited to FIG. 1, but may use a shape shown in FIG. 4 that notches corners or a shape that allows the light to slightly cross the boarder of the light-shielding area.
  • It is necessary to initially determine a size “t” of each hole in the mask pattern to be resolved, and a size “d” of a dummy or auxiliary opening not to be resolved. The method disclosed in Japanese Patent No. 3,119,217 provides auxiliary openings of 150 nm to resolve openings of 200 nm, while the method disclosed in Japanese Laid-Open Patent Application No. 2002-122976 provides auxiliary openings of 140 nm to resolve openings of 200 nm. The size of the auxiliary opening is about seven-tenths of the main opening, but the way of determining it is indefinite.
  • This embodiment sets a shape of the effective light source with σ=0.9, A=0.7 and B=0.5. Influences on the critical dimension (“CD”) distribution, MEF and DOF have been investigated using the opening size t and auxiliary opening size d. The pattern has a size of 120 nm shown in FIG. 3, and diameters of nine holes on the image plane exposed by the exposure apparatus have been investigated. The exposure dose this time is determined so that the lower left hole shown in FIG. 3 has a desired size of 120 nm. A deviation of the size of CD of the other eight holes from 120 nm (L:desired size) is calculated as a ratio of a difference (%) by dividing the difference by the desired size. The maximum CDi is defined as the CD deviation since the size deviates within this value:
    CDi=(CD−L)/ L− 100
      • CD deviation=maximum value of CDi
  • MEF is a standardized index formed by dividing a difference between a size (CD) of a pattern on the image plane without any error in a mask pattern and a size (CD′) of a pattern on the image plane with a certain error (CD_mask_error) in the mask pattern, by the error amount applied to the mask pattern.
      • Change in Pattern Size on Image plane CD_error=CD′−CD
      • MEF=CD_error/CD_mask_error
  • An error amount is given in longitudinal and lateral directions to the mask pattern while a size of the nine major openings is made equal to that of auxiliary openings, and the image includes nine different sizes in the longitudinal and lateral directions. MEF was calculated by dividing the maximum size change by the error amount.
  • An image-plane position is defocused in exposure, size changes of nine holes are investigated, and DOF is defined as the minimum defocus amount value when the sizes of nine holes change by 10% from the size at the best focus. Results are indicated in FIGS. 7, 8 and 9. In these figures, a size change in the lateral direction indicates a size change of the major opening “t” (including 100%, 105%, 110% and 115% of the desired size from the left) and a size change in the longitudinal direction indicates a size change of the auxiliary opening “d” (including 75%, 80%, 85%, 90% and 95% of the desired size from the bottom).
  • According to the CD deviation result shown in FIG. 7, the CD deviation becomes smaller with the larger size d of the auxiliary opening and the larger size t of the major opening. The smaller CD deviation is desirable. Conversely, according to the MEF result shown in FIG. 8, the MEF becomes smaller with the smaller size d of the auxiliary opening and a larger difference in size t of the major opening. The smaller MEF is desirable. According to the MEF result shown in FIG. 9, the DOF becomes larger with the larger size d of the auxiliary opening close to the size t of the major opening. The larger DOF is desirable. Therefore, MEF and CD deviation or DOF show preferable results in reverse directions.
  • The CD deviation may be corrected by expecting the size change on the mask pattern in advance, but the DOF and mask error sensitivity or MEF are both important factors and cannot sacrifice the other. Here, as understood from the figures, a MEF value is at most 3.2 or smaller in the varying auxiliary opening size d and major opening size t. Since the contact-hole process may permit a MEF value of 3.5 or smaller, the DOF takes precedence such that a ratio d/t between the auxiliary-opening size d and the major-opening size t meets 0.75≦d/t≦0.9. The upper limit is the maximum auxiliary-opening size such that the auxiliary opening does not appear on the image plane, and this value slightly changes according to types of resist.
  • As the hole size of the desired pattern is made smaller down to 110 nm (with k1=0.32), and d/t exceeds 80%, MEF exceeds 3.5 although MEF is slightly variable depending upon the effective light-source parameters. Therefore, practical MEF and DOF are obtained when d/t is set to be 0.7≦d/t≦0.8 by taking MEF into consideration. When the effective light-source parameters vary, values of the MEF and DOF vary although relative relationships between d/t as a ratio of opening sizes and MEF, and d/t and DOF do not change.
  • However, this range of d/t is valid under the effective light-source shape like in FIG. 1 because the MEF has a large value and appropriate DOF is unavailable for any d/t without the effective light-source shape like FIG. 1.
  • This range of d/t is particularly effective to fine hole whose hole diameter meets k1≦0.4.
  • Irrespective of the above optimal ratio, the way of actually setting d and t depends upon a type of resist. The conventional exposure result empirically tells that the resist of exclusive use for holes provides a better result when the major-opening size t is close to the desired pattern size, while the resist used for line and space provides a better result when the major-opening size t is 1.1 times as large as that of the desired pattern size. Preferably, the major-opening size t is 0.8 to 1.15 times as large as that of the desired size L. Of course, an actual opening size on the mask corresponds to this size multiplied by a reciprocal of the magnification of the projection optical system.
  • The parameters of effective light-source shape are optimized by setting the auxiliary-opening size d to be 80% of the desired size, the major-opening size t to be 100% of the desired size, and a ratio d/t to be 0.8. Usual contact-holes include only one type of hole diameter or plural types of hole diameters within a limited range of hole diameters. The number of holes different in size, if any, is small. The pattern includes various intervals, e.g., an interval that has the same as the hole diameter to form one-to-one arrangement, an interval smaller than the hole diameter, an interval twice as long as the hole diameter, and an interval three times or more as long as the hole diameter or enough long to isolate the pattern. In general, the typical contact-hole pattern includes only one type of hole diameter and various intervals or pitches between holes. Thus, the instant embodiment investigates the MEF with only one type of hole diameter and variable pitches or intervals between holes in order to recognize general tendency of MEF.
  • FIG. 10 shows a change of MEF when the pitch varies with a hole diameter of 120 nm under the effective light-source shape of σ=0.9, A=0.7 and B=0.5. In FIG. 10, the MEF value increases when the pitch is smaller than the half pitch of 130 nm (with k1=0.38) or pitch of 260 nm. This tendency does not change even when parameters (σ, A, B) change anyway.
  • The fact that the MEF becomes the largest with the minimum pitch means that only the MEF with the minimum pitch on a mask may be considered in order to optimize the effective light-source parameters σ, A and B and thereby minimize the MEF, since the MEF is small with the minimum pitch and smaller with the larger pitch.
  • Therefore, a pattern is used with the minimum pitch for optimization, which equalizes the half pitch to the hole diameter or has duty of 1 between the hole diameter and the interval.
  • Initially, a change of the MEF is investigated by varying B while making a and A constant. Then, a change of DOF is investigated by varying B while making a and A constant. As discussed, the DOF is determined to be the minimum defocus amount when the sizes of nine holes change by 10% of the size with the best focus. FIGS. 11 and 12 show results of MEF and DOF, respectively.
  • The result of MEF in FIG. 11 shows that the MEF becomes small as the effective light-source parameter B is small. As discussed, the smaller MEF is preferable. The result of DOF in FIG. 12 shows that the DOF becomes large as the effective light-source parameter B is large. As discussed, the larger DOF is preferable.
  • Influences of the effective light-source parameter a on the MEF and DOF are investigated like a matrix by varying σ with various A and B. As an example, FIGS. 13 and 14 show MEF and DOF with A fixed to 0.70, and B varied as 0.45, 0.5, 0.55 and 0.6. The abscissa axis is σ, and the ordinate axis is MEF or DOF in FIGS. 13 and 14. A color version of FIGS. 13 and 14 is attached to this application for better understanding.
  • In general, large σ includes more incident light component that is effective to fine resolution and provide contrast necessary for a fine pattern. The high contrast affects a resist image by only an error amount in the mask pattern, whereas the low contrast expands the error in the mask pattern and transfers it to the resist. Therefore, it is expected that larger σ provides better contrast and is less influential on the MEF: The result in FIG. 13 shows that larger a reduces MEF. MEF becomes minimum with certain σ, A and B. Combinations of σ, A and B to minimize the MEF have been investigated under 120 nm (with k1=0.35) and it is found that MEF meets 3.00≦MEF≦3.15 when 0.85≦σ≦0.9, 0.6≦A≦0.65, 0.45≦B≦0.55.
  • It is in general well-known that larger α easily resolves a fine pattern, but disadvantageously reduces the DOF. FIG. 14 appears to demonstrate this tendency even in the inventive method. Smaller σ expands the DOF, but deteriorates the MEF and the resolution of a fine pattern near the resolution limit. Therefore, the necessary DOF should be secured without deteriorating the MEF and the resolution of a fine pattern. Combinations of σ, A and B for small MEF and large DOF have been found under 120 nm (with k1=0.35): 3.00≦MEF≦3.15 and 0.35≦DOF≦0.55, when σ=0.85, 0.6≦A≦0.65 and B=0.55.
  • The larger exposure dose tolerance is practically preferable, because the exposure dose tolerance means that the resolved contact-hole size does not change even with a slight error in the exposure dose and permits an error of the exposure dose. Therefore, constant resolving power and resolved hole size should be secured within a certain exposure dose range. Accordingly, a change of DOF is investigated when the exposure dose changes by ±5%, and the exposure light-source parameters in the illumination system are optimized so as to reduce the reduction amount.
  • Initially, the DOF is investigated with the optimal exposure dose, and a reduction of the DOF is investigated when the exposure dose changes by +5% compared with the former. DOF is defined as the minimum defocus amount value when the size of the nine holes changes by 10% from the size at the best focus. FIG. 15 shows the result. DOF reduces by about 5% in the holes of 120 nm when the exposure does changes by ±5% even when the effective light-source parameter values slightly change in the illumination system. The smaller hole size would start dependency upon the effective light-source parameter values. A color version of FIG. 15 is attached to the instant application for better understanding.
  • Thus, combinations of effective light-source parameters have been obtained which reduces MEF so that it is less affected by the mask errors, maintains sufficient DOF, and enlarges exposure dose tolerance so that even a slight error in the exposure dose may provide the constant size.
  • A restriction condition will be discussed before combinations of the optimal parameters of the effective light source are discussed. The parameters A and B that define a size of the light-shielding part should be smaller than a radius σ over the entire area of the effective light source. Since A is defined as one larger than B, σ>A>B should be met. A=B is not included since the effective light-source distribution exits only on the X-axis and Y-axis without diagonal distribution as shown in FIG. 5. Without the diagonal distribution, a dummy resolution tends to occur and it becomes difficult to obtain the process tolerance. Similarly, the effective light-source distribution exits only on the X-axis and Y-axis without diagonal distribution when as B√2 is equal to or larger than σ as shown in FIG. 6. Therefore, B√2<σ should be met.
  • Next follows optimal combinations of σ, A and B that meet the above two conditions and depend upon the hole size (or resolving power k1).
  • For 100 nm (with k1=0.29), A=0.75, 0.5≦B≦0.55, σ=0.85 (0.85≦σ≦0.9).
  • For 110 nm (with k1=0.32), A=0.70, 0.5≦B≦0.55, σ=0.85 (0.80≦σ≦0.9).
  • For 120 nm (with k1=0.35), A=0.65, 0.5≦B≦0.55, Γ=0.85 (0.80≦σ≦0.9).
  • For 130 nm (with k1=0.38), A=0.65, 0.5≦B≦0.55, σ=0.85 (0.80≦σ≦0.9).
  • Therefore, a value of the effective light-source parameter A is important for hole size to be resolved in the fine hole pattern with k1 is 0.4 or less. B may be a constant value near 0.55. B excessively close to A in A>B would decrease the diagonal light-source area and reduce the exposure dose tolerance. Therefore, a difference between A and B should be 0.1 or larger, i.e., B≦A−0.1. σ=0.85 would balance the MEF and DOF, and σ is adjusted in the parenthesis range so that it is made larger to reduce MEF and made smaller to improve DOF. σexcessively close to A would decrease the light-source area on the X-axis and Y-axis and deteriorate the mask error sensitivity. Therefore, a difference between σ and A should be 0.1 or larger, i.e., σ≧A+0.1, so as not to prevent the MEF from deteriorating.
  • The empirical rule based on this process tolerance is as follows:
    A=A(k 1)=−1.7k 1 +C1, 1.2≦C1≦1.3
    0.5≦B≦0.55 and B≦A−0.1
    0.80≦σ≦0.9 and σ≧A+0.1
      • σ may be adjusted in this range so that it is made larger to reduce MEF and made smaller to improve DOF. The optimal solution that balances the MEF and DOF is A=A(k1)=−1.7k1+1.25, B and σ distribute at centers of B=0.55 and σ=0.85 irrespective of the critical dimension under condition of k1≦0.4. The theoretically obtained best solution for contrast provides A={(1/k1)/2−0.1}/2=1/(4k1)−0.05, but this may be slightly corrected for process tolerance as A=1/(4k1)−C2/(4k1)2, where C2 is valid in a range of 0.07≦C2≦0.20. C2=0.12 provides a solution that balances the MEF and DOF.
    EXAMPLE
  • A description will be given of an exposure apparatus according to the present invention with reference to FIG. 18. Here, FIG. 18 is a schematic block diagram of the exposure apparatus. The exposure apparatus includes, as shown in FIG. 18, an illumination apparatus 100, a mask 200, a projection optical system 300, and a wafer 400. The exposure apparatus of the instant embodiment is an exposure apparatus that exposes a circuit pattern created on the mask 200 onto the wafer 400 in a step-and-scan manner.
  • The illumination apparatus 100 illuminates the binary mask 200 that forms a contact-hole pattern, and includes a light source section 110 and an illumination optical system 120. The light source section 110 includes KrF excimer laser with a wavelength of about 248 nm as a light source and a necessary beam shaping system.
  • The illumination optical system 120 is an optical system to illuminates the mask 200, and includes a condenser optical system 130, a fly-eye lens 140, an aperture stop 150, and a condenser lens 160 in the instant embodiment.
  • The condenser optical system 130 includes one or more mirrors and lenses necessary for deflection, and maintains the light that has passed them substantially parallel to any lens element at the center and peripheral of the fly-eye lens 140. The condenser optical system 130 includes an exposure-dose adjustment part 132 that may change the exposure dose of the illumination light to the binary mask 200 for each illumination. The exposure-dose adjustment part 132 adjusts the exposure dose based on contrast necessary for the desired contact holes on the mask 200 and/or wafer 400.
  • The fly-eye lens 140 makes uniform the illumination light to the mask 200, and emits the light while converting an angular distribution of the incident light into a positional distribution. The aperture stop 150 is provided just after the exit surface of the fly-eye lens 140, which has a fixed shape and diameter. This aperture stop 150 has an aperture shape shown in FIG. 1 or 4 to illuminate the mask 200, and thus restrains the exposure dose to the dummy resolution pattern while enhancing the exposure dose to the desired contact-hole pattern.
  • The aperture stop 150 is located at a position conjugate with a pupil surface 320 in the projection optical system 300, and the aperture shape of the aperture stop 150 corresponds to the effective light source shape on the pupil surface 320 in the projection optical system 300.
  • The binary mask 200 forms a pattern shown in FIG. 2 to obtain the desired pattern with a hole diameter of 120 nm shown in FIG. 3, and sets t=120 nm and d=90 nm for the hole diameter converted into that on the wafer 400.
  • The projection optical system 300 images diffracted light through the contact-hole pattern formed on the mask 200 onto the wafer 400. 320 is the pupil surface in this projection optical system 300. The projection optical system 300 of the instant embodiment has an NA of 0.73. Photoresist is applied onto the wafer 400.
  • The exposure apparatus obtains a size of a desired hole by reading out a barcode on the mask 200, and automatically sets an optimal effective light source in the illumination optical system. Alternatively, the effective light source in the illumination system may be set by inputting effective light-source parameters into the exposure apparatus. When the input value of the effective light-source parameters offset from the optimal parameter values, the exposure apparatus may output optimal parameter values with warning on its console screen.
  • When the input value of the effective light-source parameter falls within σ≦A, A=B and B√2≧σ, an error message emits so as not to enter the input value and prompt to input the optimal parameter value.
  • The instant embodiment sets effective σ of the illumination light to 0.85, the lengths A and B in the light-shielding part to 0.7 and 0.5. The exposure-dose adjustment part 132 sets a light intensity ratio to 1:1 between the illumination light that has an intensity distribution peak of the light near the optical axis and the illumination light that has an intensity distribution peak near at diagonals, adjusting the exposure dose evenly.
  • The exposure apparatus exposes the binary mask 200 after setting a wafer plate onto which an anti-reflection film AR3 (60 nm) and photoresist TDK-DP746HC with a thickness of 350 nm are applied. Then, the post exposure bake (“PEB”) continues for 90 seconds at temperature of 140° C., followed by the development for 60 seconds at 23° C. using developer of NMD-3. The exposure sets the exposure dose every 5 mJ/cm2 from 250 mJ/cm2 to 400 mJ/cm2 and varies it in a focus direction.
  • FIG. 16 shows an exposure result with the exposure dose of 340 mJ/cm2. An exposure result with other exposure dose is omitted. The exposure result changes a focus position at a pitch of 0.05 μm with a defocus amount of −0.25 μm to 0.30 μm in a lateral direction. Referring to FIG. 16, the exposure result at 0.30 μm shows that part of holes does not perforate through the resist while the resist shows successful perforation with other defocus amounts of −0.25 μm to 0.25 μm without hole size reduction. The hole size deviates within ±10% of a certain size with the defocus amount from 0.15 μm to 0.20 μm. In other words, each hole is dimensioned from 108 nm to 132 nm for a certain size of 120 nm. DOF of 0.35 μm is obtained.
  • FIG. 17 shows a process window when the exposure dose and defocus amount change, in which the abscissa axis indicates a change of focus and the ordinate axis indicates a change of exposure dose. FIG. 17 uses ∘ to show a successful perforation of the hole down to the wafer substrate without hole size reduction, and ● to show that an observed value of the hole size is within ±10% of the certain size. Strict criteria applied because ∘ is not given when the hole perforates but with hole size reduction and ● is not given when even one hole among the nine holes deviates from the predetermined range by 1 nm. Nevertheless, the exposure dose tolerance of 20 mJ/cm2 provides about 0.3 DOF.
  • The sufficient process tolerance was similarly obtained for a mask pattern with t=120 nm and d=100 nm converted into those on the wafer for the desired size of 120 nm. This case used smaller optimal exposure dose and thus showed a slightly advantageous result in view of throughput.
  • Referring now to FIGS. 19 and 20, a description will be given of an embodiment of a device fabrication method using the above mentioned exposure apparatus. FIG. 19 is a flowchart for explaining how to fabricate devices (i.e., semiconductor chips such as IC and LSI, LCDs, CCDs, and the like). Here, a description will be given of the fabrication of a semiconductor chip as an example. Step 1 (circuit design) designs a semiconductor device circuit. Step 2 (mask fabrication) forms a mask having a designed circuit pattern. Step 3 (wafer making) manufactures a wafer using materials such as silicon. Step 4 (wafer process), which is also referred to as a pretreatment, forms actual circuitry on the wafer through lithography using the mask and wafer. Step 5 (assembly), which is also referred to as a post-treatment, forms into a semiconductor chip the wafer formed in Step 4 and includes an assembly step (e.g., dicing, bonding), a packaging step (chip sealing), and the like. Step 6 (inspection) performs various tests for the semiconductor device made in Step 5, such as a validity test and a durability test. Through these steps, a semiconductor device is finished and shipped (Step 7).
  • FIG. 20 is a detailed flowchart of the wafer process in Step 4. Step 11 (oxidation) oxidizes the wafer's surface. Step 12 (CVD) forms an insulating film on the wafer's surface. Step 13 (electrode formation) forms electrodes on the wafer by vapor disposition and the like. Step 14 (ion implantation) implants ion into the wafer. Step 15 (resist process) applies a photosensitive material onto the wafer. Step 16 (exposure) uses the exposure apparatus 300 to expose a circuit pattern on the mask onto the wafer. Step 17 (development) develops the exposed wafer. Step 18 (etching) etches parts other than a developed resist image. Step 19 (resist stripping) removes disused resist after etching. These steps are repeated, and multi-layer circuit patterns are formed on the wafer. Use of the fabrication method in this embodiment helps fabricate higher-quality devices than ever. Thus, the device fabrication method using the exposure apparatus 1 and the resultant device constitute one aspect of the present invention.
  • Thus, the present invention may provide an exposure apparatus that uses a usual exposure apparatus with KrF excimer laser with a wavelength of 248 nm and NA of 0.73 to realize resolving power k1=0.35 or smaller with practical DOF and resolve fine opening of 120 nm or smaller.

Claims (7)

1. An exposure method comprising the steps of:
providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern; and
illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system,
wherein 0.7≦d/t≦0.80 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
2. An exposure method according to claim 1, wherein 0.85L≦t≦1.15L is met where L is a hole diameter of the contact-hole pattern.
3. An exposure method comprising the steps of:
providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern; and
illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system,
wherein 0.75≦d/t≦0.90 is met where d is a size of an opening in the smaller pattern, and smaller than 0.45 when converted into resolving power k1, and t is a size of an opening in the contact-hole pattern.
4. An exposure method according to claim 3, wherein 0.85L≦t≦1.15L is met where L is a hole diameter of the contact-hole pattern.
5. An exposure method comprising the steps of:
providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern; and
illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system,
0.85L≦t≦1.15L is met where L is a hole diameter of the contact-hole pattern.
6. A device manufacturing method comprising the steps of:
exposing a pattern formed on a reticle onto an object by using an exposure method; and
performing a predetermined process for the exposed object,
wherein the exposure method includes the steps of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein 0.7≦d/t≦0.80 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
7. A device manufacturing method comprising the steps of:
exposing a pattern formed on a reticle onto an object by using an exposure method; and
performing a predetermined process for the exposed object,
wherein the exposure method includes the steps of providing a mask that arranges a contact-hole pattern and a pattern smaller than the contact-hole pattern, and illuminating the mask using plural kinds of light so as to resolve the contact-hole pattern and restrain the smaller pattern from resolving on an object to be exposed via a projection optical system, wherein 0.75≦d/t≦0.90 is met where d is a size of an opening in the smaller pattern, and t is a size of an opening in the contact-hole pattern.
US11/274,676 2002-06-05 2005-11-14 Exposure method Abandoned US20060068331A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/274,676 US20060068331A1 (en) 2002-06-05 2005-11-14 Exposure method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP164978/2002 2002-06-05
JP2002164978A JP3984866B2 (en) 2002-06-05 2002-06-05 Exposure method
US10/456,387 US6991896B2 (en) 2002-06-05 2003-06-05 Exposure method
US11/274,676 US20060068331A1 (en) 2002-06-05 2005-11-14 Exposure method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/456,387 Continuation US6991896B2 (en) 2002-06-05 2003-06-05 Exposure method

Publications (1)

Publication Number Publication Date
US20060068331A1 true US20060068331A1 (en) 2006-03-30

Family

ID=29706669

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/456,387 Expired - Fee Related US6991896B2 (en) 2002-06-05 2003-06-05 Exposure method
US11/274,676 Abandoned US20060068331A1 (en) 2002-06-05 2005-11-14 Exposure method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/456,387 Expired - Fee Related US6991896B2 (en) 2002-06-05 2003-06-05 Exposure method

Country Status (3)

Country Link
US (2) US6991896B2 (en)
JP (1) JP3984866B2 (en)
DE (1) DE10325308A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100506938B1 (en) * 2003-07-04 2005-08-05 삼성전자주식회사 Photomask for forming photoresist patterns repeating in two dimensions and method for fabricating the same
US7914949B2 (en) * 2005-02-24 2011-03-29 International Business Machines Corporation Method for testing a photomask
TW200636820A (en) 2005-04-04 2006-10-16 Adv Lcd Tech Dev Ct Co Ltd Thin film transistor, integrated circuit, liquid crystal display, method of producing thin film transistor, and method of exposure using attenuated type mask
US9046788B2 (en) * 2008-05-19 2015-06-02 International Business Machines Corporation Method for monitoring focus on an integrated wafer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6150059A (en) * 1997-10-31 2000-11-21 Nec Corporation Photomask and method of exposure using same
US20020045134A1 (en) * 2000-10-13 2002-04-18 Osamu Inoue Fabrication method of semiconductor integrated circuit device
US20020177048A1 (en) * 2001-04-24 2002-11-28 Kenji Saitoh Exposure method and apparatus
US20030198872A1 (en) * 2002-04-23 2003-10-23 Kenji Yamazoe Method for setting mask pattern and illumination condition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6150059A (en) * 1997-10-31 2000-11-21 Nec Corporation Photomask and method of exposure using same
US20020045134A1 (en) * 2000-10-13 2002-04-18 Osamu Inoue Fabrication method of semiconductor integrated circuit device
US20020177048A1 (en) * 2001-04-24 2002-11-28 Kenji Saitoh Exposure method and apparatus
US20030198872A1 (en) * 2002-04-23 2003-10-23 Kenji Yamazoe Method for setting mask pattern and illumination condition

Also Published As

Publication number Publication date
JP2004014720A (en) 2004-01-15
JP3984866B2 (en) 2007-10-03
US6991896B2 (en) 2006-01-31
DE10325308A1 (en) 2004-01-22
US20030226980A1 (en) 2003-12-11

Similar Documents

Publication Publication Date Title
US6992750B2 (en) Exposure apparatus and method
US7023522B2 (en) Multiple exposure method
US6934009B2 (en) Illumination apparatus, illumination-controlling method, exposure apparatus, device fabricating method
US7095481B2 (en) Exposure method and apparatus
US7130025B2 (en) Illumination apparatus, exposure apparatus and device manufacturing method
US6004699A (en) Photomask used for projection exposure with phase shifted auxiliary pattern
US7123346B2 (en) Projection exposure apparatus with line width calculator controlled diaphragm unit
US20030197847A1 (en) Illumination optical system, exposure apparatus having the same, and device fabricating method
US7537870B2 (en) Lithography process optimization and system
JP4646367B2 (en) Semiconductor device manufacturing method and semiconductor device
US20050280796A1 (en) Illumination optical system and method, and exposure apparatus
US20100003620A1 (en) Exposure method
US6897944B2 (en) Illumination optical system, exposure method and apparatus using the same
US20060068331A1 (en) Exposure method
JP2004251969A (en) Phase shift mask, method for forming pattern by using phase shift mask, and method for manufacturing electronic device
JP5068357B2 (en) Semiconductor device manufacturing method, photomask pattern design method, and photomask manufacturing method
JP2001244190A (en) Mask for multiple exposure, exposure method by use thereof, aligner, and method of manufacturing device
JP2001284221A (en) Projection optical system and projection exposure method
JP2000021755A (en) Exposure method and apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION